Pārlūkot izejas kodu

Pre release 0.02

Per Mårtensson 2 gadi atpakaļ
vecāks
revīzija
1788b2248e
9 mainītis faili ar 3043 papildinājumiem un 66931 dzēšanām
  1. 11 11
      HDMI.sch
  2. 113 50
      abc80bus.sch
  3. 1 1
      adapter_conn.sch
  4. 1 64478
      fp-info-cache
  5. 1017 205
      max80.kicad_pcb
  6. 1260 1434
      max80.net
  7. 28 23
      max80.sch
  8. 612 669
      max80.xml
  9. 0 60
      power.sch

+ 11 - 11
HDMI.sch

@@ -13,17 +13,6 @@ Comment2 ""
 Comment3 ""
 Comment4 ""
 $EndDescr
-$Comp
-L Connector:HDMI_C_1.3 J5
-U 1 1 650AF4F4
-P 8950 3600
-F 0 "J5" H 9380 3646 50  0000 L CNN
-F 1 "HDMI_C_1.3" H 9380 3555 50  0000 L CNN
-F 2 "max80:HDMI_Micro-D_Molex_46765-2x0x" H 8975 3600 50  0001 C CNN
-F 3 "http://pinoutguide.com/PortableDevices/mini_hdmi_pinout.shtml" H 8975 3600 50  0001 C CNN
-	1    8950 3600
-	1    0    0    -1  
-$EndComp
 Text GLabel 5450 2800 0    50   Input ~ 0
 HDMI_D2+
 Text GLabel 5450 2900 0    50   Input ~ 0
@@ -369,4 +358,15 @@ Wire Wire Line
 	5450 3500 7900 3500
 Wire Wire Line
 	8100 3500 8550 3500
+$Comp
+L Connector:HDMI_A J2
+U 1 1 61917A74
+P 8950 3600
+F 0 "J2" H 9380 3646 50  0000 L CNN
+F 1 "HDMI_A" H 9380 3555 50  0000 L CNN
+F 2 "sm6uax:HDMI_A_Amphenol_10029449-111" H 8975 3600 50  0001 C CNN
+F 3 "https://en.wikipedia.org/wiki/HDMI" H 8975 3600 50  0001 C CNN
+	1    8950 3600
+	1    0    0    -1  
+$EndComp
 $EndSCHEMATC

+ 113 - 50
abc80bus.sch

@@ -5,8 +5,8 @@ $Descr A4 11693 8268
 encoding utf-8
 Sheet 2 9
 Title "MAX80"
-Date "2021-02-22"
-Rev "0.01"
+Date "2021-11-07"
+Rev "0.02"
 Comp "Peter o Per"
 Comment1 ""
 Comment2 ""
@@ -341,42 +341,6 @@ Text GLabel 2050 3150 2    39   Input ~ 0
 ABC_CLK_5
 Wire Bus Line
 	800  6250 2550 6250
-Wire Wire Line
-	2050 3050 2450 3050
-Wire Wire Line
-	2050 5550 2450 5550
-Wire Wire Line
-	2050 5450 2450 5450
-Wire Wire Line
-	2050 5350 2450 5350
-Wire Wire Line
-	2050 5250 2450 5250
-Wire Wire Line
-	2050 5150 2450 5150
-Wire Wire Line
-	2050 5050 2450 5050
-Wire Wire Line
-	2050 4950 2450 4950
-Wire Wire Line
-	2050 4850 2450 4850
-Wire Wire Line
-	2050 4750 2450 4750
-Wire Wire Line
-	2050 4650 2450 4650
-Wire Wire Line
-	2050 4550 2450 4550
-Wire Wire Line
-	2050 4450 2450 4450
-Wire Wire Line
-	2050 4350 2450 4350
-Wire Wire Line
-	2050 4250 2450 4250
-Wire Wire Line
-	2050 4150 2450 4150
-Wire Wire Line
-	2050 4050 2450 4050
-Wire Wire Line
-	2050 3950 2450 3950
 Text Label 1150 5250 2    50   ~ 0
 ~XINPSTB
 Text Label 1150 5350 2    50   ~ 0
@@ -654,8 +618,6 @@ Text Label 2450 5750 2    50   ~ 0
 ABC5V
 Entry Wire Line
 	2450 5750 2550 5850
-Wire Wire Line
-	2050 5750 2450 5750
 NoConn ~ 2050 3550
 $Comp
 L max80:ABC-Bus X1
@@ -1371,14 +1333,10 @@ Entry Wire Line
 	800  5150 900  5250
 Entry Wire Line
 	800  5250 900  5350
-Wire Wire Line
-	2050 2950 2450 2950
 Wire Wire Line
 	900  3150 1150 3150
 Wire Wire Line
 	2750 2850 2750 2900
-Wire Wire Line
-	2050 2850 2750 2850
 Text GLabel 6750 2050 0    39   Input ~ 0
 INT800_ABC_3V3
 $Comp
@@ -1587,7 +1545,7 @@ F 0 "R26" V 6754 5600 50  0000 C CNN
 F 1 "0" V 6845 5600 50  0000 C CNN
 F 2 "Resistor_SMD:R_0805_2012Metric" H 6950 5600 50  0001 C CNN
 F 3 "~" H 6950 5600 50  0001 C CNN
-F 4 " C17477" V 6950 5600 50  0001 C CNN "LCSC"
+F 4 "NM" V 6950 5600 50  0001 C CNN "LCSC"
 	1    6950 5600
 	0    1    1    0   
 $EndComp
@@ -1599,7 +1557,7 @@ F 0 "R27" V 6754 4700 50  0000 C CNN
 F 1 "0" V 6845 4700 50  0000 C CNN
 F 2 "Resistor_SMD:R_0805_2012Metric" H 6950 4700 50  0001 C CNN
 F 3 "~" H 6950 4700 50  0001 C CNN
-F 4 " C17477" V 6950 4700 50  0001 C CNN "LCSC"
+F 4 "NM" V 6950 4700 50  0001 C CNN "LCSC"
 	1    6950 4700
 	0    1    1    0   
 $EndComp
@@ -1611,7 +1569,7 @@ F 0 "R28" V 6754 3750 50  0000 C CNN
 F 1 "0" V 6845 3750 50  0000 C CNN
 F 2 "Resistor_SMD:R_0805_2012Metric" H 6950 3750 50  0001 C CNN
 F 3 "~" H 6950 3750 50  0001 C CNN
-F 4 " C17477" V 6950 3750 50  0001 C CNN "LCSC"
+F 4 "NM" V 6950 3750 50  0001 C CNN "LCSC"
 	1    6950 3750
 	0    1    1    0   
 $EndComp
@@ -1623,7 +1581,7 @@ F 0 "R43" V 6754 2800 50  0000 C CNN
 F 1 "0" V 6845 2800 50  0000 C CNN
 F 2 "Resistor_SMD:R_0805_2012Metric" H 6950 2800 50  0001 C CNN
 F 3 "~" H 6950 2800 50  0001 C CNN
-F 4 " C17477" V 6950 2800 50  0001 C CNN "LCSC"
+F 4 "NM" V 6950 2800 50  0001 C CNN "LCSC"
 	1    6950 2800
 	0    1    1    0   
 $EndComp
@@ -1635,7 +1593,7 @@ F 0 "R45" V 6850 1700 50  0000 C CNN
 F 1 "0" V 6850 1900 50  0000 C CNN
 F 2 "Resistor_SMD:R_0805_2012Metric" H 6950 1800 50  0001 C CNN
 F 3 "~" H 6950 1800 50  0001 C CNN
-F 4 " C17477" V 6950 1800 50  0001 C CNN "LCSC"
+F 4 "NM" V 6950 1800 50  0001 C CNN "LCSC"
 	1    6950 1800
 	0    1    1    0   
 $EndComp
@@ -1647,7 +1605,7 @@ F 0 "R44" V 6754 900 50  0000 C CNN
 F 1 "0" V 6845 900 50  0000 C CNN
 F 2 "Resistor_SMD:R_0805_2012Metric" H 6950 900 50  0001 C CNN
 F 3 "~" H 6950 900 50  0001 C CNN
-F 4 " C17477" V 6950 900 50  0001 C CNN "LCSC"
+F 4 "NM" V 6950 900 50  0001 C CNN "LCSC"
 	1    6950 900 
 	0    1    1    0   
 $EndComp
@@ -1796,4 +1754,109 @@ Wire Bus Line
 	800  2750 800  6250
 Wire Bus Line
 	5900 1850 5900 6850
+Wire Wire Line
+	2050 3050 2450 3050
+Wire Wire Line
+	2050 5750 2450 5750
+Wire Wire Line
+	2050 2950 2450 2950
+Wire Wire Line
+	2050 5550 2450 5550
+Wire Wire Line
+	2050 5450 2450 5450
+Wire Wire Line
+	2050 5350 2450 5350
+Wire Wire Line
+	2050 5250 2450 5250
+Wire Wire Line
+	2050 5150 2450 5150
+Wire Wire Line
+	2050 5050 2450 5050
+Wire Wire Line
+	2050 4950 2450 4950
+Wire Wire Line
+	2050 4850 2450 4850
+Wire Wire Line
+	2050 4750 2450 4750
+Wire Wire Line
+	2050 4650 2450 4650
+Wire Wire Line
+	2050 2850 2750 2850
+Wire Wire Line
+	2050 4550 2450 4550
+Wire Wire Line
+	2050 4450 2450 4450
+Wire Wire Line
+	2050 4350 2450 4350
+Wire Wire Line
+	2050 4250 2450 4250
+Wire Wire Line
+	2050 4150 2450 4150
+Wire Wire Line
+	2050 4050 2450 4050
+Wire Wire Line
+	2050 3950 2450 3950
+$Comp
+L max80:ABC-Bus X2
+U 1 1 61C9828F
+P 1650 4200
+F 0 "X2" H 1600 5986 59  0000 C CNN
+F 1 "ABC-Bus" H 1600 5881 59  0000 C CNN
+F 2 "max80:FAB64B" H 850 4150 50  0001 C CNN
+F 3 "" H 1250 4200 50  0001 C CNN
+	1    1650 4200
+	1    0    0    -1  
+$EndComp
+Connection ~ 1150 3650
+Connection ~ 1150 3750
+Connection ~ 1150 3850
+Connection ~ 1150 3950
+Connection ~ 1150 4150
+Connection ~ 1150 4250
+Connection ~ 1150 4350
+Connection ~ 1150 4450
+Connection ~ 1150 4550
+Connection ~ 1150 2850
+Connection ~ 1150 4650
+Connection ~ 1150 4750
+Connection ~ 1150 4850
+Connection ~ 1150 4950
+Connection ~ 1150 5050
+Connection ~ 1150 5250
+Connection ~ 1150 5350
+Connection ~ 1150 5450
+Connection ~ 1150 2950
+Connection ~ 1150 5650
+Connection ~ 1150 5750
+Connection ~ 1150 5850
+Connection ~ 1150 3050
+Connection ~ 1150 3150
+Connection ~ 1150 3250
+Connection ~ 1150 3350
+Connection ~ 1150 3450
+Connection ~ 1150 3550
+Connection ~ 1150 2750
+Connection ~ 2050 2750
+Connection ~ 2050 3950
+Connection ~ 2050 4050
+Connection ~ 2050 4150
+Connection ~ 2050 4250
+Connection ~ 2050 4350
+Connection ~ 2050 4450
+Connection ~ 2050 4550
+Connection ~ 2050 2850
+Connection ~ 2050 4650
+Connection ~ 2050 4750
+Connection ~ 2050 4850
+Connection ~ 2050 4950
+Connection ~ 2050 5050
+Connection ~ 2050 5150
+Connection ~ 2050 5250
+Connection ~ 2050 5350
+Connection ~ 2050 5450
+Connection ~ 2050 5550
+Connection ~ 2050 2950
+Connection ~ 2050 5750
+Connection ~ 2050 5850
+Connection ~ 2050 3050
 $EndSCHEMATC

+ 1 - 1
adapter_conn.sch

@@ -19,7 +19,7 @@ U 1 1 60B95FED
 P 7300 3800
 F 0 "J4" H 7300 4850 50  0000 R CNN
 F 1 "Conn_02x20_Odd_Even" H 8600 4800 50  0000 R CNN
-F 2 "Connector_IDC:IDC-Header_2x20_P2.54mm_Horizontal" H 7300 3800 50  0001 C CNN
+F 2 "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Horizontal" H 7300 3800 50  0001 C CNN
 F 3 "~" H 7300 3800 50  0001 C CNN
 	1    7300 3800
 	1    0    0    -1  

Failā izmaiņas netiks attēlotas, jo tās ir par lielu
+ 1 - 64478
fp-info-cache


Failā izmaiņas netiks attēlotas, jo tās ir par lielu
+ 1017 - 205
max80.kicad_pcb


+ 1260 - 1434
max80.net

@@ -1,8 +1,8 @@
 (export (version D)
   (design
     (source /home/pm/project/abc80/max80/max80.sch)
-    (date "lör 10 jul 2021 06:23:57")
-    (tool "Eeschema 5.1.10-88a1d61d58~89~ubuntu20.04.1")
+    (date "ons 10 nov 2021 20:00:30")
+    (tool "Eeschema 5.99.0+really5.1.10+dfsg1-1")
     (sheet (number 1) (name /) (tstamps /)
       (title_block
         (title MAX80)
@@ -18,8 +18,8 @@
       (title_block
         (title MAX80)
         (company "Peter o Per")
-        (rev 0.01)
-        (date 2021-02-22)
+        (rev 0.02)
+        (date 2021-11-07)
         (source abc80bus.sch)
         (comment (number 1) (value ""))
         (comment (number 2) (value ""))
@@ -117,7 +117,7 @@
       (datasheet http://katalog.we-online.de/em/datasheet/693072010801.pdf)
       (fields
         (field (name LCSC) C114218))
-      (libsource (lib Connector) (part Micro_SD_Card) (description "Micro SD Card Socket"))
+      (libsource (lib Connector) (part Micro_SD_Card_Det_Hirose_DM3AT) (description "Micro SD Card Socket with card detection pins"))
       (sheetpath (names /) (tstamps /))
       (tstamp 6022B125))
     (comp (ref C48)
@@ -486,7 +486,7 @@
       (tstamp 603658C4))
     (comp (ref X1)
       (value ABC-Bus)
-      (footprint max80:MAB64B-FAB64Q)
+      (footprint max80:MAB64B)
       (libsource (lib max80) (part ABC-Bus) (description ""))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 6011F2D2))
@@ -653,7 +653,7 @@
       (footprint Resistor_SMD:R_0805_2012Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) " C17477"))
+        (field (name LCSC) NM))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60B354D0))
@@ -662,7 +662,7 @@
       (footprint Resistor_SMD:R_0805_2012Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) " C17477"))
+        (field (name LCSC) NM))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60B36F20))
@@ -671,7 +671,7 @@
       (footprint Resistor_SMD:R_0805_2012Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) " C17477"))
+        (field (name LCSC) NM))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60B37C47))
@@ -680,7 +680,7 @@
       (footprint Resistor_SMD:R_0805_2012Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) " C17477"))
+        (field (name LCSC) NM))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60B38966))
@@ -689,7 +689,7 @@
       (footprint Resistor_SMD:R_0805_2012Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) " C17477"))
+        (field (name LCSC) NM))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60B3A85D))
@@ -698,7 +698,7 @@
       (footprint Resistor_SMD:R_0805_2012Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) " C17477"))
+        (field (name LCSC) NM))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60B3CF12))
@@ -709,139 +709,6 @@
       (libsource (lib Connector) (part Conn_01x01_Male) (description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"))
       (sheetpath (names /abc80bus/) (tstamps /6013B380/))
       (tstamp 60CC7EFE))
-    (comp (ref J2)
-      (value Barrel_Jack_Switch)
-      (footprint max80:BarrelJack_Wuerth_6941xx301002)
-      (datasheet ~)
-      (libsource (lib Connector) (part Barrel_Jack_Switch) (description "DC Barrel Jack with an internal switch"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6014204A))
-    (comp (ref C38)
-      (value 100nF)
-      (footprint Capacitor_SMD:C_0603_1608Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C1525))
-      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6025CAC8))
-    (comp (ref D24)
-      (value MBRA340T)
-      (footprint Diode_SMD:D_SMA)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C26178))
-      (libsource (lib Device) (part D_Schottky) (description "Schottky diode"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6025D921))
-    (comp (ref R35)
-      (value 10.2k)
-      (footprint Resistor_SMD:R_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C25744))
-      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6025EAB6))
-    (comp (ref R36)
-      (value 3.24k)
-      (footprint Resistor_SMD:R_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C15172))
-      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6025F1BC))
-    (comp (ref U8)
-      (value TPS54331)
-      (footprint Package_SO:SOIC-8_3.9x4.9mm_P1.27mm)
-      (datasheet http://www.ti.com/lit/ds/symlink/tps5430.pdf)
-      (fields
-        (field (name LCSC) C9865))
-      (libsource (lib max80) (part TPS54331) (description "3A, Step Down Swift Converter, , PowerSOIC-8"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 60260C96))
-    (comp (ref C58)
-      (value 47uF)
-      (footprint Capacitor_SMD:C_0805_2012Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C16780))
-      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 60264C69))
-    (comp (ref C37)
-      (value 5600pF)
-      (footprint Capacitor_SMD:C_0603_1608Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C170143))
-      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6026CBED))
-    (comp (ref C57)
-      (value 390pF)
-      (footprint Capacitor_SMD:C_0603_1608Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C363517))
-      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6026D80D))
-    (comp (ref R34)
-      (value 12.7k)
-      (footprint Resistor_SMD:R_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C25752))
-      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6026DD71))
-    (comp (ref C36)
-      (value 15nF)
-      (footprint Capacitor_SMD:C_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C1548))
-      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 60276365))
-    (comp (ref R32)
-      (value 330k)
-      (footprint Resistor_SMD:R_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C25778))
-      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 602875D0))
-    (comp (ref R33)
-      (value 120k)
-      (footprint Resistor_SMD:R_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C25750))
-      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 60287738))
-    (comp (ref C35)
-      (value 47uF)
-      (footprint Capacitor_SMD:C_0805_2012Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C16780))
-      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 60299F43))
-    (comp (ref L2)
-      (value 15uH)
-      (footprint max80:L_6.3x6.3_H3)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C83374))
-      (libsource (lib Device) (part L) (description Inductor))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 602A5F6B))
     (comp (ref C61)
       (value 220uF)
       (footprint Capacitor_SMD:CP_Elec_6.3x7.7)
@@ -860,33 +727,6 @@
       (libsource (lib Device) (part CP_Small) (description "Polarized capacitor, small symbol"))
       (sheetpath (names /POWER/) (tstamps /6013A59C/))
       (tstamp 602A83A7))
-    (comp (ref D25)
-      (value MBRA340T)
-      (footprint Diode_SMD:D_SMA)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C26178))
-      (libsource (lib Device) (part D_Schottky) (description "Schottky diode"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6040C80A))
-    (comp (ref D26)
-      (value LED-B)
-      (footprint LED_SMD:LED_0603_1608Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C72041))
-      (libsource (lib Device) (part LED) (description "Light emitting diode"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6024C492))
-    (comp (ref R9)
-      (value 1k)
-      (footprint Resistor_SMD:R_0402_1005Metric)
-      (datasheet ~)
-      (fields
-        (field (name LCSC) C11702))
-      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
-      (sheetpath (names /POWER/) (tstamps /6013A59C/))
-      (tstamp 6024D9C9))
     (comp (ref U10)
       (value CP2102N-A01-GQFN28)
       (footprint Package_DFN_QFN:QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm)
@@ -1000,7 +840,7 @@
       (datasheet ~)
       (fields
         (field (name LCSC) C25905))
-      (libsource (lib Device) (part R) (description Resistor))
+      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /ESP32/) (tstamps /602159BB/))
       (tstamp 5E79F20F))
     (comp (ref C52)
@@ -1012,14 +852,6 @@
       (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
       (sheetpath (names /ESP32/) (tstamps /602159BB/))
       (tstamp 5E7FEAC9))
-    (comp (ref U15)
-      (value USBLC6-2SC6)
-      (footprint Package_TO_SOT_SMD:SOT-23-6)
-      (fields
-        (field (name LCSC) C7519))
-      (libsource (lib Power_Protection) (part USBLC6-2SC6) (description "Very low capacitance ESD protection diode, 2 data-line, SOT-23-6"))
-      (sheetpath (names /ESP32/) (tstamps /602159BB/))
-      (tstamp 610EE85E))
     (comp (ref U11)
       (value ESP32-S2-WROVER-I)
       (footprint max80:ESP32-S2-WROVER)
@@ -1064,20 +896,20 @@
       (sheetpath (names /ESP32/) (tstamps /602159BB/))
       (tstamp 6112E6F3))
     (comp (ref R19)
-      (value 6.2k)
+      (value 4.7k)
       (footprint Resistor_SMD:R_0402_1005Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) C25915))
+        (field (name LCSC) C25900))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /ESP32/) (tstamps /602159BB/))
       (tstamp 611AB901))
     (comp (ref R20)
-      (value 6.2k)
+      (value 4.7k)
       (footprint Resistor_SMD:R_0402_1005Metric)
       (datasheet ~)
       (fields
-        (field (name LCSC) C25915))
+        (field (name LCSC) C25900))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /ESP32/) (tstamps /602159BB/))
       (tstamp 611ABCC5))
@@ -1171,6 +1003,14 @@
       (libsource (lib Device) (part LED) (description "Light emitting diode"))
       (sheetpath (names /ESP32/) (tstamps /602159BB/))
       (tstamp 611D1F01))
+    (comp (ref U15)
+      (value USBLC6-2SC6)
+      (footprint Package_TO_SOT_SMD:SOT-23-6)
+      (fields
+        (field (name LCSC) C7519))
+      (libsource (lib Power_Protection) (part USBLC6-2SC6) (description "Very low capacitance ESD protection diode, 2 data-line, SOT-23-6"))
+      (sheetpath (names /ESP32/) (tstamps /602159BB/))
+      (tstamp 610EE85E))
     (comp (ref U12)
       (value DS3231M+)
       (footprint Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm)
@@ -1198,9 +1038,27 @@
       (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
       (sheetpath (names /RTC/) (tstamps /6023577B/))
       (tstamp 6023B210))
+    (comp (ref R9)
+      (value 6.2k)
+      (footprint Resistor_SMD:R_0402_1005Metric)
+      (datasheet ~)
+      (fields
+        (field (name LCSC) C25915))
+      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
+      (sheetpath (names /RTC/) (tstamps /6023577B/))
+      (tstamp 6170570B))
+    (comp (ref R32)
+      (value 6.2k)
+      (footprint Resistor_SMD:R_0402_1005Metric)
+      (datasheet ~)
+      (fields
+        (field (name LCSC) C25915))
+      (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
+      (sheetpath (names /RTC/) (tstamps /6023577B/))
+      (tstamp 61706B6D))
     (comp (ref J4)
       (value Conn_02x20_Odd_Even)
-      (footprint Connector_IDC:IDC-Header_2x20_P2.54mm_Horizontal)
+      (footprint Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Horizontal)
       (datasheet ~)
       (libsource (lib Connector_Generic) (part Conn_02x20_Odd_Even) (description "Generic connector, double row, 02x20, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
       (sheetpath (names /ADAPTER_CONN/) (tstamps /60B94961/))
@@ -1653,13 +1511,51 @@
       (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
       (sheetpath (names "/Power FPGA/") (tstamps /604B2191/))
       (tstamp 67327311))
-    (comp (ref J5)
-      (value HDMI_C_1.3)
-      (footprint max80:HDMI_Micro-D_Molex_46765-2x0x)
-      (datasheet http://pinoutguide.com/PortableDevices/mini_hdmi_pinout.shtml)
-      (libsource (lib Connector) (part HDMI_C_1.3) (description "HDMI 1.3+ type C connector"))
-      (sheetpath (names /HDMI/) (tstamps /650A9AE9/))
-      (tstamp 650AF4F4))
+    (comp (ref U8)
+      (value AMS1117-3.3)
+      (footprint Package_TO_SOT_SMD:SOT-223-3_TabPin2)
+      (datasheet http://www.advanced-monolithic.com/pdf/ds1117.pdf)
+      (fields
+        (field (name LCSC) C351784))
+      (libsource (lib Regulator_Linear) (part AMS1117-3.3) (description "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223"))
+      (sheetpath (names "/Power FPGA/") (tstamps /604B2191/))
+      (tstamp 615F4429))
+    (comp (ref C36)
+      (value 47uF)
+      (footprint Capacitor_SMD:C_0603_1608Metric)
+      (datasheet ~)
+      (fields
+        (field (name LCSC) C140782))
+      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+      (sheetpath (names "/Power FPGA/") (tstamps /604B2191/))
+      (tstamp 615F4910))
+    (comp (ref C35)
+      (value 100nF)
+      (footprint Capacitor_SMD:C_0402_1005Metric)
+      (datasheet ~)
+      (fields
+        (field (name LCSC) C1525))
+      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+      (sheetpath (names "/Power FPGA/") (tstamps /604B2191/))
+      (tstamp 615F491B))
+    (comp (ref C37)
+      (value 47uF)
+      (footprint Capacitor_SMD:C_0603_1608Metric)
+      (datasheet ~)
+      (fields
+        (field (name LCSC) C140782))
+      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+      (sheetpath (names "/Power FPGA/") (tstamps /604B2191/))
+      (tstamp 615F4926))
+    (comp (ref C38)
+      (value 100nF)
+      (footprint Capacitor_SMD:C_0402_1005Metric)
+      (datasheet ~)
+      (fields
+        (field (name LCSC) C1525))
+      (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+      (sheetpath (names "/Power FPGA/") (tstamps /604B2191/))
+      (tstamp 615F4931))
     (comp (ref R2)
       (value 2.2k)
       (footprint Resistor_SMD:R_0402_1005Metric)
@@ -1776,7 +1672,14 @@
         (field (name LCSC) C25752))
       (libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
       (sheetpath (names /HDMI/) (tstamps /650A9AE9/))
-      (tstamp 60E40DE0)))
+      (tstamp 60E40DE0))
+    (comp (ref J2)
+      (value HDMI_A)
+      (footprint sm6uax:HDMI_A_Amphenol_10029449-111)
+      (datasheet https://en.wikipedia.org/wiki/HDMI)
+      (libsource (lib Connector) (part HDMI_A) (description "HDMI type A connector"))
+      (sheetpath (names /HDMI/) (tstamps /650A9AE9/))
+      (tstamp 61917A74)))
   (libparts
     (libpart (lib 74xx) (part 74LS245)
       (aliases
@@ -1809,18 +1712,6 @@
         (pin (num 18) (name B0) (type 3state))
         (pin (num 19) (name CE) (type input))
         (pin (num 20) (name VCC) (type power_in))))
-    (libpart (lib Connector) (part Barrel_Jack_Switch)
-      (description "DC Barrel Jack with an internal switch")
-      (docs ~)
-      (footprints
-        (fp BarrelJack*))
-      (fields
-        (field (name Reference) J)
-        (field (name Value) Barrel_Jack_Switch))
-      (pins
-        (pin (num 1) (name ~) (type passive))
-        (pin (num 2) (name ~) (type passive))
-        (pin (num 3) (name ~) (type passive))))
     (libpart (lib Connector) (part Conn_01x01_Male)
       (description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)")
       (docs ~)
@@ -1842,43 +1733,45 @@
       (pins
         (pin (num 1) (name Pin_1) (type passive))
         (pin (num 2) (name Pin_2) (type passive))))
-    (libpart (lib Connector) (part HDMI_C_1.3)
-      (description "HDMI 1.3+ type C connector")
-      (docs http://pinoutguide.com/PortableDevices/mini_hdmi_pinout.shtml)
+    (libpart (lib Connector) (part HDMI_A)
+      (description "HDMI type A connector")
+      (docs https://en.wikipedia.org/wiki/HDMI)
       (footprints
-        (fp HDMI*C*))
+        (fp HDMI*A*))
       (fields
         (field (name Reference) J)
-        (field (name Value) HDMI_C_1.3))
+        (field (name Value) HDMI_A))
       (pins
-        (pin (num 1) (name D2S) (type power_in))
-        (pin (num 2) (name D2+) (type passive))
+        (pin (num 1) (name D2+) (type passive))
+        (pin (num 2) (name D2S) (type power_in))
         (pin (num 3) (name D2-) (type passive))
-        (pin (num 4) (name D1S) (type power_in))
-        (pin (num 5) (name D1+) (type passive))
+        (pin (num 4) (name D1+) (type passive))
+        (pin (num 5) (name D1S) (type power_in))
         (pin (num 6) (name D1-) (type passive))
-        (pin (num 7) (name D0S) (type power_in))
-        (pin (num 8) (name D0+) (type passive))
+        (pin (num 7) (name D0+) (type passive))
+        (pin (num 8) (name D0S) (type power_in))
         (pin (num 9) (name D0-) (type passive))
-        (pin (num 10) (name CKS) (type power_in))
-        (pin (num 11) (name CK+) (type passive))
+        (pin (num 10) (name CK+) (type passive))
+        (pin (num 11) (name CKS) (type power_in))
         (pin (num 12) (name CK-) (type passive))
-        (pin (num 13) (name GND) (type power_in))
-        (pin (num 14) (name CEC) (type BiDi))
+        (pin (num 13) (name CEC) (type BiDi))
+        (pin (num 14) (name UTILITY) (type passive))
         (pin (num 15) (name SCL) (type passive))
         (pin (num 16) (name SDA) (type BiDi))
-        (pin (num 17) (name UTILITY) (type passive))
+        (pin (num 17) (name GND) (type power_in))
         (pin (num 18) (name +5V) (type power_in))
         (pin (num 19) (name HPD) (type passive))
         (pin (num SH) (name SH) (type passive))))
-    (libpart (lib Connector) (part Micro_SD_Card)
-      (description "Micro SD Card Socket")
-      (docs http://katalog.we-online.de/em/datasheet/693072010801.pdf)
+    (libpart (lib Connector) (part Micro_SD_Card_Det)
+      (aliases
+        (alias Micro_SD_Card_Det_Hirose_DM3AT))
+      (description "Micro SD Card Socket with card detection pins")
+      (docs https://www.hirose.com/product/en/download_file/key_name/DM3/category/Catalog/doc_file_id/49662/?file_category_id=4&item_id=195&is_series=1)
       (footprints
         (fp microSD*))
       (fields
         (field (name Reference) J)
-        (field (name Value) Micro_SD_Card))
+        (field (name Value) Micro_SD_Card_Det))
       (pins
         (pin (num 1) (name DAT2) (type BiDi))
         (pin (num 2) (name DAT3/CD) (type BiDi))
@@ -1888,7 +1781,9 @@
         (pin (num 6) (name VSS) (type power_in))
         (pin (num 7) (name DAT0) (type BiDi))
         (pin (num 8) (name DAT1) (type BiDi))
-        (pin (num 9) (name SHIELD) (type passive))))
+        (pin (num 9) (name DET_B) (type passive))
+        (pin (num 10) (name DET_A) (type passive))
+        (pin (num 11) (name SHIELD) (type passive))))
     (libpart (lib Connector_Generic) (part Conn_02x06_Odd_Even)
       (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
       (docs ~)
@@ -2015,20 +1910,6 @@
       (pins
         (pin (num 1) (name K) (type passive))
         (pin (num 2) (name A) (type passive))))
-    (libpart (lib Device) (part L)
-      (description Inductor)
-      (docs ~)
-      (footprints
-        (fp Choke_*)
-        (fp *Coil*)
-        (fp Inductor_*)
-        (fp L_*))
-      (fields
-        (field (name Reference) L)
-        (field (name Value) L))
-      (pins
-        (pin (num 1) (name 1) (type passive))
-        (pin (num 2) (name 2) (type passive))))
     (libpart (lib Device) (part LED)
       (description "Light emitting diode")
       (docs ~)
@@ -2769,27 +2650,7 @@
         (pin (num 51) (name IO14) (type BiDi))
         (pin (num 52) (name VSSQ) (type power_in))
         (pin (num 53) (name IO15) (type BiDi))
-        (pin (num 54) (name VSS) (type power_in))))
-    (libpart (lib max80) (part TPS54331)
-      (aliases
-        (alias TPS5431DDA))
-      (description "3A, Step Down Swift Converter, , PowerSOIC-8")
-      (docs http://www.ti.com/lit/ds/symlink/tps5430.pdf)
-      (footprints
-        (fp TI*SO*PowerPAD*ThermalVias*))
-      (fields
-        (field (name Reference) U)
-        (field (name Value) TPS54331)
-        (field (name Footprint) Package_SO:SOIC-8_3.9x4.9mm_P1.27mm))
-      (pins
-        (pin (num 1) (name BOOT) (type input))
-        (pin (num 2) (name VIN) (type power_in))
-        (pin (num 3) (name EN) (type input))
-        (pin (num 4) (name SS) (type input))
-        (pin (num 5) (name VSENSE) (type input))
-        (pin (num 6) (name COMP) (type BiDi))
-        (pin (num 7) (name GND) (type power_in))
-        (pin (num 8) (name PH) (type output)))))
+        (pin (num 54) (name VSS) (type power_in)))))
   (libraries
     (library (logical 74xx)
       (uri /usr/share/kicad/library/74xx.lib))
@@ -2818,1389 +2679,1354 @@
     (library (logical max80)
       (uri /home/pm/project/abc80/max80/max80.lib)))
   (nets
-    (net (code 1) (name DLCK)
-      (node (ref D5) (pin 2))
-      (node (ref U13) (pin H1))
-      (node (ref U7) (pin 6)))
-    (net (code 2) (name ~OUT_ABC_3V3)
-      (node (ref U13) (pin G2))
-      (node (ref U2) (pin 8)))
-    (net (code 3) (name ~CS_ABC_3V3)
-      (node (ref U13) (pin F2))
-      (node (ref U3) (pin 2)))
-    (net (code 4) (name DATA0)
-      (node (ref D7) (pin 2))
-      (node (ref R41) (pin 1))
-      (node (ref U13) (pin H2)))
-    (net (code 5) (name nCE0)
-      (node (ref D4) (pin 2))
-      (node (ref U13) (pin D2))
-      (node (ref U7) (pin 1)))
-    (net (code 6) (name ASD0)
-      (node (ref D6) (pin 2))
-      (node (ref U7) (pin 5))
-      (node (ref U13) (pin C1)))
-    (net (code 7) (name XM_ABC_3V3)
-      (node (ref U13) (pin B1))
-      (node (ref Q6) (pin 1))
-      (node (ref R44) (pin 2)))
-    (net (code 8) (name HDMI_D2-)
-      (node (ref U13) (pin P16))
-      (node (ref C76) (pin 2)))
-    (net (code 9) (name HDMI_D0+)
-      (node (ref C79) (pin 2))
-      (node (ref U13) (pin K15)))
-    (net (code 10) (name HDMI_D1-)
-      (node (ref C78) (pin 2))
-      (node (ref U13) (pin N16)))
-    (net (code 11) (name HDMI_D1+)
-      (node (ref U13) (pin N15))
-      (node (ref C77) (pin 2)))
-    (net (code 12) (name HDMI_D2+)
+    (net (code 1) (name FPGA_TDO)
+      (node (ref U13) (pin J4))
+      (node (ref J4) (pin 3))
+      (node (ref U11) (pin 20)))
+    (net (code 2) (name HDMI_D2+)
       (node (ref U13) (pin R16))
       (node (ref C75) (pin 2)))
-    (net (code 13) (name FPGA_GPIO3)
-      (node (ref U13) (pin R10))
-      (node (ref J4) (pin 16)))
-    (net (code 14) (name CLK0n)
-      (node (ref OSC1) (pin 3))
-      (node (ref U13) (pin M15)))
-    (net (code 15) (name FPGA_TDO)
-      (node (ref U13) (pin J4))
-      (node (ref U11) (pin 20))
-      (node (ref J4) (pin 3)))
-    (net (code 16) (name FPGA_JTAGEN)
-      (node (ref U13) (pin J3))
-      (node (ref J4) (pin 7))
-      (node (ref R24) (pin 2)))
-    (net (code 17) (name FPGA_TDI)
+    (net (code 3) (name HDMI_D1-)
+      (node (ref U13) (pin N16))
+      (node (ref C78) (pin 2)))
+    (net (code 4) (name HDMI_D2-)
+      (node (ref C76) (pin 2))
+      (node (ref U13) (pin P16)))
+    (net (code 5) (name CLK0n)
+      (node (ref U13) (pin M15))
+      (node (ref OSC1) (pin 3)))
+    (net (code 6) (name FPGA_TCK)
+      (node (ref J4) (pin 1))
+      (node (ref U11) (pin 21))
+      (node (ref U13) (pin H3))
+      (node (ref R42) (pin 2)))
+    (net (code 7) (name FPGA_TMS)
+      (node (ref U11) (pin 17))
+      (node (ref J4) (pin 5))
+      (node (ref U13) (pin J5))
+      (node (ref R40) (pin 2)))
+    (net (code 8) (name HDMI_D1+)
+      (node (ref C77) (pin 2))
+      (node (ref U13) (pin N15)))
+    (net (code 9) (name FPGA_TDI)
       (node (ref R39) (pin 1))
+      (node (ref J4) (pin 9))
       (node (ref U13) (pin H4))
-      (node (ref U11) (pin 19))
-      (node (ref J4) (pin 9)))
-    (net (code 18) (name FPGA_SPI_MISO)
-      (node (ref U13) (pin M7))
-      (node (ref U11) (pin 16)))
-    (net (code 19) (name FPGA_SPI_CLK)
-      (node (ref U13) (pin P6))
-      (node (ref U11) (pin 14)))
-    (net (code 20) (name FGPA_SPI_CS_ESP32)
+      (node (ref U11) (pin 19)))
+    (net (code 10) (name DATA0)
+      (node (ref U13) (pin H2))
+      (node (ref R41) (pin 1))
+      (node (ref D7) (pin 2)))
+    (net (code 11) (name ~OUT_ABC_3V3)
+      (node (ref U2) (pin 8))
+      (node (ref U13) (pin G2)))
+    (net (code 12) (name HDMI_HPD)
+      (node (ref R46) (pin 1))
+      (node (ref Q7) (pin 2))
+      (node (ref U13) (pin T15)))
+    (net (code 13) (name EXT_HF)
+      (node (ref U13) (pin T11))
+      (node (ref J8) (pin 10)))
+    (net (code 14) (name HDMI_SCL)
+      (node (ref R11) (pin 1))
+      (node (ref U13) (pin M11))
+      (node (ref J2) (pin 15)))
+    (net (code 15) (name HDMI_SDA)
+      (node (ref R2) (pin 2))
+      (node (ref U13) (pin R13))
+      (node (ref J2) (pin 16)))
+    (net (code 16) (name HDMI_D0+)
+      (node (ref C79) (pin 2))
+      (node (ref U13) (pin K15)))
+    (net (code 17) (name EXT_HH)
+      (node (ref R50) (pin 2))
+      (node (ref U13) (pin R8))
+      (node (ref J8) (pin 8)))
+    (net (code 18) (name INT_ESP32)
+      (node (ref U13) (pin P8))
+      (node (ref U11) (pin 12)))
+    (net (code 19) (name XM_ABC_3V3)
+      (node (ref U13) (pin B1))
+      (node (ref R44) (pin 2))
+      (node (ref Q6) (pin 1)))
+    (net (code 20) (name ~CS_ABC_3V3)
+      (node (ref U3) (pin 2))
+      (node (ref U13) (pin F2)))
+    (net (code 21) (name nCE0)
+      (node (ref U7) (pin 1))
+      (node (ref U13) (pin D2))
+      (node (ref D4) (pin 2)))
+    (net (code 22) (name ASD0)
+      (node (ref D6) (pin 2))
+      (node (ref U13) (pin C1))
+      (node (ref U7) (pin 5)))
+    (net (code 23) (name FPGA_SPI_CLK)
+      (node (ref U11) (pin 14))
+      (node (ref U13) (pin P6)))
+    (net (code 24) (name FGPA_SPI_CS_ESP32)
       (node (ref U13) (pin N8))
       (node (ref U11) (pin 13)))
-    (net (code 21) (name FLASH_CS#)
-      (node (ref U13) (pin N6))
-      (node (ref U11) (pin 4)))
-    (net (code 22) (name FPGA_SPI_MOSI)
-      (node (ref U11) (pin 15))
-      (node (ref U13) (pin M8)))
-    (net (code 23) (name ESP32_IO0)
-      (node (ref U11) (pin 3))
-      (node (ref U13) (pin L8))
+    (net (code 25) (name ESP32_IO0)
       (node (ref J4) (pin 30))
+      (node (ref U13) (pin L8))
+      (node (ref U11) (pin 3))
       (node (ref R21) (pin 2)))
-    (net (code 24) (name ~XMEMFL_ABC_3V3)
-      (node (ref U14) (pin 2))
-      (node (ref U13) (pin N3)))
-    (net (code 25) (name ABC_CLK_3V3)
-      (node (ref U14) (pin 6))
-      (node (ref U13) (pin T8)))
-    (net (code 26) (name DD0)
-      (node (ref U5) (pin 9))
-      (node (ref U13) (pin P3)))
-    (net (code 27) (name FPGA_GPIO0)
+    (net (code 26) (name FPGA_GPIO3)
+      (node (ref J4) (pin 16))
+      (node (ref U13) (pin R10)))
+    (net (code 27) (name FPGA_GPIO2)
+      (node (ref J4) (pin 15))
+      (node (ref U13) (pin T6)))
+    (net (code 28) (name FPGA_GPIO0)
       (node (ref J4) (pin 13))
       (node (ref U13) (pin L7)))
-    (net (code 28) (name INT_ESP32)
-      (node (ref U11) (pin 12))
-      (node (ref U13) (pin P8)))
     (net (code 29) (name FPGA_GPIO4)
       (node (ref J4) (pin 17))
       (node (ref U13) (pin T7)))
-    (net (code 30) (name FPGA_GPIO2)
-      (node (ref U13) (pin T6))
-      (node (ref J4) (pin 15)))
-    (net (code 31) (name EXT_HH)
-      (node (ref U13) (pin R8))
-      (node (ref R50) (pin 2))
-      (node (ref J8) (pin 8)))
-    (net (code 32) (name FPGA_GPIO5)
+    (net (code 30) (name FPGA_GPIO5)
       (node (ref J4) (pin 18))
       (node (ref U13) (pin R7)))
-    (net (code 33) (name DD10)
-      (node (ref U14) (pin 1))
-      (node (ref U13) (pin T10)))
-    (net (code 34) (name HDMI_D0-)
-      (node (ref U13) (pin K16))
-      (node (ref C80) (pin 2)))
-    (net (code 35) (name GND)
-      (node (ref U12) (pin 12))
-      (node (ref C8) (pin 2))
-      (node (ref U12) (pin 13))
-      (node (ref U12) (pin 6))
-      (node (ref U12) (pin 5))
-      (node (ref U12) (pin 11))
-      (node (ref C14) (pin 2))
-      (node (ref C15) (pin 2))
-      (node (ref C9) (pin 2))
-      (node (ref C13) (pin 2))
-      (node (ref BT1) (pin 2))
-      (node (ref U12) (pin 9))
-      (node (ref C10) (pin 2))
-      (node (ref C40) (pin 2))
-      (node (ref U12) (pin 8))
-      (node (ref C39) (pin 2))
-      (node (ref C11) (pin 2))
-      (node (ref U10) (pin 29))
-      (node (ref U10) (pin 3))
-      (node (ref C47) (pin 2))
-      (node (ref U12) (pin 7))
-      (node (ref U11) (pin 26))
-      (node (ref C54) (pin 2))
-      (node (ref C53) (pin 2))
+    (net (code 31) (name +3V3)
+      (node (ref C13) (pin 1))
+      (node (ref C14) (pin 1))
+      (node (ref C11) (pin 1))
+      (node (ref C48) (pin 1))
+      (node (ref C51) (pin 1))
+      (node (ref C10) (pin 1))
+      (node (ref C8) (pin 1))
+      (node (ref C31) (pin 1))
+      (node (ref C45) (pin 1))
+      (node (ref C33) (pin 1))
+      (node (ref C46) (pin 1))
+      (node (ref C30) (pin 1))
+      (node (ref C29) (pin 1))
+      (node (ref C26) (pin 1))
+      (node (ref C56) (pin 1))
+      (node (ref C68) (pin 1))
+      (node (ref C25) (pin 1))
+      (node (ref C16) (pin 1))
+      (node (ref C28) (pin 1))
+      (node (ref C69) (pin 1))
+      (node (ref J3) (pin 4))
+      (node (ref OSC1) (pin 4))
+      (node (ref C34) (pin 1))
+      (node (ref R38) (pin 1))
+      (node (ref R19) (pin 1))
+      (node (ref R40) (pin 1))
+      (node (ref R20) (pin 1))
+      (node (ref R21) (pin 1))
+      (node (ref C61) (pin 1))
+      (node (ref U10) (pin 7))
+      (node (ref U10) (pin 6))
+      (node (ref R1) (pin 1))
+      (node (ref D29) (pin 2))
+      (node (ref R12) (pin 2))
+      (node (ref R14) (pin 1))
+      (node (ref R39) (pin 2))
+      (node (ref U3) (pin 20))
+      (node (ref C3) (pin 1))
+      (node (ref U2) (pin 20))
+      (node (ref U5) (pin 20))
+      (node (ref C5) (pin 1))
+      (node (ref C4) (pin 1))
+      (node (ref U4) (pin 20))
+      (node (ref U14) (pin 20))
+      (node (ref C40) (pin 1))
+      (node (ref D30) (pin 2))
+      (node (ref C7) (pin 1))
+      (node (ref C6) (pin 1))
+      (node (ref C2) (pin 1))
+      (node (ref U7) (pin 8))
+      (node (ref U7) (pin 7))
+      (node (ref U7) (pin 3))
+      (node (ref C22) (pin 1))
+      (node (ref C21) (pin 1))
+      (node (ref C47) (pin 1))
+      (node (ref C49) (pin 1))
+      (node (ref C27) (pin 1))
+      (node (ref C24) (pin 1))
+      (node (ref C54) (pin 1))
+      (node (ref U13) (pin H12))
+      (node (ref U11) (pin 2))
+      (node (ref U12) (pin 2))
+      (node (ref R32) (pin 1))
+      (node (ref R9) (pin 1))
+      (node (ref C55) (pin 1))
+      (node (ref OSC1) (pin 1))
+      (node (ref R46) (pin 2))
+      (node (ref J8) (pin 1))
+      (node (ref J8) (pin 2))
+      (node (ref U6) (pin 27))
+      (node (ref D5) (pin 1))
+      (node (ref U13) (pin M3))
+      (node (ref Q7) (pin 1))
+      (node (ref U13) (pin K3))
+      (node (ref U13) (pin P7))
+      (node (ref U13) (pin T1))
+      (node (ref U13) (pin T16))
+      (node (ref R13) (pin 2))
+      (node (ref U6) (pin 3))
+      (node (ref J4) (pin 4))
+      (node (ref R37) (pin 1))
+      (node (ref U6) (pin 1))
+      (node (ref J4) (pin 31))
+      (node (ref R49) (pin 1))
+      (node (ref U6) (pin 14))
+      (node (ref U6) (pin 49))
+      (node (ref C83) (pin 1))
+      (node (ref U6) (pin 43))
+      (node (ref U6) (pin 9))
+      (node (ref R50) (pin 1))
+      (node (ref U13) (pin P13))
+      (node (ref U13) (pin R9))
+      (node (ref R2) (pin 1))
+      (node (ref U13) (pin P10))
+      (node (ref R11) (pin 2))
+      (node (ref U13) (pin A16))
+      (node (ref U13) (pin C10))
+      (node (ref D4) (pin 1))
+      (node (ref U13) (pin C13))
+      (node (ref U13) (pin C4))
+      (node (ref U13) (pin C7))
+      (node (ref U13) (pin A1))
+      (node (ref D7) (pin 1))
+      (node (ref U13) (pin P4))
+      (node (ref U8) (pin 2))
+      (node (ref U13) (pin E3))
+      (node (ref U13) (pin G14))
+      (node (ref U13) (pin G3))
+      (node (ref U13) (pin E14))
+      (node (ref C38) (pin 1))
+      (node (ref D6) (pin 1))
+      (node (ref C37) (pin 1)))
+    (net (code 32) (name "Net-(U13-PadK12)")
+      (node (ref U13) (pin K12)))
+    (net (code 33) (name GND)
+      (node (ref J4) (pin 37))
+      (node (ref U13) (pin J10))
+      (node (ref J4) (pin 33))
+      (node (ref U15) (pin 2))
+      (node (ref U13) (pin J11))
+      (node (ref U13) (pin J7))
+      (node (ref J4) (pin 35))
+      (node (ref J4) (pin 39))
+      (node (ref U11) (pin 43))
+      (node (ref J4) (pin 10))
+      (node (ref J4) (pin 2))
       (node (ref R3) (pin 1))
-      (node (ref C55) (pin 2))
+      (node (ref U11) (pin 42))
       (node (ref U11) (pin 1))
-      (node (ref U11) (pin 43))
-      (node (ref U15) (pin 2))
-      (node (ref C52) (pin 2))
+      (node (ref U13) (pin P5))
+      (node (ref U11) (pin 26))
+      (node (ref U13) (pin R15))
+      (node (ref USB2) (pin 12))
+      (node (ref U13) (pin R2))
       (node (ref R4) (pin 1))
-      (node (ref C18) (pin 2))
+      (node (ref C52) (pin 2))
       (node (ref USB2) (pin 1))
-      (node (ref USB2) (pin 12))
-      (node (ref C20) (pin 2))
-      (node (ref U12) (pin 10))
-      (node (ref R16) (pin 2))
-      (node (ref R17) (pin 2))
-      (node (ref R18) (pin 2))
-      (node (ref C19) (pin 2))
-      (node (ref C17) (pin 2))
-      (node (ref C1) (pin 2))
-      (node (ref U11) (pin 42))
-      (node (ref C61) (pin 2))
-      (node (ref C60) (pin 2))
-      (node (ref R33) (pin 2))
-      (node (ref C35) (pin 2))
-      (node (ref C36) (pin 2))
-      (node (ref Q1) (pin 2))
-      (node (ref C7) (pin 2))
-      (node (ref Q5) (pin 2))
-      (node (ref Q6) (pin 2))
-      (node (ref C58) (pin 2))
-      (node (ref C33) (pin 2))
-      (node (ref J2) (pin 3))
-      (node (ref D26) (pin 1))
-      (node (ref R36) (pin 2))
-      (node (ref U8) (pin 7))
+      (node (ref C54) (pin 2))
+      (node (ref C53) (pin 2))
+      (node (ref C55) (pin 2))
+      (node (ref R23) (pin 2))
       (node (ref J2) (pin 2))
-      (node (ref U14) (pin 19))
-      (node (ref D24) (pin 2))
-      (node (ref C57) (pin 2))
-      (node (ref R34) (pin 2))
-      (node (ref U4) (pin 10))
-      (node (ref X1) (pin B2))
-      (node (ref U5) (pin 10))
-      (node (ref C4) (pin 2))
-      (node (ref C5) (pin 2))
-      (node (ref U4) (pin 19))
-      (node (ref Q4) (pin 2))
-      (node (ref U3) (pin 19))
-      (node (ref U3) (pin 10))
-      (node (ref R6) (pin 1))
-      (node (ref U9) (pin 2))
-      (node (ref USB1) (pin 12))
-      (node (ref USB1) (pin 1))
-      (node (ref R5) (pin 1))
       (node (ref C3) (pin 2))
-      (node (ref X1) (pin A4))
-      (node (ref U13) (pin H13))
-      (node (ref J6) (pin 1))
-      (node (ref U2) (pin 10))
-      (node (ref U13) (pin G12))
-      (node (ref U14) (pin 10))
-      (node (ref C6) (pin 2))
+      (node (ref J2) (pin 17))
       (node (ref Q3) (pin 2))
-      (node (ref R23) (pin 2))
       (node (ref R22) (pin 2))
-      (node (ref X1) (pin A2))
       (node (ref Q2) (pin 2))
+      (node (ref X1) (pin A4))
+      (node (ref X1) (pin A2))
+      (node (ref U3) (pin 10))
       (node (ref U2) (pin 19))
-      (node (ref U13) (pin D7))
-      (node (ref U13) (pin H15))
-      (node (ref U13) (pin K4))
-      (node (ref U13) (pin K13))
-      (node (ref U13) (pin J9))
-      (node (ref U13) (pin J8))
-      (node (ref U13) (pin J7))
-      (node (ref U13) (pin J11))
-      (node (ref U13) (pin J10))
-      (node (ref U13) (pin H9))
-      (node (ref U13) (pin H8))
-      (node (ref U13) (pin H7))
-      (node (ref U13) (pin H16))
-      (node (ref U13) (pin H10))
-      (node (ref U13) (pin K8))
-      (node (ref J4) (pin 10))
-      (node (ref J4) (pin 33))
-      (node (ref J4) (pin 35))
-      (node (ref J4) (pin 39))
-      (node (ref J4) (pin 37))
-      (node (ref J4) (pin 2))
-      (node (ref J5) (pin 13))
-      (node (ref J5) (pin 1))
-      (node (ref J5) (pin 4))
-      (node (ref J5) (pin 7))
-      (node (ref J8) (pin 11))
+      (node (ref J2) (pin 8))
+      (node (ref U3) (pin 19))
+      (node (ref J2) (pin 5))
+      (node (ref J6) (pin 1))
+      (node (ref U4) (pin 10))
+      (node (ref U4) (pin 19))
+      (node (ref U2) (pin 10))
+      (node (ref Q5) (pin 2))
+      (node (ref Q6) (pin 2))
+      (node (ref Q1) (pin 2))
+      (node (ref BT1) (pin 2))
+      (node (ref U10) (pin 29))
+      (node (ref U10) (pin 3))
+      (node (ref R16) (pin 2))
+      (node (ref R17) (pin 2))
+      (node (ref R18) (pin 2))
+      (node (ref USB1) (pin 1))
       (node (ref J8) (pin 12))
-      (node (ref U13) (pin G4))
-      (node (ref U13) (pin G13))
-      (node (ref U13) (pin F6))
-      (node (ref U13) (pin F10))
-      (node (ref U13) (pin E5))
-      (node (ref U13) (pin E4))
-      (node (ref U13) (pin E2))
-      (node (ref U13) (pin E13))
-      (node (ref U13) (pin E12))
-      (node (ref U13) (pin M5))
-      (node (ref U13) (pin R2))
-      (node (ref U13) (pin R15))
-      (node (ref U13) (pin P5))
-      (node (ref U13) (pin P12))
-      (node (ref U13) (pin N7))
-      (node (ref U13) (pin N10))
-      (node (ref U13) (pin M4))
-      (node (ref U13) (pin M13))
-      (node (ref U13) (pin M12))
-      (node (ref C62) (pin 2))
-      (node (ref C50) (pin 2))
+      (node (ref J8) (pin 11))
+      (node (ref U9) (pin 2))
+      (node (ref USB1) (pin 12))
+      (node (ref R5) (pin 1))
+      (node (ref R6) (pin 1))
+      (node (ref X1) (pin B2))
+      (node (ref Q4) (pin 2))
+      (node (ref C40) (pin 2))
+      (node (ref C39) (pin 2))
+      (node (ref C26) (pin 2))
+      (node (ref C59) (pin 2))
+      (node (ref C69) (pin 2))
+      (node (ref C68) (pin 2))
       (node (ref C44) (pin 2))
       (node (ref C43) (pin 2))
-      (node (ref C63) (pin 2))
-      (node (ref C66) (pin 2))
-      (node (ref C31) (pin 2))
-      (node (ref C27) (pin 2))
-      (node (ref C24) (pin 2))
-      (node (ref C22) (pin 2))
-      (node (ref C21) (pin 2))
-      (node (ref C23) (pin 2))
+      (node (ref C50) (pin 2))
+      (node (ref C71) (pin 2))
+      (node (ref C25) (pin 2))
+      (node (ref C16) (pin 2))
+      (node (ref C28) (pin 2))
+      (node (ref C73) (pin 2))
+      (node (ref C65) (pin 2))
+      (node (ref C70) (pin 2))
+      (node (ref C74) (pin 2))
+      (node (ref U1) (pin 1))
+      (node (ref C64) (pin 2))
+      (node (ref C72) (pin 2))
+      (node (ref C67) (pin 2))
+      (node (ref U16) (pin 1))
+      (node (ref C62) (pin 2))
+      (node (ref U13) (pin E13))
+      (node (ref U13) (pin D7))
+      (node (ref U13) (pin E12))
+      (node (ref U13) (pin D10))
       (node (ref C29) (pin 2))
       (node (ref C30) (pin 2))
+      (node (ref U13) (pin E2))
+      (node (ref U13) (pin E4))
+      (node (ref U13) (pin E5))
+      (node (ref U13) (pin B15))
+      (node (ref U13) (pin B2))
+      (node (ref U13) (pin C12))
+      (node (ref U13) (pin C5))
+      (node (ref U8) (pin 1))
+      (node (ref C38) (pin 2))
+      (node (ref C37) (pin 2))
+      (node (ref C35) (pin 2))
+      (node (ref C36) (pin 2))
+      (node (ref U13) (pin F10))
       (node (ref C41) (pin 2))
       (node (ref C42) (pin 2))
       (node (ref C32) (pin 2))
-      (node (ref C59) (pin 2))
-      (node (ref U16) (pin 1))
-      (node (ref C67) (pin 2))
-      (node (ref C72) (pin 2))
-      (node (ref U1) (pin 1))
-      (node (ref U13) (pin D10))
-      (node (ref U13) (pin C5))
-      (node (ref U13) (pin C12))
-      (node (ref U13) (pin B2))
-      (node (ref U13) (pin B15))
-      (node (ref C69) (pin 2))
-      (node (ref C74) (pin 2))
-      (node (ref C70) (pin 2))
-      (node (ref C65) (pin 2))
-      (node (ref C71) (pin 2))
-      (node (ref C73) (pin 2))
-      (node (ref C26) (pin 2))
-      (node (ref C28) (pin 2))
-      (node (ref C16) (pin 2))
-      (node (ref C25) (pin 2))
-      (node (ref C68) (pin 2))
+      (node (ref C66) (pin 2))
+      (node (ref C31) (pin 2))
+      (node (ref U13) (pin J8))
+      (node (ref U13) (pin M13))
+      (node (ref U13) (pin J9))
+      (node (ref U13) (pin K13))
+      (node (ref U13) (pin K4))
+      (node (ref C20) (pin 2))
+      (node (ref U13) (pin K8))
+      (node (ref C18) (pin 2))
+      (node (ref C17) (pin 2))
+      (node (ref U13) (pin M12))
+      (node (ref C1) (pin 2))
+      (node (ref C19) (pin 2))
+      (node (ref U13) (pin M4))
+      (node (ref U13) (pin M5))
+      (node (ref U13) (pin N10))
+      (node (ref C22) (pin 2))
+      (node (ref U13) (pin N7))
+      (node (ref U12) (pin 7))
+      (node (ref U13) (pin G4))
+      (node (ref U13) (pin H15))
+      (node (ref U13) (pin H16))
+      (node (ref U12) (pin 9))
+      (node (ref U12) (pin 8))
+      (node (ref C47) (pin 2))
+      (node (ref U12) (pin 6))
+      (node (ref U12) (pin 5))
+      (node (ref U13) (pin H7))
+      (node (ref U12) (pin 13))
+      (node (ref U12) (pin 12))
+      (node (ref U12) (pin 11))
+      (node (ref U12) (pin 10))
+      (node (ref U13) (pin H8))
+      (node (ref U13) (pin P12))
+      (node (ref C21) (pin 2))
+      (node (ref U13) (pin H10))
+      (node (ref U13) (pin F6))
+      (node (ref U13) (pin G13))
+      (node (ref C10) (pin 2))
+      (node (ref C13) (pin 2))
+      (node (ref C63) (pin 2))
+      (node (ref C9) (pin 2))
+      (node (ref C15) (pin 2))
+      (node (ref C14) (pin 2))
       (node (ref C12) (pin 2))
-      (node (ref C64) (pin 2))
-      (node (ref C46) (pin 2))
-      (node (ref C56) (pin 2))
-      (node (ref D22) (pin 1))
-      (node (ref C48) (pin 2))
-      (node (ref R24) (pin 1))
+      (node (ref C11) (pin 2))
+      (node (ref C8) (pin 2))
+      (node (ref C27) (pin 2))
+      (node (ref C24) (pin 2))
+      (node (ref C23) (pin 2))
+      (node (ref U13) (pin H9))
       (node (ref U6) (pin 12))
-      (node (ref C45) (pin 2))
-      (node (ref U6) (pin 28))
-      (node (ref C34) (pin 2))
-      (node (ref R42) (pin 1))
-      (node (ref U7) (pin 4))
-      (node (ref C2) (pin 2))
-      (node (ref C83) (pin 2))
+      (node (ref C5) (pin 2))
       (node (ref U6) (pin 41))
+      (node (ref D22) (pin 1))
       (node (ref D17) (pin 1))
+      (node (ref U5) (pin 10))
       (node (ref D23) (pin 1))
-      (node (ref U6) (pin 46))
-      (node (ref U6) (pin 46))
-      (node (ref U6) (pin 6))
-      (node (ref U6) (pin 54))
+      (node (ref C45) (pin 2))
       (node (ref U6) (pin 52))
-      (node (ref C49) (pin 2))
+      (node (ref C48) (pin 2))
+      (node (ref U6) (pin 54))
+      (node (ref U6) (pin 6))
+      (node (ref C4) (pin 2))
+      (node (ref C51) (pin 2))
+      (node (ref U6) (pin 28))
+      (node (ref J3) (pin 6))
+      (node (ref J3) (pin 9))
+      (node (ref J3) (pin 11))
+      (node (ref C46) (pin 2))
+      (node (ref C33) (pin 2))
+      (node (ref C56) (pin 2))
+      (node (ref C34) (pin 2))
+      (node (ref U14) (pin 19))
+      (node (ref U14) (pin 10))
+      (node (ref C83) (pin 2))
+      (node (ref C60) (pin 2))
+      (node (ref C61) (pin 2))
+      (node (ref R42) (pin 1))
+      (node (ref C6) (pin 2))
       (node (ref OSC1) (pin 2))
+      (node (ref C7) (pin 2))
       (node (ref U13) (pin M16))
-      (node (ref J3) (pin 6))
-      (node (ref J3) (pin 9))
-      (node (ref C51) (pin 2)))
-    (net (code 36) (name "Net-(U13-PadL9)")
-      (node (ref U13) (pin L9)))
-    (net (code 37) (name "Net-(U13-PadK9)")
-      (node (ref U13) (pin K9)))
-    (net (code 38) (name "Net-(U13-PadM9)")
-      (node (ref U13) (pin M9)))
-    (net (code 39) (name EXT_HF)
-      (node (ref J8) (pin 10))
-      (node (ref U13) (pin T11)))
-    (net (code 40) (name HDMI_HPD)
-      (node (ref R46) (pin 1))
-      (node (ref U13) (pin T15))
-      (node (ref Q7) (pin 2)))
-    (net (code 41) (name HDMI_SCL)
-      (node (ref R11) (pin 1))
-      (node (ref J5) (pin 15))
-      (node (ref U13) (pin M11)))
-    (net (code 42) (name HDMI_SDA)
-      (node (ref R2) (pin 2))
-      (node (ref U13) (pin R13))
-      (node (ref J5) (pin 16)))
-    (net (code 43) (name "Net-(U13-PadJ12)")
+      (node (ref U7) (pin 4))
+      (node (ref C2) (pin 2))
+      (node (ref R24) (pin 1))
+      (node (ref U6) (pin 46))
+      (node (ref C49) (pin 2))
+      (node (ref U6) (pin 46))
+      (node (ref U13) (pin H13))
+      (node (ref U13) (pin G12)))
+    (net (code 34) (name FPGA_JTAGEN)
+      (node (ref J4) (pin 7))
+      (node (ref U13) (pin J3))
+      (node (ref R24) (pin 2)))
+    (net (code 35) (name FLASH_CS#)
+      (node (ref U13) (pin N6))
+      (node (ref U11) (pin 4)))
+    (net (code 36) (name "Net-(U13-PadJ12)")
       (node (ref U13) (pin J12)))
-    (net (code 44) (name "Net-(U13-PadK12)")
-      (node (ref U13) (pin K12)))
-    (net (code 45) (name "Net-(U13-PadP15)")
+    (net (code 37) (name "Net-(U13-PadP15)")
       (node (ref U13) (pin P15)))
-    (net (code 46) (name "Net-(U13-PadN14)")
+    (net (code 38) (name "Net-(U13-PadN14)")
       (node (ref U13) (pin N14)))
-    (net (code 47) (name "Net-(U13-PadK10)")
+    (net (code 39) (name "Net-(U13-PadK10)")
       (node (ref U13) (pin K10)))
-    (net (code 48) (name FPGA_TMS)
-      (node (ref U13) (pin J5))
-      (node (ref R40) (pin 2))
-      (node (ref J4) (pin 5))
-      (node (ref U11) (pin 17)))
-    (net (code 49) (name /IO7)
+    (net (code 40) (name "Net-(U13-PadM9)")
+      (node (ref U13) (pin M9)))
+    (net (code 41) (name "Net-(U13-PadL9)")
+      (node (ref U13) (pin L9)))
+    (net (code 42) (name "Net-(U13-PadK9)")
+      (node (ref U13) (pin K9)))
+    (net (code 43) (name HDMI_D0-)
+      (node (ref C80) (pin 2))
+      (node (ref U13) (pin K16)))
+    (net (code 44) (name /IO0)
+      (node (ref U13) (pin A12))
+      (node (ref U6) (pin 2)))
+    (net (code 45) (name /A3)
+      (node (ref U6) (pin 26))
+      (node (ref U13) (pin A15)))
+    (net (code 46) (name /A10)
+      (node (ref U13) (pin C14))
+      (node (ref U6) (pin 22)))
+    (net (code 47) (name /BA1)
+      (node (ref U13) (pin B13))
+      (node (ref U6) (pin 21)))
+    (net (code 48) (name /BA0)
+      (node (ref U6) (pin 20))
+      (node (ref U13) (pin A13)))
+    (net (code 49) (name /CS#)
+      (node (ref U6) (pin 19))
+      (node (ref U13) (pin D12)))
+    (net (code 50) (name /RAS#)
+      (node (ref U6) (pin 18))
+      (node (ref U13) (pin B12)))
+    (net (code 51) (name /CAS#)
+      (node (ref U13) (pin E9))
+      (node (ref U6) (pin 17)))
+    (net (code 52) (name /IO7)
       (node (ref U13) (pin A10))
       (node (ref U6) (pin 13)))
-    (net (code 50) (name /IO6)
+    (net (code 53) (name "Net-(U6-Pad40)")
+      (node (ref U6) (pin 40)))
+    (net (code 54) (name /IO1)
+      (node (ref U6) (pin 4))
+      (node (ref U13) (pin E11)))
+    (net (code 55) (name /IO6)
       (node (ref U13) (pin B10))
       (node (ref U6) (pin 11)))
-    (net (code 51) (name /IO5)
-      (node (ref U6) (pin 10))
-      (node (ref U13) (pin A11)))
-    (net (code 52) (name /WE#)
-      (node (ref U6) (pin 16))
-      (node (ref U13) (pin F9)))
-    (net (code 53) (name /A5)
-      (node (ref U6) (pin 30))
-      (node (ref U13) (pin D9)))
-    (net (code 54) (name /A4)
+    (net (code 56) (name /A5)
+      (node (ref U13) (pin D9))
+      (node (ref U6) (pin 30)))
+    (net (code 57) (name /A4)
       (node (ref U13) (pin C9))
       (node (ref U6) (pin 29)))
-    (net (code 55) (name /A3)
-      (node (ref U13) (pin A15))
-      (node (ref U6) (pin 26)))
-    (net (code 56) (name /A2)
-      (node (ref U6) (pin 25))
-      (node (ref U13) (pin D14)))
-    (net (code 57) (name /A10)
-      (node (ref U13) (pin C14))
-      (node (ref U6) (pin 22)))
-    (net (code 58) (name /IO0)
-      (node (ref U6) (pin 2))
-      (node (ref U13) (pin A12)))
+    (net (code 58) (name DLCK)
+      (node (ref U13) (pin H1))
+      (node (ref D5) (pin 2))
+      (node (ref U7) (pin 6)))
     (net (code 59) (name "Net-(R13-Pad1)")
       (node (ref U13) (pin F4))
       (node (ref R13) (pin 1)))
     (net (code 60) (name "Net-(R37-Pad2)")
-      (node (ref R37) (pin 2))
-      (node (ref U13) (pin H5)))
-    (net (code 61) (name +3V3)
-      (node (ref U6) (pin 3))
-      (node (ref C45) (pin 1))
-      (node (ref U6) (pin 1))
-      (node (ref U6) (pin 27))
-      (node (ref C34) (pin 1))
-      (node (ref J4) (pin 31))
-      (node (ref J4) (pin 4))
-      (node (ref U6) (pin 14))
-      (node (ref U11) (pin 2))
-      (node (ref R1) (pin 1))
-      (node (ref U13) (pin G3))
-      (node (ref U13) (pin T16))
-      (node (ref C55) (pin 1))
-      (node (ref C54) (pin 1))
-      (node (ref U13) (pin M3))
-      (node (ref U13) (pin G14))
-      (node (ref U13) (pin E3))
-      (node (ref U12) (pin 2))
-      (node (ref U13) (pin E14))
-      (node (ref C47) (pin 1))
-      (node (ref U13) (pin P13))
-      (node (ref U13) (pin T1))
-      (node (ref R37) (pin 1))
-      (node (ref U13) (pin P7))
-      (node (ref U13) (pin P4))
-      (node (ref C46) (pin 1))
-      (node (ref C56) (pin 1))
-      (node (ref U13) (pin P10))
-      (node (ref R13) (pin 2))
-      (node (ref R46) (pin 2))
-      (node (ref C40) (pin 1))
-      (node (ref U4) (pin 20))
-      (node (ref R2) (pin 1))
-      (node (ref R11) (pin 2))
-      (node (ref U3) (pin 20))
-      (node (ref OSC1) (pin 4))
-      (node (ref U5) (pin 20))
-      (node (ref C5) (pin 1))
-      (node (ref C4) (pin 1))
-      (node (ref J3) (pin 4))
-      (node (ref C3) (pin 1))
-      (node (ref R19) (pin 1))
-      (node (ref R20) (pin 1))
-      (node (ref D4) (pin 1))
-      (node (ref Q7) (pin 1))
-      (node (ref J8) (pin 1))
-      (node (ref D6) (pin 1))
-      (node (ref J8) (pin 2))
-      (node (ref D7) (pin 1))
-      (node (ref U10) (pin 6))
-      (node (ref U10) (pin 7))
-      (node (ref R49) (pin 1))
-      (node (ref R50) (pin 1))
-      (node (ref R21) (pin 1))
-      (node (ref D5) (pin 1))
-      (node (ref U1) (pin 3))
-      (node (ref U16) (pin 3))
-      (node (ref C67) (pin 1))
-      (node (ref C16) (pin 1))
-      (node (ref C26) (pin 1))
-      (node (ref C25) (pin 1))
-      (node (ref C65) (pin 1))
-      (node (ref C70) (pin 1))
-      (node (ref C68) (pin 1))
-      (node (ref C83) (pin 1))
-      (node (ref C69) (pin 1))
-      (node (ref U13) (pin A16))
-      (node (ref C64) (pin 1))
-      (node (ref U13) (pin C7))
-      (node (ref U13) (pin C4))
-      (node (ref U13) (pin C13))
-      (node (ref U13) (pin C10))
-      (node (ref U13) (pin A1))
-      (node (ref C28) (pin 1))
-      (node (ref C24) (pin 1))
-      (node (ref C27) (pin 1))
-      (node (ref U6) (pin 49))
-      (node (ref U6) (pin 9))
-      (node (ref U13) (pin K3))
-      (node (ref U6) (pin 43))
-      (node (ref C8) (pin 1))
-      (node (ref C10) (pin 1))
-      (node (ref C11) (pin 1))
-      (node (ref C13) (pin 1))
-      (node (ref C21) (pin 1))
-      (node (ref C22) (pin 1))
-      (node (ref C30) (pin 1))
-      (node (ref C29) (pin 1))
-      (node (ref C31) (pin 1))
-      (node (ref U13) (pin R9))
-      (node (ref OSC1) (pin 1))
-      (node (ref C14) (pin 1))
-      (node (ref R14) (pin 1))
-      (node (ref U13) (pin H12))
-      (node (ref C51) (pin 1))
-      (node (ref C2) (pin 1))
-      (node (ref L2) (pin 2))
-      (node (ref R12) (pin 2))
-      (node (ref C48) (pin 1))
-      (node (ref C7) (pin 1))
-      (node (ref C49) (pin 1))
-      (node (ref C61) (pin 1))
-      (node (ref D29) (pin 2))
-      (node (ref R40) (pin 1))
-      (node (ref U7) (pin 3))
-      (node (ref U7) (pin 7))
-      (node (ref U7) (pin 8))
-      (node (ref C33) (pin 1))
-      (node (ref C6) (pin 1))
-      (node (ref U2) (pin 20))
-      (node (ref D30) (pin 2))
-      (node (ref R38) (pin 1))
-      (node (ref C58) (pin 1))
-      (node (ref R35) (pin 1))
-      (node (ref R39) (pin 2))
-      (node (ref U14) (pin 20))
-      (node (ref R9) (pin 1)))
-    (net (code 62) (name FPGA_TCK)
-      (node (ref J4) (pin 1))
-      (node (ref U13) (pin H3))
-      (node (ref R42) (pin 2))
-      (node (ref U11) (pin 21)))
-    (net (code 63) (name FPGA_RESIN)
-      (node (ref U13) (pin R6))
+      (node (ref U13) (pin H5))
+      (node (ref R37) (pin 2)))
+    (net (code 61) (name /IO5)
+      (node (ref U13) (pin A11))
+      (node (ref U6) (pin 10)))
+    (net (code 62) (name FPGA_RESIN)
+      (node (ref R28) (pin 2))
       (node (ref Q4) (pin 1))
-      (node (ref R28) (pin 2)))
-    (net (code 64) (name "Net-(U6-Pad40)")
-      (node (ref U6) (pin 40)))
-    (net (code 65) (name /IO1)
-      (node (ref U6) (pin 4))
-      (node (ref U13) (pin E11)))
-    (net (code 66) (name /SD_DAT2)
-      (node (ref J3) (pin 1))
-      (node (ref U13) (pin F14)))
+      (node (ref U13) (pin R6)))
+    (net (code 63) (name FPGA_SPI_MOSI)
+      (node (ref U11) (pin 15))
+      (node (ref U13) (pin M8)))
+    (net (code 64) (name FPGA_SPI_MISO)
+      (node (ref U11) (pin 16))
+      (node (ref U13) (pin M7)))
+    (net (code 65) (name ~XMEMFL_ABC_3V3)
+      (node (ref U13) (pin N3))
+      (node (ref U14) (pin 2)))
+    (net (code 66) (name ABC_CLK_3V3)
+      (node (ref U13) (pin T8))
+      (node (ref U14) (pin 6)))
     (net (code 67) (name /IO4)
       (node (ref U13) (pin B11))
       (node (ref U6) (pin 8)))
     (net (code 68) (name /IO3)
-      (node (ref U6) (pin 7))
-      (node (ref U13) (pin C11)))
-    (net (code 69) (name /IO2)
-      (node (ref U6) (pin 5))
-      (node (ref U13) (pin D11)))
-    (net (code 70) (name /IO9)
-      (node (ref U13) (pin E7))
-      (node (ref U6) (pin 44)))
-    (net (code 71) (name INT800_ABC_3V3)
-      (node (ref Q5) (pin 1))
-      (node (ref R45) (pin 2))
-      (node (ref U13) (pin A2)))
-    (net (code 72) (name /DQMH)
-      (node (ref U13) (pin D8))
-      (node (ref U6) (pin 39)))
-    (net (code 73) (name /CKE)
-      (node (ref U13) (pin F8))
-      (node (ref U6) (pin 37)))
-    (net (code 74) (name /IO12)
-      (node (ref U13) (pin E6))
-      (node (ref U6) (pin 48)))
-    (net (code 75) (name /A12)
-      (node (ref U13) (pin B6))
-      (node (ref U6) (pin 36)))
-    (net (code 76) (name /IO13)
-      (node (ref U6) (pin 50))
-      (node (ref U13) (pin D6)))
-    (net (code 77) (name /IO15)
-      (node (ref U6) (pin 53))
-      (node (ref U13) (pin D5)))
-    (net (code 78) (name /CLK)
-      (node (ref U13) (pin D3))
-      (node (ref U6) (pin 38)))
-    (net (code 79) (name /A11)
-      (node (ref U13) (pin C8))
-      (node (ref U6) (pin 35)))
-    (net (code 80) (name /IO14)
+      (node (ref U13) (pin C11))
+      (node (ref U6) (pin 7)))
+    (net (code 69) (name /SD_DAT2)
+      (node (ref U13) (pin F14))
+      (node (ref J3) (pin 1)))
+    (net (code 70) (name /IO2)
+      (node (ref U13) (pin D11))
+      (node (ref U6) (pin 5)))
+    (net (code 71) (name /A12)
+      (node (ref U6) (pin 36))
+      (node (ref U13) (pin B6)))
+    (net (code 72) (name /IO13)
+      (node (ref U13) (pin D6))
+      (node (ref U6) (pin 50)))
+    (net (code 73) (name /IO15)
+      (node (ref U13) (pin D5))
+      (node (ref U6) (pin 53)))
+    (net (code 74) (name /A11)
+      (node (ref U6) (pin 35))
+      (node (ref U13) (pin C8)))
+    (net (code 75) (name /IO14)
       (node (ref U6) (pin 51))
       (node (ref U13) (pin C6)))
-    (net (code 81) (name "Net-(U13-PadC3)")
+    (net (code 76) (name "Net-(U13-PadC3)")
       (node (ref U13) (pin C3)))
-    (net (code 82) (name /IO10)
-      (node (ref U6) (pin 45))
-      (node (ref U13) (pin B5)))
-    (net (code 83) (name INT_ABC_3V3)
-      (node (ref U13) (pin B3))
-      (node (ref Q1) (pin 1))
-      (node (ref R43) (pin 2)))
-    (net (code 84) (name /A7)
+    (net (code 77) (name ~FPGA_READY)
+      (node (ref R26) (pin 2))
+      (node (ref Q2) (pin 1))
+      (node (ref U13) (pin B4)))
+    (net (code 78) (name /A7)
       (node (ref U6) (pin 32))
       (node (ref U13) (pin A7)))
-    (net (code 85) (name /A9)
+    (net (code 79) (name /A9)
       (node (ref U13) (pin A6))
       (node (ref U6) (pin 34)))
-    (net (code 86) (name /IO8)
-      (node (ref U6) (pin 42))
-      (node (ref U13) (pin A5)))
-    (net (code 87) (name /IO11)
-      (node (ref U6) (pin 47))
-      (node (ref U13) (pin A4)))
-    (net (code 88) (name ~FPGA_READY)
-      (node (ref U13) (pin B4))
-      (node (ref Q2) (pin 1))
-      (node (ref R26) (pin 2)))
-    (net (code 89) (name /A8)
-      (node (ref U13) (pin B7))
-      (node (ref U6) (pin 33)))
-    (net (code 90) (name "Net-(U13-PadK6)")
+    (net (code 80) (name "Net-(U13-PadK6)")
       (node (ref U13) (pin K6)))
-    (net (code 92) (name /FPGA_USB_TXD)
-      (node (ref U13) (pin E16))
-      (node (ref U10) (pin 25)))
-    (net (code 93) (name "Net-(U13-PadG11)")
-      (node (ref U13) (pin G11)))
-    (net (code 94) (name /A6)
-      (node (ref U13) (pin E8))
-      (node (ref U6) (pin 31)))
-    (net (code 95) (name "Net-(U13-PadL6)")
-      (node (ref U13) (pin L6)))
-    (net (code 96) (name FPGA_NMI)
-      (node (ref U13) (pin A3))
+    (net (code 81) (name FPGA_NMI)
+      (node (ref Q3) (pin 1))
       (node (ref R27) (pin 2))
-      (node (ref Q3) (pin 1)))
-    (net (code 97) (name "Net-(U13-PadL13)")
-      (node (ref U13) (pin L13)))
-    (net (code 98) (name "Net-(U13-PadL14)")
-      (node (ref U13) (pin L14)))
-    (net (code 99) (name /FPGA_LED3)
-      (node (ref U13) (pin T14))
-      (node (ref R30) (pin 2)))
-    (net (code 100) (name /FPGA_LED2)
-      (node (ref R31) (pin 2))
-      (node (ref U13) (pin R14)))
-    (net (code 101) (name ~XINPSTB_ABC_3V3)
-      (node (ref U14) (pin 8))
-      (node (ref U13) (pin T12)))
-    (net (code 102) (name "Net-(U13-PadL16)")
+      (node (ref U13) (pin A3)))
+    (net (code 83) (name /FPGA_USB_TXD)
+      (node (ref U10) (pin 26))
+      (node (ref U13) (pin E16)))
+    (net (code 84) (name "Net-(U13-PadG11)")
+      (node (ref U13) (pin G11)))
+    (net (code 85) (name /CKE)
+      (node (ref U13) (pin F8))
+      (node (ref U6) (pin 37)))
+    (net (code 86) (name /IO9)
+      (node (ref U13) (pin E7))
+      (node (ref U6) (pin 44)))
+    (net (code 87) (name /IO12)
+      (node (ref U13) (pin E6))
+      (node (ref U6) (pin 48)))
+    (net (code 88) (name /A8)
+      (node (ref U13) (pin B7))
+      (node (ref U6) (pin 33)))
+    (net (code 89) (name /A6)
+      (node (ref U6) (pin 31))
+      (node (ref U13) (pin E8)))
+    (net (code 90) (name /CLK)
+      (node (ref U13) (pin D3))
+      (node (ref U6) (pin 38)))
+    (net (code 91) (name /DQMH)
+      (node (ref U6) (pin 39))
+      (node (ref U13) (pin D8)))
+    (net (code 92) (name /IO8)
+      (node (ref U13) (pin A5))
+      (node (ref U6) (pin 42)))
+    (net (code 93) (name /IO10)
+      (node (ref U6) (pin 45))
+      (node (ref U13) (pin B5)))
+    (net (code 94) (name /IO11)
+      (node (ref U13) (pin A4))
+      (node (ref U6) (pin 47)))
+    (net (code 95) (name /~SD_DETECT)
+      (node (ref U13) (pin L15))
+      (node (ref J3) (pin 10)))
+    (net (code 96) (name "Net-(U13-PadP11)")
+      (node (ref U13) (pin P11)))
+    (net (code 97) (name "Net-(U13-PadL16)")
       (node (ref U13) (pin L16)))
-    (net (code 103) (name HDMI_CK-)
-      (node (ref U13) (pin J16))
-      (node (ref C82) (pin 2)))
-    (net (code 104) (name HDMI_CK+)
+    (net (code 98) (name "Net-(U13-PadL6)")
+      (node (ref U13) (pin L6)))
+    (net (code 99) (name INT800_ABC_3V3)
+      (node (ref U13) (pin A2))
+      (node (ref R45) (pin 2))
+      (node (ref Q5) (pin 1)))
+    (net (code 100) (name HDMI_CK-)
+      (node (ref C82) (pin 2))
+      (node (ref U13) (pin J16)))
+    (net (code 101) (name HDMI_CK+)
       (node (ref C81) (pin 2))
       (node (ref U13) (pin J15)))
-    (net (code 105) (name "Net-(U13-PadJ14)")
+    (net (code 102) (name "Net-(U13-PadJ14)")
       (node (ref U13) (pin J14)))
-    (net (code 106) (name "Net-(U13-PadJ13)")
+    (net (code 103) (name "Net-(U13-PadJ13)")
       (node (ref U13) (pin J13)))
-    (net (code 107) (name "Net-(U13-PadL11)")
+    (net (code 104) (name "Net-(U13-PadL11)")
       (node (ref U13) (pin L11)))
-    (net (code 108) (name /SD_DAT1)
-      (node (ref U13) (pin M10))
-      (node (ref J3) (pin 8)))
-    (net (code 109) (name ~XOUTSTB_ABC_3V3)
+    (net (code 105) (name ~XOUTSTB_ABC_3V3)
       (node (ref U13) (pin L10))
       (node (ref U14) (pin 9)))
-    (net (code 110) (name FPGA_GPIO1)
-      (node (ref J4) (pin 14))
-      (node (ref U13) (pin P9)))
-    (net (code 111) (name EXT_HE)
-      (node (ref J8) (pin 4))
-      (node (ref U13) (pin R12)))
-    (net (code 112) (name EXT_HD)
-      (node (ref J8) (pin 9))
-      (node (ref U13) (pin R11)))
-    (net (code 113) (name /FPGA_USB_DTR)
-      (node (ref U10) (pin 28))
-      (node (ref U13) (pin P14)))
-    (net (code 114) (name "Net-(U13-PadP11)")
-      (node (ref U13) (pin P11)))
-    (net (code 115) (name EXT_HA)
-      (node (ref U13) (pin N12))
-      (node (ref J8) (pin 3)))
-    (net (code 116) (name EXT_HG)
-      (node (ref J8) (pin 6))
-      (node (ref U13) (pin N11)))
-    (net (code 117) (name EXT_HB)
+    (net (code 106) (name "Net-(U13-PadL13)")
+      (node (ref U13) (pin L13)))
+    (net (code 107) (name "Net-(U13-PadL14)")
+      (node (ref U13) (pin L14)))
+    (net (code 108) (name EXT_HA)
+      (node (ref J8) (pin 3))
+      (node (ref U13) (pin N12)))
+    (net (code 109) (name /FPGA_LED3)
+      (node (ref U13) (pin T14))
+      (node (ref R30) (pin 2)))
+    (net (code 110) (name /FPGA_LED2)
+      (node (ref R31) (pin 2))
+      (node (ref U13) (pin R14)))
+    (net (code 111) (name ~XINPSTB_ABC_3V3)
+      (node (ref U13) (pin T12))
+      (node (ref U14) (pin 8)))
+    (net (code 112) (name EXT_HB)
       (node (ref U13) (pin N9))
       (node (ref J8) (pin 5)))
-    (net (code 118) (name "Net-(U13-PadL15)")
-      (node (ref U13) (pin L15)))
-    (net (code 119) (name EXT_HC)
-      (node (ref J8) (pin 7))
+    (net (code 113) (name INT_ABC_3V3)
+      (node (ref U13) (pin B3))
+      (node (ref Q1) (pin 1))
+      (node (ref R43) (pin 2)))
+    (net (code 114) (name EXT_HC)
       (node (ref R49) (pin 2))
-      (node (ref U13) (pin T9)))
+      (node (ref U13) (pin T9))
+      (node (ref J8) (pin 7)))
+    (net (code 115) (name EXT_HD)
+      (node (ref J8) (pin 9))
+      (node (ref U13) (pin R11)))
+    (net (code 116) (name EXT_HE)
+      (node (ref U13) (pin R12))
+      (node (ref J8) (pin 4)))
+    (net (code 117) (name FPGA_GPIO1)
+      (node (ref U13) (pin P9))
+      (node (ref J4) (pin 14)))
+    (net (code 118) (name EXT_HG)
+      (node (ref U13) (pin N11))
+      (node (ref J8) (pin 6)))
+    (net (code 119) (name /RTC_INT)
+      (node (ref U13) (pin B16))
+      (node (ref U12) (pin 3))
+      (node (ref R32) (pin 2)))
     (net (code 120) (name /SD_CLK)
-      (node (ref U13) (pin G15))
-      (node (ref J3) (pin 5)))
+      (node (ref J3) (pin 5))
+      (node (ref U13) (pin G15)))
     (net (code 121) (name /SD_DAT0)
-      (node (ref U13) (pin F15))
-      (node (ref J3) (pin 7)))
+      (node (ref J3) (pin 7))
+      (node (ref U13) (pin F15)))
     (net (code 122) (name /FPGA_SCL)
       (node (ref R12) (pin 1))
-      (node (ref U11) (pin 9))
-      (node (ref U13) (pin C16))
       (node (ref U12) (pin 16))
-      (node (ref J4) (pin 11)))
+      (node (ref U13) (pin C16))
+      (node (ref J4) (pin 11))
+      (node (ref U11) (pin 9)))
     (net (code 123) (name /FPGA_SDA)
       (node (ref J4) (pin 12))
       (node (ref U12) (pin 15))
-      (node (ref U11) (pin 8))
+      (node (ref R14) (pin 2))
       (node (ref U13) (pin C15))
-      (node (ref R14) (pin 2)))
-    (net (code 124) (name /RTC_INT)
-      (node (ref U12) (pin 3))
-      (node (ref U13) (pin B16)))
-    (net (code 125) (name "Net-(D22-Pad2)")
-      (node (ref D22) (pin 2))
-      (node (ref R30) (pin 1)))
-    (net (code 126) (name "Net-(D23-Pad2)")
-      (node (ref D23) (pin 2))
-      (node (ref R31) (pin 1)))
-    (net (code 127) (name /CAS#)
-      (node (ref U6) (pin 17))
-      (node (ref U13) (pin E9)))
-    (net (code 128) (name /BA1)
-      (node (ref U6) (pin 21))
-      (node (ref U13) (pin B13)))
-    (net (code 129) (name /BA0)
-      (node (ref U13) (pin A13))
-      (node (ref U6) (pin 20)))
-    (net (code 130) (name /CS#)
-      (node (ref U13) (pin D12))
-      (node (ref U6) (pin 19)))
-    (net (code 131) (name /RAS#)
-      (node (ref U6) (pin 18))
-      (node (ref U13) (pin B12)))
-    (net (code 132) (name /32KHZ)
-      (node (ref U13) (pin E15))
-      (node (ref U12) (pin 1))
-      (node (ref U11) (pin 18)))
-    (net (code 133) (name /FPGA_USB_RXD)
-      (node (ref U10) (pin 26))
-      (node (ref U13) (pin F13)))
-    (net (code 134) (name "Net-(D17-Pad2)")
+      (node (ref U11) (pin 8)))
+    (net (code 124) (name "Net-(D17-Pad2)")
       (node (ref D17) (pin 2))
       (node (ref R29) (pin 1)))
-    (net (code 135) (name /SD_CMD)
-      (node (ref U13) (pin G16))
-      (node (ref J3) (pin 3)))
-    (net (code 136) (name /SD_DAT3)
-      (node (ref U13) (pin F16))
-      (node (ref J3) (pin 2)))
-    (net (code 137) (name /FPGA_LED1)
-      (node (ref U13) (pin T13))
-      (node (ref R29) (pin 2)))
-    (net (code 138) (name /A1)
-      (node (ref U13) (pin B14))
-      (node (ref U6) (pin 24)))
-    (net (code 139) (name /A0)
+    (net (code 125) (name /FPGA_LED1)
+      (node (ref R29) (pin 2))
+      (node (ref U13) (pin T13)))
+    (net (code 126) (name "Net-(D22-Pad2)")
+      (node (ref R30) (pin 1))
+      (node (ref D22) (pin 2)))
+    (net (code 127) (name /FPGA_USB_RXD)
+      (node (ref U10) (pin 25))
+      (node (ref U13) (pin F13)))
+    (net (code 128) (name "Net-(D23-Pad2)")
+      (node (ref R31) (pin 1))
+      (node (ref D23) (pin 2)))
+    (net (code 129) (name /A2)
+      (node (ref U13) (pin D14))
+      (node (ref U6) (pin 25)))
+    (net (code 130) (name /A1)
+      (node (ref U6) (pin 24))
+      (node (ref U13) (pin B14)))
+    (net (code 131) (name /A0)
       (node (ref U6) (pin 23))
       (node (ref U13) (pin A14)))
-    (net (code 140) (name /DQML)
+    (net (code 132) (name /DQML)
       (node (ref U6) (pin 15))
       (node (ref U13) (pin E10)))
-    (net (code 141) (name /FPGA_USB_RTS)
-      (node (ref R8) (pin 1))
-      (node (ref U13) (pin D16))
-      (node (ref U10) (pin 24)))
-    (net (code 142) (name /FPGA_USB_CTS)
-      (node (ref U13) (pin D15))
-      (node (ref U10) (pin 23))
-      (node (ref R10) (pin 1)))
-    (net (code 143) (name ~INP_ABC_3V3)
+    (net (code 133) (name /SD_CMD)
+      (node (ref J3) (pin 3))
+      (node (ref U13) (pin G16)))
+    (net (code 134) (name /SD_DAT3)
+      (node (ref J3) (pin 2))
+      (node (ref U13) (pin F16)))
+    (net (code 135) (name /FPGA_USB_DTR)
+      (node (ref U10) (pin 28))
+      (node (ref U13) (pin P14)))
+    (net (code 136) (name /WE#)
+      (node (ref U13) (pin F9))
+      (node (ref U6) (pin 16)))
+    (net (code 137) (name /SD_DAT1)
+      (node (ref U13) (pin M10))
+      (node (ref J3) (pin 8)))
+    (net (code 138) (name /32KHZ)
+      (node (ref U13) (pin E15))
+      (node (ref U11) (pin 18))
+      (node (ref R9) (pin 2))
+      (node (ref U12) (pin 1)))
+    (net (code 139) (name ~STATUS_ABC_3V3)
+      (node (ref U13) (pin M2))
+      (node (ref U4) (pin 5)))
+    (net (code 140) (name ~INP_ABC_3V3)
       (node (ref U13) (pin L2))
       (node (ref U4) (pin 7)))
-    (net (code 144) (name ~C4_ABC_3V3)
+    (net (code 141) (name ~C4_ABC_3V3)
       (node (ref U4) (pin 9))
       (node (ref U13) (pin K2)))
-    (net (code 145) (name ~C3_ABC_3V3)
+    (net (code 142) (name ~C3_ABC_3V3)
       (node (ref U2) (pin 2))
       (node (ref U13) (pin L3)))
-    (net (code 146) (name ~C2_ABC_3V3)
+    (net (code 143) (name ~C2_ABC_3V3)
       (node (ref U13) (pin K5))
       (node (ref U2) (pin 4)))
-    (net (code 147) (name ~C1_ABC_3V3)
-      (node (ref U2) (pin 6))
-      (node (ref U13) (pin J2)))
-    (net (code 148) (name "Net-(R41-Pad2)")
-      (node (ref R41) (pin 2))
-      (node (ref U7) (pin 2)))
-    (net (code 149) (name ~STATUS_ABC_3V3)
-      (node (ref U4) (pin 5))
-      (node (ref U13) (pin M2)))
-    (net (code 150) (name ~XMEMW800_ABC_3V3)
-      (node (ref U13) (pin P1))
-      (node (ref U14) (pin 4)))
-    (net (code 151) (name ~XMEMW80_ABC_3V3)
-      (node (ref U14) (pin 3))
-      (node (ref U13) (pin R1)))
-    (net (code 152) (name ~RST_ABC_3V3)
+    (net (code 144) (name "Net-(R41-Pad2)")
+      (node (ref U7) (pin 2))
+      (node (ref R41) (pin 2)))
+    (net (code 145) (name ~C1_ABC_3V3)
+      (node (ref U13) (pin J2))
+      (node (ref U2) (pin 6)))
+    (net (code 146) (name "Net-(R38-Pad2)")
+      (node (ref R38) (pin 2))
+      (node (ref U13) (pin H14)))
+    (net (code 147) (name ~RST_ABC_3V3)
       (node (ref U14) (pin 7))
       (node (ref U13) (pin P2)))
-    (net (code 153) (name "Net-(R38-Pad2)")
-      (node (ref U13) (pin H14))
-      (node (ref R38) (pin 2)))
-    (net (code 154) (name "Net-(D30-Pad1)")
-      (node (ref D30) (pin 1))
-      (node (ref R10) (pin 2)))
-    (net (code 155) (name "Net-(D29-Pad1)")
-      (node (ref D29) (pin 1))
-      (node (ref R8) (pin 2)))
-    (net (code 156) (name "Net-(C37-Pad2)")
-      (node (ref R34) (pin 1))
-      (node (ref C37) (pin 2)))
-    (net (code 157) (name "Net-(C37-Pad1)")
-      (node (ref U8) (pin 6))
-      (node (ref C57) (pin 1))
-      (node (ref C37) (pin 1)))
-    (net (code 158) (name "Net-(C38-Pad2)")
-      (node (ref U8) (pin 1))
-      (node (ref C38) (pin 2)))
-    (net (code 159) (name "Net-(C38-Pad1)")
-      (node (ref L2) (pin 1))
-      (node (ref C38) (pin 1))
-      (node (ref U8) (pin 8))
-      (node (ref D24) (pin 1)))
-    (net (code 160) (name "Net-(R35-Pad2)")
-      (node (ref R35) (pin 2))
-      (node (ref R36) (pin 1))
-      (node (ref U8) (pin 5)))
-    (net (code 161) (name "Net-(C36-Pad1)")
-      (node (ref U8) (pin 4))
-      (node (ref C36) (pin 1)))
-    (net (code 162) (name "Net-(D26-Pad2)")
-      (node (ref R9) (pin 2))
-      (node (ref D26) (pin 2)))
-    (net (code 163) (name "Net-(D25-Pad2)")
-      (node (ref D25) (pin 2))
-      (node (ref J2) (pin 1)))
-    (net (code 164) (name +5V)
-      (node (ref U8) (pin 2))
+    (net (code 148) (name ~XMEMW80_ABC_3V3)
+      (node (ref U14) (pin 3))
+      (node (ref U13) (pin R1)))
+    (net (code 149) (name ~XMEMW800_ABC_3V3)
+      (node (ref U14) (pin 4))
+      (node (ref U13) (pin P1)))
+    (net (code 150) (name /FPGA_USB_RTS)
+      (node (ref U10) (pin 24))
+      (node (ref R8) (pin 1))
+      (node (ref U13) (pin D16)))
+    (net (code 151) (name "Net-(D29-Pad1)")
+      (node (ref D29) (pin 1))
+      (node (ref R8) (pin 2)))
+    (net (code 152) (name /FPGA_USB_CTS)
+      (node (ref U13) (pin D15))
+      (node (ref U10) (pin 23))
+      (node (ref R10) (pin 1)))
+    (net (code 153) (name "Net-(D30-Pad1)")
+      (node (ref R10) (pin 2))
+      (node (ref D30) (pin 1)))
+    (net (code 154) (name +5V)
       (node (ref R47) (pin 2))
-      (node (ref D27) (pin 1))
-      (node (ref R32) (pin 1))
-      (node (ref D19) (pin 1))
       (node (ref C35) (pin 1))
-      (node (ref D28) (pin 1))
-      (node (ref D25) (pin 1))
-      (node (ref C60) (pin 1))
-      (node (ref J5) (pin 18)))
-    (net (code 165) (name "Net-(R32-Pad2)")
+      (node (ref D19) (pin 1))
+      (node (ref C36) (pin 1))
       (node (ref U8) (pin 3))
-      (node (ref R32) (pin 2))
-      (node (ref R33) (pin 1)))
-    (net (code 166) (name ABC_CLK_5)
-      (node (ref X1) (pin B5))
-      (node (ref U14) (pin 14)))
-    (net (code 167) (name /abc80bus/D7)
-      (node (ref X1) (pin A6))
-      (node (ref U5) (pin 18)))
-    (net (code 168) (name /abc80bus/~INT)
-      (node (ref R43) (pin 1))
-      (node (ref Q1) (pin 3))
-      (node (ref X1) (pin B13)))
-    (net (code 169) (name /abc80bus/~XMEMW800)
-      (node (ref X1) (pin B3))
-      (node (ref U14) (pin 16)))
-    (net (code 170) (name "Net-(U14-Pad5)")
-      (node (ref U14) (pin 5)))
-    (net (code 171) (name "Net-(U14-Pad15)")
-      (node (ref U14) (pin 15)))
-    (net (code 172) (name /abc80bus/~OUT)
-      (node (ref X1) (pin A22))
-      (node (ref U2) (pin 12)))
-    (net (code 173) (name /abc80bus/~RST)
-      (node (ref U14) (pin 13))
-      (node (ref X1) (pin A15)))
-    (net (code 174) (name /abc80bus/~XINPSTB)
-      (node (ref R22) (pin 1))
+      (node (ref J2) (pin 18))
+      (node (ref C67) (pin 1))
+      (node (ref D28) (pin 1))
+      (node (ref D27) (pin 1))
+      (node (ref U1) (pin 3))
+      (node (ref U16) (pin 3))
+      (node (ref C64) (pin 1))
+      (node (ref C70) (pin 1))
+      (node (ref C65) (pin 1))
+      (node (ref C60) (pin 1)))
+    (net (code 155) (name /abc80bus/~XINPSTB)
       (node (ref U14) (pin 12))
-      (node (ref X1) (pin A26)))
-    (net (code 175) (name /abc80bus/~XOUTSTB)
-      (node (ref U14) (pin 11))
+      (node (ref X1) (pin A26))
+      (node (ref R22) (pin 1)))
+    (net (code 156) (name /abc80bus/~XOUTSTB)
+      (node (ref X1) (pin A27))
       (node (ref R23) (pin 1))
-      (node (ref X1) (pin A27)))
-    (net (code 176) (name /abc80bus/~XMEMFL)
+      (node (ref U14) (pin 11)))
+    (net (code 157) (name "Net-(U14-Pad5)")
+      (node (ref U14) (pin 5)))
+    (net (code 158) (name "Net-(U14-Pad15)")
+      (node (ref U14) (pin 15)))
+    (net (code 159) (name /abc80bus/~XMEMW800)
+      (node (ref U14) (pin 16))
+      (node (ref X1) (pin B3)))
+    (net (code 160) (name "Net-(R25-Pad2)")
+      (node (ref R25) (pin 2))
+      (node (ref U14) (pin 17)))
+    (net (code 161) (name /abc80bus/~XMEMFL)
       (node (ref X1) (pin B4))
       (node (ref U14) (pin 18)))
-    (net (code 177) (name /abc80bus/~XMEMW80)
-      (node (ref Q5) (pin 3))
-      (node (ref R45) (pin 1))
-      (node (ref R25) (pin 1))
-      (node (ref X1) (pin A5)))
-    (net (code 178) (name AD10)
-      (node (ref U13) (pin L4))
-      (node (ref U2) (pin 3)))
-    (net (code 179) (name /abc80bus/A8)
-      (node (ref X1) (pin B21))
-      (node (ref U2) (pin 13)))
-    (net (code 180) (name /abc80bus/~C1)
-      (node (ref X1) (pin A21))
-      (node (ref U2) (pin 14)))
-    (net (code 181) (name /abc80bus/A9)
+    (net (code 162) (name /abc80bus/D7)
+      (node (ref U5) (pin 18))
+      (node (ref X1) (pin A6)))
+    (net (code 163) (name ABC_CLK_5)
+      (node (ref U14) (pin 14))
+      (node (ref X1) (pin B5)))
+    (net (code 164) (name /abc80bus/~INP)
+      (node (ref U4) (pin 13))
+      (node (ref X1) (pin A17)))
+    (net (code 165) (name /abc80bus/A11)
+      (node (ref U4) (pin 12))
+      (node (ref X1) (pin B18)))
+    (net (code 166) (name AD15)
+      (node (ref U4) (pin 2))
+      (node (ref U13) (pin N1)))
+    (net (code 167) (name /abc80bus/A8)
+      (node (ref U2) (pin 13))
+      (node (ref X1) (pin B21)))
+    (net (code 168) (name /abc80bus/A9)
       (node (ref U2) (pin 15))
       (node (ref X1) (pin B20)))
-    (net (code 182) (name /abc80bus/~C2)
-      (node (ref U2) (pin 16))
-      (node (ref X1) (pin A20)))
-    (net (code 183) (name /abc80bus/A10)
+    (net (code 169) (name /abc80bus/A10)
       (node (ref X1) (pin B19))
       (node (ref U2) (pin 17)))
-    (net (code 184) (name /abc80bus/~C3)
-      (node (ref X1) (pin A19))
-      (node (ref U2) (pin 18)))
-    (net (code 185) (name AD0)
-      (node (ref U13) (pin A8))
-      (node (ref U3) (pin 9)))
-    (net (code 186) (name AD7)
-      (node (ref U13) (pin F1))
-      (node (ref U2) (pin 9)))
-    (net (code 187) (name AD9)
-      (node (ref U13) (pin J1))
-      (node (ref U2) (pin 5)))
-    (net (code 188) (name AD8)
-      (node (ref U2) (pin 7))
-      (node (ref U13) (pin G1)))
-    (net (code 189) (name AD15)
-      (node (ref U4) (pin 2))
-      (node (ref U13) (pin N1)))
-    (net (code 190) (name AD13)
-      (node (ref U13) (pin M1))
-      (node (ref U4) (pin 4)))
-    (net (code 191) (name AD12)
-      (node (ref U4) (pin 6))
-      (node (ref U13) (pin L1)))
-    (net (code 192) (name "Net-(R25-Pad2)")
-      (node (ref R25) (pin 2))
-      (node (ref U14) (pin 17)))
-    (net (code 193) (name /abc80bus/~NMI)
-      (node (ref X1) (pin A24))
-      (node (ref Q3) (pin 3))
-      (node (ref R27) (pin 1)))
-    (net (code 194) (name /abc80bus/~XM)
-      (node (ref R44) (pin 1))
+    (net (code 170) (name AD0)
+      (node (ref U3) (pin 9))
+      (node (ref U13) (pin A8)))
+    (net (code 171) (name AD7)
+      (node (ref U2) (pin 9))
+      (node (ref U13) (pin F1)))
+    (net (code 172) (name AD10)
+      (node (ref U2) (pin 3))
+      (node (ref U13) (pin L4)))
+    (net (code 173) (name AD9)
+      (node (ref U2) (pin 5))
+      (node (ref U13) (pin J1)))
+    (net (code 174) (name AD8)
+      (node (ref U13) (pin G1))
+      (node (ref U2) (pin 7)))
+    (net (code 175) (name AD13)
+      (node (ref U4) (pin 4))
+      (node (ref U13) (pin M1)))
+    (net (code 176) (name AD12)
+      (node (ref U13) (pin L1))
+      (node (ref U4) (pin 6)))
+    (net (code 177) (name /abc80bus/A7)
+      (node (ref X1) (pin B22))
+      (node (ref U2) (pin 11)))
+    (net (code 178) (name /abc80bus/~INT)
+      (node (ref Q1) (pin 3))
+      (node (ref X1) (pin B13))
+      (node (ref R43) (pin 1)))
+    (net (code 179) (name /abc80bus/~XMEMW80)
+      (node (ref R45) (pin 1))
+      (node (ref Q5) (pin 3))
+      (node (ref R25) (pin 1))
+      (node (ref X1) (pin A5)))
+    (net (code 180) (name /abc80bus/~XM)
       (node (ref Q6) (pin 3))
-      (node (ref X1) (pin A28)))
-    (net (code 195) (name /abc80bus/ABC_12V)
-      (node (ref X1) (pin A32))
-      (node (ref X1) (pin B32))
-      (node (ref J7) (pin 1)))
-    (net (code 196) (name AD16)
-      (node (ref U2) (pin 1))
+      (node (ref X1) (pin A28))
+      (node (ref R44) (pin 1)))
+    (net (code 181) (name /abc80bus/ABC5V)
+      (node (ref X1) (pin B31))
+      (node (ref J1) (pin 1))
+      (node (ref X1) (pin A31)))
+    (net (code 182) (name AD16)
+      (node (ref U3) (pin 1))
       (node (ref U13) (pin C2))
       (node (ref U4) (pin 1))
-      (node (ref U3) (pin 1)))
-    (net (code 197) (name /abc80bus/ABC_-12V)
+      (node (ref U2) (pin 1)))
+    (net (code 183) (name /abc80bus/ABC_12V)
+      (node (ref J7) (pin 1))
+      (node (ref X1) (pin A32))
+      (node (ref X1) (pin B32)))
+    (net (code 184) (name /abc80bus/ABC_-12V)
       (node (ref X1) (pin A1))
       (node (ref J7) (pin 2))
       (node (ref X1) (pin B1)))
-    (net (code 199) (name /abc80bus/A6)
-      (node (ref U3) (pin 17))
-      (node (ref X1) (pin B23)))
-    (net (code 200) (name /abc80bus/~RESIN)
-      (node (ref Q4) (pin 3))
+    (net (code 185) (name /abc80bus/~RESIN)
       (node (ref X1) (pin A3))
-      (node (ref R28) (pin 1)))
-    (net (code 201) (name /abc80bus/~INP)
-      (node (ref X1) (pin A17))
-      (node (ref U4) (pin 13)))
-    (net (code 202) (name /abc80bus/D2)
-      (node (ref X1) (pin A11))
-      (node (ref U5) (pin 13)))
-    (net (code 203) (name /abc80bus/READY)
-      (node (ref X1) (pin A30))
-      (node (ref Q2) (pin 3))
-      (node (ref R26) (pin 1)))
-    (net (code 204) (name "Net-(X1-PadB30)")
-      (node (ref X1) (pin B30)))
-    (net (code 205) (name /abc80bus/ABC5V)
-      (node (ref X1) (pin B31))
-      (node (ref X1) (pin A31))
-      (node (ref J1) (pin 1)))
-    (net (code 206) (name "Net-(X1-PadB9)")
-      (node (ref X1) (pin B9)))
-    (net (code 207) (name /abc80bus/D3)
-      (node (ref X1) (pin A10))
-      (node (ref U5) (pin 14)))
-    (net (code 208) (name /abc80bus/D1)
-      (node (ref U5) (pin 12))
-      (node (ref X1) (pin A12)))
-    (net (code 209) (name "Net-(X1-PadA14)")
-      (node (ref X1) (pin A14)))
-    (net (code 210) (name /abc80bus/~CS)
-      (node (ref U3) (pin 18))
-      (node (ref X1) (pin A23)))
-    (net (code 211) (name /abc80bus/A5)
-      (node (ref U3) (pin 16))
-      (node (ref X1) (pin B24)))
-    (net (code 212) (name /abc80bus/A7)
-      (node (ref X1) (pin B22))
-      (node (ref U2) (pin 11)))
-    (net (code 213) (name /abc80bus/A11)
-      (node (ref U4) (pin 12))
-      (node (ref X1) (pin B18)))
-    (net (code 214) (name /abc80bus/A12)
-      (node (ref X1) (pin B17))
-      (node (ref U4) (pin 14)))
-    (net (code 215) (name /abc80bus/A13)
-      (node (ref U4) (pin 16))
-      (node (ref X1) (pin B16)))
-    (net (code 216) (name /abc80bus/A0)
-      (node (ref X1) (pin B29))
-      (node (ref U3) (pin 11)))
-    (net (code 217) (name /abc80bus/A1)
-      (node (ref X1) (pin B28))
-      (node (ref U3) (pin 12)))
-    (net (code 218) (name /abc80bus/A2)
+      (node (ref R28) (pin 1))
+      (node (ref Q4) (pin 3)))
+    (net (code 186) (name AD1)
+      (node (ref U13) (pin B8))
+      (node (ref U3) (pin 8)))
+    (net (code 187) (name AD2)
+      (node (ref U13) (pin B9))
+      (node (ref U13) (pin A9))
+      (node (ref U3) (pin 7)))
+    (net (code 188) (name AD3)
+      (node (ref U3) (pin 6))
+      (node (ref U13) (pin D1)))
+    (net (code 189) (name AD4)
+      (node (ref U3) (pin 5))
+      (node (ref U13) (pin G5)))
+    (net (code 190) (name AD5)
+      (node (ref U3) (pin 4))
+      (node (ref U13) (pin F3)))
+    (net (code 191) (name AD6)
+      (node (ref U13) (pin E1))
+      (node (ref U3) (pin 3)))
+    (net (code 192) (name "Net-(X1-PadB7)")
+      (node (ref X1) (pin B7)))
+    (net (code 193) (name "Net-(X1-PadB8)")
+      (node (ref X1) (pin B8)))
+    (net (code 194) (name "Net-(X1-PadB10)")
+      (node (ref X1) (pin B10)))
+    (net (code 195) (name "Net-(X1-PadB11)")
+      (node (ref X1) (pin B11)))
+    (net (code 196) (name "Net-(X1-PadB12)")
+      (node (ref X1) (pin B12)))
+    (net (code 197) (name /abc80bus/A6)
+      (node (ref X1) (pin B23))
+      (node (ref U3) (pin 17)))
+    (net (code 199) (name /abc80bus/~NMI)
+      (node (ref R27) (pin 1))
+      (node (ref X1) (pin A24))
+      (node (ref Q3) (pin 3)))
+    (net (code 200) (name AD11)
+      (node (ref U13) (pin K1))
+      (node (ref U4) (pin 8)))
+    (net (code 201) (name AD14)
+      (node (ref U13) (pin N2))
+      (node (ref U4) (pin 3)))
+    (net (code 202) (name "Net-(X1-PadB6)")
+      (node (ref X1) (pin B6)))
+    (net (code 203) (name /abc80bus/A13)
+      (node (ref X1) (pin B16))
+      (node (ref U4) (pin 16)))
+    (net (code 204) (name /abc80bus/A2)
       (node (ref X1) (pin B27))
       (node (ref U3) (pin 13)))
-    (net (code 219) (name /abc80bus/A3)
-      (node (ref U3) (pin 14))
-      (node (ref X1) (pin B26)))
-    (net (code 220) (name /abc80bus/A4)
-      (node (ref X1) (pin B25))
-      (node (ref U3) (pin 15)))
-    (net (code 221) (name /abc80bus/A14)
+    (net (code 205) (name /abc80bus/A1)
+      (node (ref X1) (pin B28))
+      (node (ref U3) (pin 12)))
+    (net (code 206) (name /abc80bus/A12)
+      (node (ref X1) (pin B17))
+      (node (ref U4) (pin 14)))
+    (net (code 207) (name /abc80bus/A0)
+      (node (ref U3) (pin 11))
+      (node (ref X1) (pin B29)))
+    (net (code 208) (name /abc80bus/A14)
       (node (ref U4) (pin 17))
       (node (ref X1) (pin B15)))
-    (net (code 222) (name /abc80bus/A15)
-      (node (ref U4) (pin 18))
-      (node (ref X1) (pin B14)))
-    (net (code 223) (name /abc80bus/D0)
-      (node (ref X1) (pin A13))
-      (node (ref U5) (pin 11)))
-    (net (code 224) (name /abc80bus/D6)
+    (net (code 209) (name /abc80bus/A15)
+      (node (ref X1) (pin B14))
+      (node (ref U4) (pin 18)))
+    (net (code 210) (name "Net-(X1-PadA14)")
+      (node (ref X1) (pin A14)))
+    (net (code 211) (name /abc80bus/D6)
       (node (ref U5) (pin 17))
       (node (ref X1) (pin A7)))
-    (net (code 225) (name /abc80bus/D5)
-      (node (ref U5) (pin 16))
-      (node (ref X1) (pin A8)))
-    (net (code 226) (name /abc80bus/D4)
-      (node (ref U5) (pin 15))
-      (node (ref X1) (pin A9)))
-    (net (code 227) (name "Net-(X1-PadB10)")
-      (node (ref X1) (pin B10)))
-    (net (code 228) (name /abc80bus/~STATUS)
-      (node (ref X1) (pin A16))
-      (node (ref U4) (pin 15)))
-    (net (code 229) (name /abc80bus/~C4)
+    (net (code 212) (name /abc80bus/D5)
+      (node (ref X1) (pin A8))
+      (node (ref U5) (pin 16)))
+    (net (code 213) (name /abc80bus/D4)
+      (node (ref X1) (pin A9))
+      (node (ref U5) (pin 15)))
+    (net (code 214) (name /abc80bus/D3)
+      (node (ref U5) (pin 14))
+      (node (ref X1) (pin A10)))
+    (net (code 215) (name /abc80bus/D2)
+      (node (ref U5) (pin 13))
+      (node (ref X1) (pin A11)))
+    (net (code 216) (name /abc80bus/D1)
+      (node (ref X1) (pin A12))
+      (node (ref U5) (pin 12)))
+    (net (code 217) (name /abc80bus/D0)
+      (node (ref X1) (pin A13))
+      (node (ref U5) (pin 11)))
+    (net (code 218) (name /abc80bus/~RST)
+      (node (ref X1) (pin A15))
+      (node (ref U14) (pin 13)))
+    (net (code 219) (name /abc80bus/~STATUS)
+      (node (ref U4) (pin 15))
+      (node (ref X1) (pin A16)))
+    (net (code 220) (name /abc80bus/~C4)
       (node (ref X1) (pin A18))
       (node (ref U4) (pin 11)))
-    (net (code 230) (name "Net-(X1-PadA25)")
+    (net (code 221) (name /abc80bus/~C3)
+      (node (ref U2) (pin 18))
+      (node (ref X1) (pin A19)))
+    (net (code 222) (name /abc80bus/~C2)
+      (node (ref X1) (pin A20))
+      (node (ref U2) (pin 16)))
+    (net (code 223) (name /abc80bus/~C1)
+      (node (ref U2) (pin 14))
+      (node (ref X1) (pin A21)))
+    (net (code 224) (name /abc80bus/~OUT)
+      (node (ref U2) (pin 12))
+      (node (ref X1) (pin A22)))
+    (net (code 225) (name /abc80bus/~CS)
+      (node (ref X1) (pin A23))
+      (node (ref U3) (pin 18)))
+    (net (code 226) (name /abc80bus/READY)
+      (node (ref Q2) (pin 3))
+      (node (ref R26) (pin 1))
+      (node (ref X1) (pin A30)))
+    (net (code 227) (name "Net-(X1-PadA25)")
       (node (ref X1) (pin A25)))
-    (net (code 231) (name "Net-(X1-PadA29)")
+    (net (code 228) (name "Net-(X1-PadA29)")
       (node (ref X1) (pin A29)))
-    (net (code 232) (name "Net-(X1-PadB6)")
-      (node (ref X1) (pin B6)))
-    (net (code 233) (name "Net-(X1-PadB7)")
-      (node (ref X1) (pin B7)))
-    (net (code 234) (name "Net-(X1-PadB8)")
-      (node (ref X1) (pin B8)))
-    (net (code 235) (name "Net-(X1-PadB11)")
-      (node (ref X1) (pin B11)))
-    (net (code 236) (name "Net-(X1-PadB12)")
-      (node (ref X1) (pin B12)))
-    (net (code 237) (name AD5)
-      (node (ref U13) (pin F3))
-      (node (ref U3) (pin 4)))
-    (net (code 238) (name AD4)
-      (node (ref U3) (pin 5))
-      (node (ref U13) (pin G5)))
-    (net (code 239) (name AD3)
-      (node (ref U13) (pin D1))
-      (node (ref U3) (pin 6)))
-    (net (code 240) (name AD2)
-      (node (ref U3) (pin 7))
-      (node (ref U13) (pin A9))
-      (node (ref U13) (pin B9)))
-    (net (code 241) (name AD1)
-      (node (ref U3) (pin 8))
-      (node (ref U13) (pin B8)))
-    (net (code 242) (name AD6)
-      (node (ref U13) (pin E1))
-      (node (ref U3) (pin 3)))
-    (net (code 243) (name AD14)
-      (node (ref U13) (pin N2))
-      (node (ref U4) (pin 3)))
-    (net (code 244) (name AD11)
-      (node (ref U13) (pin K1))
-      (node (ref U4) (pin 8)))
-    (net (code 245) (name "Net-(D28-Pad2)")
+    (net (code 229) (name "Net-(D28-Pad2)")
       (node (ref D28) (pin 2))
       (node (ref J1) (pin 2)))
-    (net (code 246) (name "Net-(R6-Pad2)")
-      (node (ref USB1) (pin 10))
-      (node (ref R6) (pin 2)))
-    (net (code 247) (name "Net-(F1-Pad2)")
-      (node (ref U9) (pin 5))
+    (net (code 230) (name /abc80bus/A4)
+      (node (ref X1) (pin B25))
+      (node (ref U3) (pin 15)))
+    (net (code 231) (name /abc80bus/A5)
+      (node (ref U3) (pin 16))
+      (node (ref X1) (pin B24)))
+    (net (code 232) (name /abc80bus/A3)
+      (node (ref X1) (pin B26))
+      (node (ref U3) (pin 14)))
+    (net (code 233) (name "Net-(X1-PadB30)")
+      (node (ref X1) (pin B30)))
+    (net (code 234) (name "Net-(X1-PadB9)")
+      (node (ref X1) (pin B9)))
+    (net (code 235) (name "Net-(R6-Pad2)")
+      (node (ref R6) (pin 2))
+      (node (ref USB1) (pin 10)))
+    (net (code 236) (name "Net-(F1-Pad2)")
       (node (ref F1) (pin 2))
       (node (ref USB1) (pin 11))
+      (node (ref U9) (pin 5))
       (node (ref USB1) (pin 2)))
-    (net (code 248) (name "Net-(USB1-Pad13)")
+    (net (code 237) (name "Net-(USB1-Pad13)")
       (node (ref USB1) (pin 13)))
-    (net (code 249) (name "Net-(USB1-Pad3)")
+    (net (code 238) (name "Net-(USB1-Pad3)")
       (node (ref USB1) (pin 3)))
-    (net (code 250) (name "Net-(R5-Pad2)")
-      (node (ref USB1) (pin 4))
-      (node (ref R5) (pin 2)))
-    (net (code 251) (name "Net-(USB1-Pad5)")
+    (net (code 239) (name "Net-(R5-Pad2)")
+      (node (ref R5) (pin 2))
+      (node (ref USB1) (pin 4)))
+    (net (code 240) (name "Net-(USB1-Pad5)")
       (node (ref USB1) (pin 5)))
-    (net (code 252) (name "Net-(USB1-Pad8)")
+    (net (code 241) (name "Net-(USB1-Pad8)")
       (node (ref USB1) (pin 8)))
-    (net (code 253) (name "Net-(U10-Pad13)")
-      (node (ref U10) (pin 13)))
-    (net (code 254) (name "Net-(USB1-Pad9)")
+    (net (code 242) (name "Net-(USB1-Pad9)")
       (node (ref USB1) (pin 9)))
-    (net (code 255) (name "Net-(U10-Pad4)")
-      (node (ref U9) (pin 3))
-      (node (ref U10) (pin 4)))
-    (net (code 256) (name "Net-(U10-Pad5)")
-      (node (ref U9) (pin 1))
-      (node (ref U10) (pin 5)))
-    (net (code 257) (name "Net-(U9-Pad4)")
+    (net (code 243) (name "Net-(U10-Pad4)")
+      (node (ref U10) (pin 4))
+      (node (ref U9) (pin 3)))
+    (net (code 244) (name "Net-(U9-Pad4)")
       (node (ref USB1) (pin 6))
       (node (ref U9) (pin 4)))
-    (net (code 258) (name "Net-(U9-Pad6)")
+    (net (code 245) (name "Net-(U10-Pad5)")
+      (node (ref U9) (pin 1))
+      (node (ref U10) (pin 5)))
+    (net (code 246) (name "Net-(U9-Pad6)")
       (node (ref USB1) (pin 7))
       (node (ref U9) (pin 6)))
-    (net (code 259) (name "Net-(R7-Pad1)")
-      (node (ref U10) (pin 9))
-      (node (ref R7) (pin 1)))
-    (net (code 260) (name "Net-(C39-Pad1)")
+    (net (code 247) (name "Net-(U10-Pad12)")
+      (node (ref U10) (pin 12)))
+    (net (code 248) (name "Net-(C39-Pad1)")
       (node (ref R7) (pin 2))
       (node (ref F1) (pin 1))
       (node (ref U10) (pin 8))
       (node (ref D19) (pin 2))
       (node (ref C39) (pin 1)))
-    (net (code 261) (name "Net-(U10-Pad27)")
+    (net (code 249) (name "Net-(U10-Pad27)")
       (node (ref U10) (pin 27)))
-    (net (code 262) (name "Net-(U10-Pad15)")
+    (net (code 250) (name "Net-(U10-Pad15)")
       (node (ref U10) (pin 15)))
-    (net (code 263) (name "Net-(U10-Pad14)")
+    (net (code 251) (name "Net-(U10-Pad14)")
       (node (ref U10) (pin 14)))
-    (net (code 264) (name "Net-(U10-Pad19)")
+    (net (code 252) (name "Net-(U10-Pad19)")
       (node (ref U10) (pin 19)))
-    (net (code 265) (name "Net-(U10-Pad18)")
+    (net (code 253) (name "Net-(U10-Pad18)")
       (node (ref U10) (pin 18)))
-    (net (code 266) (name "Net-(U10-Pad17)")
+    (net (code 254) (name "Net-(U10-Pad17)")
       (node (ref U10) (pin 17)))
-    (net (code 267) (name "Net-(U10-Pad20)")
+    (net (code 255) (name "Net-(U10-Pad20)")
       (node (ref U10) (pin 20)))
-    (net (code 268) (name "Net-(U10-Pad21)")
+    (net (code 256) (name "Net-(U10-Pad21)")
       (node (ref U10) (pin 21)))
-    (net (code 269) (name "Net-(U10-Pad22)")
+    (net (code 257) (name "Net-(U10-Pad22)")
       (node (ref U10) (pin 22)))
-    (net (code 270) (name "Net-(U10-Pad2)")
-      (node (ref U10) (pin 2)))
-    (net (code 271) (name "Net-(U10-Pad1)")
+    (net (code 258) (name "Net-(U10-Pad1)")
       (node (ref U10) (pin 1)))
-    (net (code 272) (name "Net-(U10-Pad11)")
+    (net (code 259) (name "Net-(R7-Pad1)")
+      (node (ref U10) (pin 9))
+      (node (ref R7) (pin 1)))
+    (net (code 260) (name "Net-(U10-Pad2)")
+      (node (ref U10) (pin 2)))
+    (net (code 261) (name "Net-(U10-Pad11)")
       (node (ref U10) (pin 11)))
-    (net (code 273) (name "Net-(U10-Pad12)")
-      (node (ref U10) (pin 12)))
-    (net (code 274) (name "Net-(U10-Pad16)")
+    (net (code 262) (name "Net-(U10-Pad13)")
+      (node (ref U10) (pin 13)))
+    (net (code 263) (name "Net-(U10-Pad16)")
       (node (ref U10) (pin 16)))
-    (net (code 275) (name "Net-(U10-Pad10)")
+    (net (code 264) (name "Net-(U10-Pad10)")
       (node (ref U10) (pin 10)))
-    (net (code 276) (name "Net-(D3-Pad2)")
-      (node (ref D3) (pin 2))
-      (node (ref U11) (pin 6)))
-    (net (code 277) (name "Net-(D1-Pad2)")
+    (net (code 265) (name "Net-(D2-Pad2)")
+      (node (ref D2) (pin 2))
+      (node (ref U11) (pin 7)))
+    (net (code 266) (name "Net-(U11-Pad11)")
+      (node (ref U11) (pin 11)))
+    (net (code 267) (name "Net-(U11-Pad10)")
+      (node (ref U11) (pin 10)))
+    (net (code 268) (name "Net-(U11-Pad40)")
+      (node (ref U11) (pin 40)))
+    (net (code 269) (name "Net-(D3-Pad2)")
+      (node (ref U11) (pin 6))
+      (node (ref D3) (pin 2)))
+    (net (code 270) (name "Net-(D1-Pad2)")
       (node (ref D1) (pin 2))
       (node (ref U11) (pin 5)))
-    (net (code 278) (name "Net-(D2-Pad2)")
-      (node (ref U11) (pin 7))
-      (node (ref D2) (pin 2)))
-    (net (code 279) (name "Net-(D2-Pad1)")
+    (net (code 271) (name "Net-(C53-Pad1)")
+      (node (ref C53) (pin 1))
+      (node (ref R15) (pin 1))
+      (node (ref R1) (pin 2)))
+    (net (code 272) (name ESP32_CS2)
+      (node (ref J4) (pin 19))
+      (node (ref U11) (pin 24)))
+    (net (code 273) (name ESP32_CS1)
+      (node (ref U11) (pin 39))
+      (node (ref J4) (pin 23)))
+    (net (code 274) (name ESP32_EN)
+      (node (ref R15) (pin 2))
+      (node (ref U11) (pin 41))
+      (node (ref J4) (pin 28)))
+    (net (code 275) (name "Net-(C52-Pad1)")
+      (node (ref D27) (pin 2))
+      (node (ref C52) (pin 1))
+      (node (ref F2) (pin 1)))
+    (net (code 276) (name "Net-(D2-Pad1)")
       (node (ref R17) (pin 1))
       (node (ref D2) (pin 1)))
-    (net (code 280) (name "Net-(C52-Pad1)")
-      (node (ref D27) (pin 2))
-      (node (ref F2) (pin 1))
-      (node (ref C52) (pin 1)))
-    (net (code 281) (name "Net-(U11-Pad11)")
-      (node (ref U11) (pin 11)))
-    (net (code 282) (name "Net-(U11-Pad10)")
-      (node (ref U11) (pin 10)))
-    (net (code 283) (name "Net-(U11-Pad40)")
-      (node (ref U11) (pin 40)))
-    (net (code 284) (name ESP32_SCK)
-      (node (ref U11) (pin 30))
-      (node (ref J4) (pin 24)))
-    (net (code 285) (name ESP32_CS0)
-      (node (ref J4) (pin 25))
-      (node (ref U11) (pin 32)))
-    (net (code 286) (name ESP32_SDA)
+    (net (code 277) (name "Net-(D1-Pad1)")
+      (node (ref D1) (pin 1))
+      (node (ref R16) (pin 1)))
+    (net (code 278) (name ESP32_SDA)
       (node (ref R20) (pin 2))
       (node (ref U11) (pin 27))
       (node (ref J4) (pin 20)))
-    (net (code 287) (name ESP32_SCL)
-      (node (ref R19) (pin 2))
+    (net (code 279) (name ESP32_SCL)
       (node (ref U11) (pin 28))
-      (node (ref J4) (pin 21)))
-    (net (code 288) (name ESP32_MISO)
+      (node (ref J4) (pin 21))
+      (node (ref R19) (pin 2)))
+    (net (code 280) (name ESP32_CS0)
+      (node (ref J4) (pin 25))
+      (node (ref U11) (pin 32)))
+    (net (code 281) (name ESP32_MISO)
       (node (ref U11) (pin 31))
       (node (ref J4) (pin 26)))
-    (net (code 289) (name ESP32_MOSI)
-      (node (ref J4) (pin 22))
-      (node (ref U11) (pin 29)))
-    (net (code 290) (name "Net-(D3-Pad1)")
+    (net (code 282) (name "Net-(D3-Pad1)")
       (node (ref R18) (pin 1))
       (node (ref D3) (pin 1)))
-    (net (code 291) (name "Net-(D1-Pad1)")
-      (node (ref D1) (pin 1))
-      (node (ref R16) (pin 1)))
-    (net (code 292) (name ESP32_TDI)
+    (net (code 283) (name ESP32_TCK)
+      (node (ref J4) (pin 34))
+      (node (ref U11) (pin 33)))
+    (net (code 284) (name /ESP32/USB_D-)
+      (node (ref U11) (pin 22))
+      (node (ref U15) (pin 1)))
+    (net (code 285) (name /ESP32/USB_D+)
+      (node (ref U11) (pin 23))
+      (node (ref U15) (pin 3)))
+    (net (code 286) (name ESP32_RXD)
+      (node (ref J4) (pin 29))
+      (node (ref U11) (pin 38)))
+    (net (code 287) (name ESP32_TXD)
+      (node (ref J4) (pin 27))
+      (node (ref U11) (pin 37)))
+    (net (code 288) (name ESP32_TMS)
+      (node (ref U11) (pin 36))
+      (node (ref J4) (pin 32)))
+    (net (code 289) (name ESP32_TDI)
       (node (ref U11) (pin 35))
       (node (ref J4) (pin 38)))
-    (net (code 293) (name ESP32_TDO)
+    (net (code 290) (name ESP32_TDO)
       (node (ref U11) (pin 34))
       (node (ref J4) (pin 36)))
-    (net (code 294) (name ESP32_TCK)
-      (node (ref U11) (pin 33))
-      (node (ref J4) (pin 34)))
-    (net (code 295) (name ESP32_TMS)
-      (node (ref U11) (pin 36))
-      (node (ref J4) (pin 32)))
-    (net (code 296) (name ESP32_CS2)
-      (node (ref U11) (pin 24))
-      (node (ref J4) (pin 19)))
-    (net (code 297) (name /ESP32/USB_D+)
-      (node (ref U11) (pin 23))
-      (node (ref U15) (pin 3)))
-    (net (code 298) (name ESP32_CS1)
-      (node (ref U11) (pin 39))
-      (node (ref J4) (pin 23)))
-    (net (code 299) (name ESP32_RXD)
-      (node (ref U11) (pin 38))
-      (node (ref J4) (pin 29)))
-    (net (code 300) (name ESP32_TXD)
-      (node (ref U11) (pin 37))
-      (node (ref J4) (pin 27)))
-    (net (code 301) (name "Net-(C53-Pad1)")
-      (node (ref R15) (pin 1))
-      (node (ref R1) (pin 2))
-      (node (ref C53) (pin 1)))
-    (net (code 302) (name ESP32_EN)
-      (node (ref R15) (pin 2))
-      (node (ref U11) (pin 41))
-      (node (ref J4) (pin 28)))
-    (net (code 303) (name "Net-(U11-Pad25)")
+    (net (code 291) (name ESP32_SCK)
+      (node (ref U11) (pin 30))
+      (node (ref J4) (pin 24)))
+    (net (code 292) (name ESP32_MOSI)
+      (node (ref J4) (pin 22))
+      (node (ref U11) (pin 29)))
+    (net (code 293) (name "Net-(F2-Pad2)")
+      (node (ref U15) (pin 5))
+      (node (ref F2) (pin 2))
+      (node (ref USB2) (pin 11))
+      (node (ref USB2) (pin 2)))
+    (net (code 294) (name "Net-(U11-Pad25)")
       (node (ref U11) (pin 25)))
-    (net (code 304) (name /ESP32/USB_D-)
-      (node (ref U15) (pin 1))
-      (node (ref U11) (pin 22)))
-    (net (code 305) (name "Net-(U15-Pad6)")
+    (net (code 295) (name "Net-(USB2-Pad13)")
+      (node (ref USB2) (pin 13)))
+    (net (code 296) (name "Net-(U15-Pad6)")
       (node (ref U15) (pin 6))
       (node (ref USB2) (pin 7)))
-    (net (code 306) (name "Net-(U15-Pad4)")
-      (node (ref U15) (pin 4))
-      (node (ref USB2) (pin 6)))
-    (net (code 307) (name "Net-(USB2-Pad13)")
-      (node (ref USB2) (pin 13)))
-    (net (code 308) (name "Net-(USB2-Pad5)")
+    (net (code 297) (name "Net-(USB2-Pad9)")
+      (node (ref USB2) (pin 9)))
+    (net (code 298) (name "Net-(USB2-Pad8)")
+      (node (ref USB2) (pin 8)))
+    (net (code 299) (name "Net-(U15-Pad4)")
+      (node (ref USB2) (pin 6))
+      (node (ref U15) (pin 4)))
+    (net (code 300) (name "Net-(USB2-Pad5)")
       (node (ref USB2) (pin 5)))
-    (net (code 309) (name "Net-(R3-Pad2)")
-      (node (ref USB2) (pin 4))
-      (node (ref R3) (pin 2)))
-    (net (code 310) (name "Net-(USB2-Pad3)")
+    (net (code 301) (name "Net-(R3-Pad2)")
+      (node (ref R3) (pin 2))
+      (node (ref USB2) (pin 4)))
+    (net (code 302) (name "Net-(USB2-Pad3)")
       (node (ref USB2) (pin 3)))
-    (net (code 311) (name "Net-(USB2-Pad8)")
-      (node (ref USB2) (pin 8)))
-    (net (code 312) (name "Net-(R4-Pad2)")
-      (node (ref USB2) (pin 10))
-      (node (ref R4) (pin 2)))
-    (net (code 313) (name "Net-(USB2-Pad9)")
-      (node (ref USB2) (pin 9)))
-    (net (code 314) (name "Net-(F2-Pad2)")
-      (node (ref F2) (pin 2))
-      (node (ref USB2) (pin 11))
-      (node (ref USB2) (pin 2))
-      (node (ref U15) (pin 5)))
-    (net (code 315) (name "Net-(U12-Pad4)")
+    (net (code 303) (name "Net-(R4-Pad2)")
+      (node (ref R4) (pin 2))
+      (node (ref USB2) (pin 10)))
+    (net (code 304) (name "Net-(BT1-Pad1)")
+      (node (ref BT1) (pin 1))
+      (node (ref U12) (pin 14)))
+    (net (code 305) (name "Net-(U12-Pad4)")
       (node (ref U12) (pin 4)))
-    (net (code 316) (name "Net-(BT1-Pad1)")
-      (node (ref U12) (pin 14))
-      (node (ref BT1) (pin 1)))
-    (net (code 317) (name +2V5)
+    (net (code 306) (name +2V5)
+      (node (ref C9) (pin 1))
+      (node (ref C15) (pin 1))
       (node (ref C73) (pin 1))
       (node (ref C71) (pin 1))
-      (node (ref C43) (pin 1))
-      (node (ref U13) (pin L5))
       (node (ref C59) (pin 1))
-      (node (ref U13) (pin F5))
-      (node (ref U16) (pin 2))
       (node (ref C32) (pin 1))
-      (node (ref U13) (pin M14))
-      (node (ref C15) (pin 1))
-      (node (ref C9) (pin 1))
+      (node (ref C42) (pin 1))
+      (node (ref U16) (pin 2))
+      (node (ref C41) (pin 1))
+      (node (ref C43) (pin 1))
+      (node (ref U13) (pin L5))
+      (node (ref U13) (pin F12))
       (node (ref U13) (pin K14))
+      (node (ref U13) (pin F5))
       (node (ref U13) (pin L12))
-      (node (ref U13) (pin F12))
-      (node (ref C42) (pin 1))
-      (node (ref C41) (pin 1)))
-    (net (code 318) (name +1V2)
+      (node (ref U13) (pin M14)))
+    (net (code 307) (name +1V2)
       (node (ref C19) (pin 1))
-      (node (ref C72) (pin 1))
+      (node (ref C44) (pin 1))
+      (node (ref C20) (pin 1))
+      (node (ref C63) (pin 1))
+      (node (ref U13) (pin F7))
+      (node (ref C50) (pin 1))
+      (node (ref C62) (pin 1))
+      (node (ref C74) (pin 1))
       (node (ref C18) (pin 1))
-      (node (ref U13) (pin H11))
-      (node (ref U13) (pin D13))
       (node (ref C17) (pin 1))
+      (node (ref U1) (pin 2))
       (node (ref C1) (pin 1))
-      (node (ref C74) (pin 1))
-      (node (ref C23) (pin 1))
+      (node (ref C72) (pin 1))
       (node (ref C12) (pin 1))
-      (node (ref C20) (pin 1))
-      (node (ref U1) (pin 2))
-      (node (ref U13) (pin G7))
-      (node (ref U13) (pin N13))
-      (node (ref U13) (pin N4))
-      (node (ref U13) (pin G6))
-      (node (ref C44) (pin 1))
-      (node (ref C50) (pin 1))
-      (node (ref C62) (pin 1))
-      (node (ref C63) (pin 1))
       (node (ref C66) (pin 1))
-      (node (ref U13) (pin H6))
-      (node (ref U13) (pin G9))
+      (node (ref C23) (pin 1))
+      (node (ref U13) (pin D4))
+      (node (ref U13) (pin D13))
+      (node (ref U13) (pin F11))
       (node (ref U13) (pin J6))
-      (node (ref U13) (pin G8))
       (node (ref U13) (pin K11))
+      (node (ref U13) (pin H11))
       (node (ref U13) (pin K7))
-      (node (ref U13) (pin D4))
-      (node (ref U13) (pin F11))
-      (node (ref U13) (pin F7))
-      (node (ref U13) (pin G10)))
-    (net (code 319) (name "Net-(J4-Pad40)")
-      (node (ref J4) (pin 40)))
-    (net (code 320) (name "Net-(J4-Pad6)")
+      (node (ref U13) (pin G9))
+      (node (ref U13) (pin H6))
+      (node (ref U13) (pin G8))
+      (node (ref U13) (pin G7))
+      (node (ref U13) (pin G6))
+      (node (ref U13) (pin N13))
+      (node (ref U13) (pin G10))
+      (node (ref U13) (pin N4)))
+    (net (code 308) (name "Net-(J4-Pad6)")
       (node (ref J4) (pin 6)))
-    (net (code 321) (name "Net-(J4-Pad8)")
+    (net (code 309) (name "Net-(J4-Pad8)")
       (node (ref J4) (pin 8)))
-    (net (code 322) (name "Net-(C78-Pad1)")
-      (node (ref J5) (pin 6))
-      (node (ref C78) (pin 1)))
-    (net (code 323) (name "Net-(C79-Pad1)")
-      (node (ref C79) (pin 1))
-      (node (ref J5) (pin 8)))
-    (net (code 324) (name "Net-(C80-Pad1)")
-      (node (ref J5) (pin 9))
-      (node (ref C80) (pin 1)))
-    (net (code 325) (name "Net-(C81-Pad1)")
-      (node (ref C81) (pin 1))
-      (node (ref J5) (pin 11)))
-    (net (code 326) (name "Net-(J5-Pad19)")
-      (node (ref J5) (pin 19))
+    (net (code 310) (name "Net-(J4-Pad40)")
+      (node (ref J4) (pin 40)))
+    (net (code 311) (name "Net-(J2-Pad19)")
+      (node (ref J2) (pin 19))
       (node (ref Q7) (pin 3))
       (node (ref R47) (pin 1)))
-    (net (code 327) (name "Net-(C77-Pad1)")
-      (node (ref C77) (pin 1))
-      (node (ref J5) (pin 5)))
-    (net (code 328) (name "Net-(C76-Pad1)")
-      (node (ref J5) (pin 3))
+    (net (code 312) (name "Net-(C81-Pad1)")
+      (node (ref C81) (pin 1))
+      (node (ref J2) (pin 10)))
+    (net (code 313) (name "Net-(C80-Pad1)")
+      (node (ref C80) (pin 1))
+      (node (ref J2) (pin 9)))
+    (net (code 314) (name "Net-(C79-Pad1)")
+      (node (ref J2) (pin 7))
+      (node (ref C79) (pin 1)))
+    (net (code 315) (name "Net-(J2-Pad13)")
+      (node (ref J2) (pin 13)))
+    (net (code 316) (name "Net-(J2-PadSH)")
+      (node (ref J2) (pin SH)))
+    (net (code 317) (name "Net-(C76-Pad1)")
+      (node (ref J2) (pin 3))
       (node (ref C76) (pin 1)))
-    (net (code 329) (name "Net-(C75-Pad1)")
-      (node (ref C75) (pin 1))
-      (node (ref J5) (pin 2)))
-    (net (code 330) (name "Net-(C82-Pad1)")
+    (net (code 318) (name "Net-(J2-Pad14)")
+      (node (ref J2) (pin 14)))
+    (net (code 319) (name "Net-(C82-Pad1)")
       (node (ref C82) (pin 1))
-      (node (ref J5) (pin 12)))
-    (net (code 331) (name "Net-(J5-PadSH)")
-      (node (ref J5) (pin SH)))
-    (net (code 332) (name "Net-(J5-Pad17)")
-      (node (ref J5) (pin 17)))
-    (net (code 333) (name "Net-(J5-Pad14)")
-      (node (ref J5) (pin 14)))
-    (net (code 334) (name "Net-(J5-Pad10)")
-      (node (ref J5) (pin 10)))
-    (net (code 335) (name DD7)
-      (node (ref U5) (pin 2))
-      (node (ref U13) (pin T4)))
-    (net (code 336) (name DD6)
-      (node (ref U13) (pin R4))
-      (node (ref U5) (pin 3)))
-    (net (code 337) (name DD5)
+      (node (ref J2) (pin 12)))
+    (net (code 320) (name "Net-(J2-Pad11)")
+      (node (ref J2) (pin 11)))
+    (net (code 321) (name "Net-(C75-Pad1)")
+      (node (ref J2) (pin 1))
+      (node (ref C75) (pin 1)))
+    (net (code 322) (name "Net-(C77-Pad1)")
+      (node (ref C77) (pin 1))
+      (node (ref J2) (pin 4)))
+    (net (code 323) (name "Net-(C78-Pad1)")
+      (node (ref J2) (pin 6))
+      (node (ref C78) (pin 1)))
+    (net (code 329) (name DD10)
+      (node (ref U13) (pin T10))
+      (node (ref U14) (pin 1)))
+    (net (code 330) (name DD9)
+      (node (ref U5) (pin 19))
+      (node (ref U13) (pin T5)))
+    (net (code 331) (name DD8)
+      (node (ref U5) (pin 1))
+      (node (ref U13) (pin R5)))
+    (net (code 332) (name DD7)
+      (node (ref U13) (pin T4))
+      (node (ref U5) (pin 2)))
+    (net (code 333) (name DD6)
+      (node (ref U5) (pin 3))
+      (node (ref U13) (pin R4)))
+    (net (code 334) (name DD5)
       (node (ref U5) (pin 4))
       (node (ref U13) (pin T3)))
-    (net (code 338) (name DD4)
-      (node (ref U5) (pin 5))
-      (node (ref U13) (pin R3)))
-    (net (code 339) (name DD3)
-      (node (ref U13) (pin T2))
-      (node (ref U5) (pin 6)))
-    (net (code 340) (name DD2)
+    (net (code 335) (name DD4)
+      (node (ref U13) (pin R3))
+      (node (ref U5) (pin 5)))
+    (net (code 336) (name DD3)
+      (node (ref U5) (pin 6))
+      (node (ref U13) (pin T2)))
+    (net (code 337) (name DD2)
       (node (ref U13) (pin N5))
       (node (ref U5) (pin 7)))
-    (net (code 341) (name DD1)
+    (net (code 338) (name DD1)
       (node (ref U5) (pin 8))
       (node (ref U13) (pin M6)))
-    (net (code 342) (name DD8)
-      (node (ref U5) (pin 1))
-      (node (ref U13) (pin R5)))
-    (net (code 348) (name DD9)
-      (node (ref U5) (pin 19))
-      (node (ref U13) (pin T5)))))
+    (net (code 339) (name DD0)
+      (node (ref U13) (pin P3))
+      (node (ref U5) (pin 9)))))

+ 28 - 23
max80.sch

@@ -375,8 +375,6 @@ Text Label 13850 9000 2    50   ~ 0
 SD_DAT0
 Text Label 13850 9100 2    50   ~ 0
 SD_DAT1
-Wire Wire Line
-	13850 9200 13950 9200
 Wire Wire Line
 	13850 9100 13950 9100
 Wire Wire Line
@@ -395,17 +393,6 @@ F 3 "" H 13350 8600 50  0001 C CNN
 	1    0    0    -1  
 $EndComp
 $Comp
-L power:GND #PWR030
-U 1 1 60B4BEE8
-P 13400 9100
-F 0 "#PWR030" H 13400 8850 50  0001 C CNN
-F 1 "GND" H 13405 8927 50  0000 C CNN
-F 2 "" H 13400 9100 50  0001 C CNN
-F 3 "" H 13400 9100 50  0001 C CNN
-	1    13400 9100
-	1    0    0    -1  
-$EndComp
-$Comp
 L Device:C_Small C51
 U 1 1 60B62C42
 P 12950 8850
@@ -468,8 +455,6 @@ F 3 "" H 15650 9450 50  0001 C CNN
 	1    15650 9450
 	1    0    0    -1  
 $EndComp
-Wire Wire Line
-	15650 9400 15650 9450
 Text Label 3450 10100 2    50   ~ 0
 32KHZ
 Wire Wire Line
@@ -2193,7 +2178,6 @@ Wire Wire Line
 	4150 6250 4200 6250
 NoConn ~ 6550 7000
 NoConn ~ 6550 7100
-NoConn ~ 6550 7200
 NoConn ~ 6550 7900
 Wire Wire Line
 	4100 7450 4200 7450
@@ -2223,7 +2207,6 @@ F 3 "" H 6000 7550 50  0001 L CNN
 $EndComp
 Wire Wire Line
 	6550 7800 6050 7800
-NoConn ~ 6550 7300
 NoConn ~ 6550 8200
 Wire Wire Line
 	4150 8350 4200 8350
@@ -2576,10 +2559,6 @@ Wire Wire Line
 	13350 8700 13950 8700
 Wire Wire Line
 	13850 8800 13950 8800
-Wire Wire Line
-	13400 8900 13950 8900
-Wire Wire Line
-	13400 8900 13400 9100
 Wire Wire Line
 	13850 9000 13950 9000
 Wire Wire Line
@@ -2587,16 +2566,42 @@ Wire Wire Line
 Wire Wire Line
 	13850 9300 13850 9450
 $Comp
-L power:GND #PWR?
+L power:GND #PWR0106
 U 1 1 618A925D
 P 13850 9450
-F 0 "#PWR?" H 13850 9200 50  0001 C CNN
+F 0 "#PWR0106" H 13850 9200 50  0001 C CNN
 F 1 "GND" H 13855 9277 50  0000 C CNN
 F 2 "" H 13850 9450 50  0001 C CNN
 F 3 "" H 13850 9450 50  0001 C CNN
 	1    13850 9450
 	1    0    0    -1  
 $EndComp
+Wire Wire Line
+	15650 9300 15650 9450
+Text Label 13800 9200 2    50   ~ 0
+~SD_DETECT
+Wire Wire Line
+	13300 8900 13300 9200
+Wire Wire Line
+	13300 8900 13950 8900
+$Comp
+L power:GND #PWR0107
+U 1 1 61BA62A7
+P 13300 9200
+F 0 "#PWR0107" H 13300 8950 50  0001 C CNN
+F 1 "GND" H 13305 9027 50  0000 C CNN
+F 2 "" H 13300 9200 50  0001 C CNN
+F 3 "" H 13300 9200 50  0001 C CNN
+	1    13300 9200
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	13800 9200 13950 9200
+Text Label 6500 7300 2    50   ~ 0
+~SD_DETECT
+NoConn ~ 6550 7200
+Wire Wire Line
+	6500 7300 6550 7300
 Wire Bus Line
 	8500 1750 8500 2250
 Wire Wire Line

Failā izmaiņas netiks attēlotas, jo tās ir par lielu
+ 612 - 669
max80.xml


+ 0 - 60
power.sch

@@ -14,48 +14,6 @@ Comment3 ""
 Comment4 ""
 $EndDescr
 $Comp
-L Connector:Barrel_Jack_Switch J2
-U 1 1 6014204A
-P 1450 1950
-F 0 "J2" H 1507 2267 50  0000 C CNN
-F 1 "Barrel_Jack_Switch" H 1500 2200 50  0000 C CNN
-F 2 "max80:BarrelJack_Wuerth_6941xx301002" H 1500 1910 50  0001 C CNN
-F 3 "~" H 1500 1910 50  0001 C CNN
-	1    1450 1950
-	1    0    0    -1  
-$EndComp
-$Comp
-L power:+5V #PWR0106
-U 1 1 601425EC
-P 2450 1700
-F 0 "#PWR0106" H 2450 1550 50  0001 C CNN
-F 1 "+5V" H 2465 1873 50  0000 C CNN
-F 2 "" H 2450 1700 50  0001 C CNN
-F 3 "" H 2450 1700 50  0001 C CNN
-	1    2450 1700
-	1    0    0    -1  
-$EndComp
-$Comp
-L power:GND #PWR0107
-U 1 1 60142E07
-P 2000 2150
-F 0 "#PWR0107" H 2000 1900 50  0001 C CNN
-F 1 "GND" H 2005 1977 50  0000 C CNN
-F 2 "" H 2000 2150 50  0001 C CNN
-F 3 "" H 2000 2150 50  0001 C CNN
-	1    2000 2150
-	1    0    0    -1  
-$EndComp
-Wire Wire Line
-	1750 1950 2000 1950
-Wire Wire Line
-	2000 1950 2000 2050
-Wire Wire Line
-	1750 2050 2000 2050
-Connection ~ 2000 2050
-Wire Wire Line
-	2000 2050 2000 2150
-$Comp
 L Device:CP_Small C61
 U 1 1 602A7E22
 P 3250 2000
@@ -80,24 +38,6 @@ F 4 "C127327" H 2850 2000 50  0001 C CNN "LCSC"
 	1    0    0    -1  
 $EndComp
 $Comp
-L Device:D_Schottky D25
-U 1 1 6040C80A
-P 2200 1850
-F 0 "D25" H 2250 1750 50  0000 R CNN
-F 1 "MBRA340T" H 2300 2000 50  0000 R CNN
-F 2 "Diode_SMD:D_SMA" H 2200 1850 50  0001 C CNN
-F 3 "~" H 2200 1850 50  0001 C CNN
-F 4 "C26178" V 2200 1850 50  0001 C CNN "LCSC"
-	1    2200 1850
-	-1   0    0    1   
-$EndComp
-Wire Wire Line
-	1750 1850 2050 1850
-Wire Wire Line
-	2350 1850 2450 1850
-Wire Wire Line
-	2450 1850 2450 1700
-$Comp
 L power:+5V #PWR0103
 U 1 1 6169AFB4
 P 2850 1800

Daži faili netika attēloti, jo izmaiņu fails ir pārāk liels