Browse Source

Move most code to SDRAM; fix problems with code in SDRAM; cleanups

The SRAM finally filled up. Move any code that isn't performance
critical to SDRAM. This required changes to mark which code needs to
stay in SRAM.

Found several memory bus timing problems exposed by running rvc code
from SDRAM.

Print debug information when we take a fatal trap; make picorv32 store
the faulting address on a bus error. To accomodate that sanely, factor
out the CSRR pseudo-instructions as the actual CSRR instruction
opcode, with a separate lookup table for the CSR number. CSR writes
are not supported in any form.

Clean up some infrastructure.

Use a jump table rather than an pointer table for irq dispatch
(slightly faster and prepares for switching to vectored interrupts).
H. Peter Anvin 3 years ago
parent
commit
372899ea3b
31 changed files with 1226 additions and 7904 deletions
  1. 14 3
      fpga/max80.sv
  2. BIN
      fpga/output_files/max80.jic
  3. BIN
      fpga/output_files/max80.pof
  4. 27 31
      fpga/picorv32.v
  5. 1 1
      fpga/usb/usb_desc.conf
  6. 155 157
      fpga/usb/usb_desc.v
  7. 1 1
      riscv-opts.mk
  8. 1 1
      rv32/.gitignore
  9. 7 6
      rv32/Makefile
  10. 7 2
      rv32/abcmem.c
  11. 572 7508
      rv32/boot.mif
  12. 101 0
      rv32/compiler.h
  13. 11 17
      rv32/console.c
  14. 30 5
      rv32/console.h
  15. 1 52
      rv32/fw.h
  16. 1 1
      rv32/head.S
  17. 8 8
      rv32/io.h
  18. 1 2
      rv32/ioregs.h
  19. 10 6
      rv32/irq.h
  20. 46 10
      rv32/irqasm.S
  21. 17 0
      rv32/irqtable.S
  22. 1 1
      rv32/main.c
  23. 102 31
      rv32/max80.ld
  24. 14 13
      rv32/memcpy.S
  25. 1 4
      rv32/memset.c
  26. 2 0
      rv32/picorv32.h
  27. 15 12
      rv32/romcopy.c
  28. 16 0
      rv32/spurious_irq.c
  29. 1 0
      rv32/sys.h
  30. 58 15
      rv32/system.c
  31. 5 17
      tools/iodevs.pl

+ 14 - 3
fpga/max80.sv

@@ -307,14 +307,25 @@ module max80 (
 		  );
 
    // CPU interface
+   wire        sdram_valid = cpu_mem_quad[1];
    wire [31:0] sdram_mem_rdata;
    wire        sdram_ready;
+   reg 	       sdram_ready_q;
    reg	       sdram_mem_ready;
 
+   //
    // Retard sdram_ready by one sys_clk (multicycle path for the data,
    // see max80.sdc)
+   //
+   // Note that if the CPU leaves valid asserted the CPU cycle after
+   // receiving ready, it is the beginning of another request. The
+   // sdram core expects valid to be strobed, so deassert valid
+   // to the sdram core while asserting ready to the CPU.
+   //
    always @(posedge sys_clk)
-     sdram_mem_ready <= sdram_ready;
+     begin
+	sdram_mem_ready <= sdram_ready & sdram_valid;
+     end
 
    dram_port #(32)
    cpu_dram_port (
@@ -322,7 +333,7 @@ module max80 (
 		  .prio  ( 2'd1 ),
 		  .addr  ( cpu_mem_addr[24:0] ),
 		  .rd    ( sdram_mem_rdata ),
-		  .valid ( cpu_mem_quad[1] ),
+		  .valid ( sdram_valid & ~sdram_mem_ready ),
 		  .ready ( sdram_ready ),
 		  .wd    ( cpu_mem_wdata ),
 		  .wstrb ( cpu_mem_wstrb )
@@ -461,7 +472,7 @@ module max80 (
 	      .ENABLE_COUNTERS64 ( 1 ),
 	      .ENABLE_REGS_16_31 ( 1 ),
 	      .ENABLE_REGS_DUALPORT ( 1 ),
-	      .LATCHED_MEM_RDATA ( 1 ),
+	      .LATCHED_MEM_RDATA ( 0 ),
 	      .BARREL_SHIFTER ( 1 ),
 	      .TWO_CYCLE_COMPARE ( 0 ),
 	      .TWO_CYCLE_ALU ( 0 ),

BIN
fpga/output_files/max80.jic


BIN
fpga/output_files/max80.pof


+ 27 - 31
fpga/picorv32.v

@@ -219,6 +219,7 @@ module picorv32 #(
 	reg [31:0] irq_mask;
 	reg [31:0] irq_pending;
 	reg [31:0] timer;
+	reg [31:0] buserr_address;
 
 `ifndef PICORV32_REGS
 	reg [31:0] cpuregs [0:regfile_size-1];
@@ -671,7 +672,7 @@ module picorv32 #(
 	reg instr_lb, instr_lh, instr_lw, instr_lbu, instr_lhu, instr_sb, instr_sh, instr_sw;
 	reg instr_addi, instr_slti, instr_sltiu, instr_xori, instr_ori, instr_andi, instr_slli, instr_srli, instr_srai;
 	reg instr_add, instr_sub, instr_sll, instr_slt, instr_sltu, instr_xor, instr_srl, instr_sra, instr_or, instr_and;
-	reg instr_rdcycle, instr_rdcycleh, instr_rdinstr, instr_rdinstrh, instr_ecall_ebreak;
+	reg instr_csrr, instr_ecall_ebreak;
 	reg instr_addqxi, instr_addxqi, instr_retirq, instr_maskirq, instr_waitirq, instr_timer;
 
 	wire instr_trap;
@@ -705,11 +706,7 @@ module picorv32 #(
 			instr_lb, instr_lh, instr_lw, instr_lbu, instr_lhu, instr_sb, instr_sh, instr_sw,
 			instr_addi, instr_slti, instr_sltiu, instr_xori, instr_ori, instr_andi, instr_slli, instr_srli, instr_srai,
 			instr_add, instr_sub, instr_sll, instr_slt, instr_sltu, instr_xor, instr_srl, instr_sra, instr_or, instr_and,
-			instr_rdcycle, instr_rdcycleh, instr_rdinstr, instr_rdinstrh,
-			instr_addqxi, instr_retirq, instr_maskirq, instr_waitirq, instr_timer};
-
-	wire is_rdcycle_rdcycleh_rdinstr_rdinstrh;
-	assign is_rdcycle_rdcycleh_rdinstr_rdinstrh = |{instr_rdcycle, instr_rdcycleh, instr_rdinstr, instr_rdinstrh};
+			instr_csrr, instr_addqxi, instr_retirq, instr_maskirq, instr_waitirq, instr_timer};
 
 	reg [63:0] new_ascii_instr;
 	`FORMAL_KEEP reg [63:0] dbg_ascii_instr;
@@ -767,10 +764,7 @@ module picorv32 #(
 		if (instr_or)       new_ascii_instr = "or";
 		if (instr_and)      new_ascii_instr = "and";
 
-		if (instr_rdcycle)  new_ascii_instr = "rdcycle";
-		if (instr_rdcycleh) new_ascii_instr = "rdcycleh";
-		if (instr_rdinstr)  new_ascii_instr = "rdinstr";
-		if (instr_rdinstrh) new_ascii_instr = "rdinstrh";
+		if (instr_csrr)     new_ascii_instr = "csrr";
 
 	        if (instr_addqxi)   new_ascii_instr = "addqxi";
 	        if (instr_addxqi)   new_ascii_instr = "addxqi";
@@ -1118,12 +1112,8 @@ module picorv32 #(
 			instr_or    <= is_alu_reg_reg && mem_rdata_q[14:12] == 3'b110 && mem_rdata_q[31:25] == 7'b0000000;
 			instr_and   <= is_alu_reg_reg && mem_rdata_q[14:12] == 3'b111 && mem_rdata_q[31:25] == 7'b0000000;
 
-			instr_rdcycle  <= ((mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[31:12] == 'b11000000000000000010) ||
-			                   (mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[31:12] == 'b11000000000100000010)) && ENABLE_COUNTERS;
-			instr_rdcycleh <= ((mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[31:12] == 'b11001000000000000010) ||
-			                   (mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[31:12] == 'b11001000000100000010)) && ENABLE_COUNTERS && ENABLE_COUNTERS64;
-			instr_rdinstr  <=  (mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[31:12] == 'b11000000001000000010) && ENABLE_COUNTERS;
-			instr_rdinstrh <=  (mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[31:12] == 'b11001000001000000010) && ENABLE_COUNTERS && ENABLE_COUNTERS64;
+			// The only CSR reference supported is CSRR
+			instr_csrr     <= (mem_rdata_q[6:0] == 7'b1110011 && mem_rdata_q[19:12] == 'b00000010);
 
 			instr_ecall_ebreak <= ((mem_rdata_q[6:0] == 7'b1110011 && !mem_rdata_q[31:21] && !mem_rdata_q[19:7]) ||
 					(COMPRESSED_ISA && mem_rdata_q[15:0] == 16'h9002));
@@ -1131,6 +1121,7 @@ module picorv32 #(
 			instr_maskirq <= mem_rdata_q[6:0] == 7'b0001011 && mem_rdata_q[14:12] == 3'b000 && mem_rdata_q[31:25] == 7'b0000011 && ENABLE_IRQ;
 			instr_waitirq <= mem_rdata_q[6:0] == 7'b0001011 && mem_rdata_q[14:12] == 3'b000 && mem_rdata_q[31:25] == 7'b0000100 && ENABLE_IRQ;
 			instr_timer   <= mem_rdata_q[6:0] == 7'b0001011 && mem_rdata_q[14:12] == 3'b000 && mem_rdata_q[31:25] == 7'b0000101 && ENABLE_IRQ && ENABLE_IRQ_TIMER;
+
 			// instr_addqxi includes addxqi; instr_addxqi is only used for debug
 		        instr_addqxi  <= mem_rdata_q[6:0] == 7'b0001011 && mem_rdata_q[14:13] == 2'b01  && ENABLE_IRQ && ENABLE_IRQ_QREGS;
 		        instr_addxqi  <= mem_rdata_q[6:0] == 7'b0001011 && mem_rdata_q[14:12] == 3'b011 && ENABLE_IRQ && ENABLE_IRQ_QREGS;
@@ -1165,14 +1156,12 @@ module picorv32 #(
 					decoded_imm <= decoded_imm_j;
 				|{instr_lui, instr_auipc}:
 					decoded_imm <= mem_rdata_q[31:12] << 12;
-				|{instr_jalr, is_lb_lh_lw_lbu_lhu, is_alu_reg_imm, is_addqxi}:
-					decoded_imm <= $signed(mem_rdata_q[31:20]);
 				is_beq_bne_blt_bge_bltu_bgeu:
 					decoded_imm <= $signed({mem_rdata_q[31], mem_rdata_q[7], mem_rdata_q[30:25], mem_rdata_q[11:8], 1'b0});
 				is_sb_sh_sw:
 					decoded_imm <= $signed({mem_rdata_q[31:25], mem_rdata_q[11:7]});
 				default:
-					decoded_imm <= 1'bx;
+					decoded_imm <= $signed(mem_rdata_q[31:20]);
 			endcase
 		end
 
@@ -1679,18 +1668,22 @@ module picorv32 #(
 								cpu_state <= cpu_state_trap;
 						end
 					end
-					ENABLE_COUNTERS && is_rdcycle_rdcycleh_rdinstr_rdinstrh: begin
-						(* parallel_case, full_case *)
-						case (1'b1)
-							instr_rdcycle:
-								reg_out <= count_cycle[31:0];
-							instr_rdcycleh && ENABLE_COUNTERS64:
-								reg_out <= count_cycle[63:32];
-							instr_rdinstr:
-								reg_out <= count_instr[31:0];
-							instr_rdinstrh && ENABLE_COUNTERS64:
-								reg_out <= count_instr[63:32];
-						endcase
+					instr_csrr: begin
+						reg_out <= 32'bx;
+						case (decoded_imm[11:0])
+							12'hc00, 12'hc01:	 // cycle, time
+							  if (ENABLE_COUNTERS)   reg_out <= count_cycle[31:0];
+							12'hc80, 12'hc81:	 // cycleh, timeh
+							  if (ENABLE_COUNTERS64) reg_out <= count_cycle[63:32];
+							12'hc02:		 // instret (rdinstr)
+							  if (ENABLE_COUNTERS)   reg_out <= count_instr[31:0];
+							12'hc82:		 // instret (rdinstr)
+							  if (ENABLE_COUNTERS64) reg_out <= count_instr[63:32];
+							12'h343:		 // mtval
+							  if (CATCH_MISALIGN)    reg_out <= buserr_address;
+							default:
+							  reg_out <= 32'bx;
+						endcase // case (decoded_imm[11:0])
 						latched_store <= 1;
 						cpu_state <= cpu_state_fetch;
 					end
@@ -1978,6 +1971,7 @@ module picorv32 #(
 			if (mem_wordsize == 0 && reg_op1[1:0] != 0) begin
 				`debug($display("MISALIGNED WORD: 0x%08x", reg_op1);)
 				if (ENABLE_IRQ && !irq_mask[irq_buserror] && !irq_active) begin
+					buserr_address <= reg_op1;
 					next_irq_pending[irq_buserror] = 1;
 				end else
 					cpu_state <= cpu_state_trap;
@@ -1985,6 +1979,7 @@ module picorv32 #(
 			if (mem_wordsize == 1 && reg_op1[0] != 0) begin
 				`debug($display("MISALIGNED HALFWORD: 0x%08x", reg_op1);)
 				if (ENABLE_IRQ && !irq_mask[irq_buserror] && !irq_active) begin
+					buserr_address <= reg_op1;
 					next_irq_pending[irq_buserror] = 1;
 				end else
 					cpu_state <= cpu_state_trap;
@@ -1993,6 +1988,7 @@ module picorv32 #(
 		if (CATCH_MISALIGN && resetn && mem_do_rinst && (COMPRESSED_ISA ? reg_pc[0] : |reg_pc[1:0])) begin
 			`debug($display("MISALIGNED INSTRUCTION: 0x%08x", reg_pc);)
 			if (ENABLE_IRQ && !irq_mask[irq_buserror] && !irq_active) begin
+				buserr_address <= reg_pc;
 				next_irq_pending[irq_buserror] = 1;
 			end else
 				cpu_state <= cpu_state_trap;

+ 1 - 1
fpga/usb/usb_desc.conf

@@ -9,7 +9,7 @@ my $vendor_id    = word(0x1d50);
 my $device_id    = word(0x6149);
 my $version_id   = word(0x0100);
 
-my $serial       = usb_serial('_serial_here_');
+my $serial       = usb_serial('_serial_str_');
 my $manufacturer = usb_string(''   => 'Peter & Per');
 my $product      = usb_string(''   => 'MAX80 I/O card for ABC');
 

+ 155 - 157
fpga/usb/usb_desc.v

@@ -17,7 +17,7 @@ module usb_desc_rom (
 	reg [7:0] rom [0:255];
 
 	initial begin
-		rom[8'h00] = 8'h1c;
+		rom[8'h00] = 8'h1a;
 		rom[8'h01] = 8'h03;
 		rom[8'h02] = 8'h5f;
 		rom[8'h03] = 8'h00;
@@ -35,194 +35,192 @@ module usb_desc_rom (
 		rom[8'h0f] = 8'h00;
 		rom[8'h10] = 8'h5f;
 		rom[8'h11] = 8'h00;
-		rom[8'h12] = 8'h68;
+		rom[8'h12] = 8'h73;
 		rom[8'h13] = 8'h00;
-		rom[8'h14] = 8'h65;
+		rom[8'h14] = 8'h74;
 		rom[8'h15] = 8'h00;
 		rom[8'h16] = 8'h72;
 		rom[8'h17] = 8'h00;
-		rom[8'h18] = 8'h65;
+		rom[8'h18] = 8'h5f;
 		rom[8'h19] = 8'h00;
-		rom[8'h1a] = 8'h5f;
-		rom[8'h1b] = 8'h00;
-		rom[8'h1c] = 8'h12;
+		rom[8'h1a] = 8'h12;
+		rom[8'h1b] = 8'h01;
+		rom[8'h1c] = 8'h01;
 		rom[8'h1d] = 8'h01;
-		rom[8'h1e] = 8'h01;
-		rom[8'h1f] = 8'h01;
+		rom[8'h1e] = 8'h00;
+		rom[8'h1f] = 8'h00;
 		rom[8'h20] = 8'h00;
-		rom[8'h21] = 8'h00;
-		rom[8'h22] = 8'h00;
-		rom[8'h23] = 8'h08;
-		rom[8'h24] = 8'h50;
-		rom[8'h25] = 8'h1d;
-		rom[8'h26] = 8'h49;
-		rom[8'h27] = 8'h61;
-		rom[8'h28] = 8'h00;
-		rom[8'h29] = 8'h01;
-		rom[8'h2a] = 8'h02;
-		rom[8'h2b] = 8'h03;
-		rom[8'h2c] = 8'h01;
-		rom[8'h2d] = 8'h01;
-		rom[8'h2e] = 8'h09;
-		rom[8'h2f] = 8'h02;
-		rom[8'h30] = 8'h4b;
-		rom[8'h31] = 8'h00;
-		rom[8'h32] = 8'h02;
-		rom[8'h33] = 8'h01;
-		rom[8'h34] = 8'h04;
-		rom[8'h35] = 8'hc0;
-		rom[8'h36] = 8'hfa;
-		rom[8'h37] = 8'h08;
-		rom[8'h38] = 8'h0b;
-		rom[8'h39] = 8'h00;
+		rom[8'h21] = 8'h08;
+		rom[8'h22] = 8'h50;
+		rom[8'h23] = 8'h1d;
+		rom[8'h24] = 8'h49;
+		rom[8'h25] = 8'h61;
+		rom[8'h26] = 8'h00;
+		rom[8'h27] = 8'h01;
+		rom[8'h28] = 8'h02;
+		rom[8'h29] = 8'h03;
+		rom[8'h2a] = 8'h01;
+		rom[8'h2b] = 8'h01;
+		rom[8'h2c] = 8'h09;
+		rom[8'h2d] = 8'h02;
+		rom[8'h2e] = 8'h4b;
+		rom[8'h2f] = 8'h00;
+		rom[8'h30] = 8'h02;
+		rom[8'h31] = 8'h01;
+		rom[8'h32] = 8'h04;
+		rom[8'h33] = 8'hc0;
+		rom[8'h34] = 8'hfa;
+		rom[8'h35] = 8'h08;
+		rom[8'h36] = 8'h0b;
+		rom[8'h37] = 8'h00;
+		rom[8'h38] = 8'h02;
+		rom[8'h39] = 8'h02;
 		rom[8'h3a] = 8'h02;
-		rom[8'h3b] = 8'h02;
-		rom[8'h3c] = 8'h02;
-		rom[8'h3d] = 8'h01;
+		rom[8'h3b] = 8'h01;
+		rom[8'h3c] = 8'h04;
+		rom[8'h3d] = 8'h09;
 		rom[8'h3e] = 8'h04;
-		rom[8'h3f] = 8'h09;
-		rom[8'h40] = 8'h04;
-		rom[8'h41] = 8'h00;
-		rom[8'h42] = 8'h00;
-		rom[8'h43] = 8'h01;
-		rom[8'h44] = 8'h02;
-		rom[8'h45] = 8'h02;
-		rom[8'h46] = 8'h01;
-		rom[8'h47] = 8'h04;
-		rom[8'h48] = 8'h05;
-		rom[8'h49] = 8'h24;
-		rom[8'h4a] = 8'h00;
-		rom[8'h4b] = 8'h20;
-		rom[8'h4c] = 8'h01;
-		rom[8'h4d] = 8'h05;
-		rom[8'h4e] = 8'h24;
+		rom[8'h3f] = 8'h00;
+		rom[8'h40] = 8'h00;
+		rom[8'h41] = 8'h01;
+		rom[8'h42] = 8'h02;
+		rom[8'h43] = 8'h02;
+		rom[8'h44] = 8'h01;
+		rom[8'h45] = 8'h04;
+		rom[8'h46] = 8'h05;
+		rom[8'h47] = 8'h24;
+		rom[8'h48] = 8'h00;
+		rom[8'h49] = 8'h20;
+		rom[8'h4a] = 8'h01;
+		rom[8'h4b] = 8'h05;
+		rom[8'h4c] = 8'h24;
+		rom[8'h4d] = 8'h01;
+		rom[8'h4e] = 8'h03;
 		rom[8'h4f] = 8'h01;
-		rom[8'h50] = 8'h03;
-		rom[8'h51] = 8'h01;
-		rom[8'h52] = 8'h04;
-		rom[8'h53] = 8'h24;
-		rom[8'h54] = 8'h02;
-		rom[8'h55] = 8'h04;
-		rom[8'h56] = 8'h05;
-		rom[8'h57] = 8'h24;
-		rom[8'h58] = 8'h06;
-		rom[8'h59] = 8'h00;
-		rom[8'h5a] = 8'h01;
-		rom[8'h5b] = 8'h07;
-		rom[8'h5c] = 8'h05;
-		rom[8'h5d] = 8'h83;
-		rom[8'h5e] = 8'h03;
-		rom[8'h5f] = 8'h40;
-		rom[8'h60] = 8'h00;
-		rom[8'h61] = 8'h02;
-		rom[8'h62] = 8'h09;
-		rom[8'h63] = 8'h04;
-		rom[8'h64] = 8'h01;
-		rom[8'h65] = 8'h00;
-		rom[8'h66] = 8'h02;
-		rom[8'h67] = 8'h0a;
-		rom[8'h68] = 8'h00;
-		rom[8'h69] = 8'h00;
-		rom[8'h6a] = 8'h04;
-		rom[8'h6b] = 8'h07;
-		rom[8'h6c] = 8'h05;
-		rom[8'h6d] = 8'h82;
-		rom[8'h6e] = 8'h02;
-		rom[8'h6f] = 8'h40;
-		rom[8'h70] = 8'h00;
-		rom[8'h71] = 8'h00;
-		rom[8'h72] = 8'h07;
-		rom[8'h73] = 8'h05;
-		rom[8'h74] = 8'h02;
-		rom[8'h75] = 8'h02;
-		rom[8'h76] = 8'h40;
-		rom[8'h77] = 8'h00;
-		rom[8'h78] = 8'h00;
-		rom[8'h79] = 8'h06;
-		rom[8'h7a] = 8'h03;
-		rom[8'h7b] = 8'h09;
+		rom[8'h50] = 8'h04;
+		rom[8'h51] = 8'h24;
+		rom[8'h52] = 8'h02;
+		rom[8'h53] = 8'h04;
+		rom[8'h54] = 8'h05;
+		rom[8'h55] = 8'h24;
+		rom[8'h56] = 8'h06;
+		rom[8'h57] = 8'h00;
+		rom[8'h58] = 8'h01;
+		rom[8'h59] = 8'h07;
+		rom[8'h5a] = 8'h05;
+		rom[8'h5b] = 8'h83;
+		rom[8'h5c] = 8'h03;
+		rom[8'h5d] = 8'h40;
+		rom[8'h5e] = 8'h00;
+		rom[8'h5f] = 8'h02;
+		rom[8'h60] = 8'h09;
+		rom[8'h61] = 8'h04;
+		rom[8'h62] = 8'h01;
+		rom[8'h63] = 8'h00;
+		rom[8'h64] = 8'h02;
+		rom[8'h65] = 8'h0a;
+		rom[8'h66] = 8'h00;
+		rom[8'h67] = 8'h00;
+		rom[8'h68] = 8'h04;
+		rom[8'h69] = 8'h07;
+		rom[8'h6a] = 8'h05;
+		rom[8'h6b] = 8'h82;
+		rom[8'h6c] = 8'h02;
+		rom[8'h6d] = 8'h40;
+		rom[8'h6e] = 8'h00;
+		rom[8'h6f] = 8'h00;
+		rom[8'h70] = 8'h07;
+		rom[8'h71] = 8'h05;
+		rom[8'h72] = 8'h02;
+		rom[8'h73] = 8'h02;
+		rom[8'h74] = 8'h40;
+		rom[8'h75] = 8'h00;
+		rom[8'h76] = 8'h00;
+		rom[8'h77] = 8'h06;
+		rom[8'h78] = 8'h03;
+		rom[8'h79] = 8'h09;
+		rom[8'h7a] = 8'h04;
+		rom[8'h7b] = 8'h1d;
 		rom[8'h7c] = 8'h04;
-		rom[8'h7d] = 8'h1d;
-		rom[8'h7e] = 8'h04;
-		rom[8'h7f] = 8'h18;
-		rom[8'h80] = 8'h03;
-		rom[8'h81] = 8'h50;
+		rom[8'h7d] = 8'h18;
+		rom[8'h7e] = 8'h03;
+		rom[8'h7f] = 8'h50;
+		rom[8'h80] = 8'h00;
+		rom[8'h81] = 8'h65;
 		rom[8'h82] = 8'h00;
-		rom[8'h83] = 8'h65;
+		rom[8'h83] = 8'h74;
 		rom[8'h84] = 8'h00;
-		rom[8'h85] = 8'h74;
+		rom[8'h85] = 8'h65;
 		rom[8'h86] = 8'h00;
-		rom[8'h87] = 8'h65;
+		rom[8'h87] = 8'h72;
 		rom[8'h88] = 8'h00;
-		rom[8'h89] = 8'h72;
+		rom[8'h89] = 8'h20;
 		rom[8'h8a] = 8'h00;
-		rom[8'h8b] = 8'h20;
+		rom[8'h8b] = 8'h26;
 		rom[8'h8c] = 8'h00;
-		rom[8'h8d] = 8'h26;
+		rom[8'h8d] = 8'h20;
 		rom[8'h8e] = 8'h00;
-		rom[8'h8f] = 8'h20;
+		rom[8'h8f] = 8'h50;
 		rom[8'h90] = 8'h00;
-		rom[8'h91] = 8'h50;
+		rom[8'h91] = 8'h65;
 		rom[8'h92] = 8'h00;
-		rom[8'h93] = 8'h65;
+		rom[8'h93] = 8'h72;
 		rom[8'h94] = 8'h00;
-		rom[8'h95] = 8'h72;
-		rom[8'h96] = 8'h00;
-		rom[8'h97] = 8'h2e;
-		rom[8'h98] = 8'h03;
-		rom[8'h99] = 8'h4d;
+		rom[8'h95] = 8'h2e;
+		rom[8'h96] = 8'h03;
+		rom[8'h97] = 8'h4d;
+		rom[8'h98] = 8'h00;
+		rom[8'h99] = 8'h41;
 		rom[8'h9a] = 8'h00;
-		rom[8'h9b] = 8'h41;
+		rom[8'h9b] = 8'h58;
 		rom[8'h9c] = 8'h00;
-		rom[8'h9d] = 8'h58;
+		rom[8'h9d] = 8'h38;
 		rom[8'h9e] = 8'h00;
-		rom[8'h9f] = 8'h38;
+		rom[8'h9f] = 8'h30;
 		rom[8'ha0] = 8'h00;
-		rom[8'ha1] = 8'h30;
+		rom[8'ha1] = 8'h20;
 		rom[8'ha2] = 8'h00;
-		rom[8'ha3] = 8'h20;
+		rom[8'ha3] = 8'h49;
 		rom[8'ha4] = 8'h00;
-		rom[8'ha5] = 8'h49;
+		rom[8'ha5] = 8'h2f;
 		rom[8'ha6] = 8'h00;
-		rom[8'ha7] = 8'h2f;
+		rom[8'ha7] = 8'h4f;
 		rom[8'ha8] = 8'h00;
-		rom[8'ha9] = 8'h4f;
+		rom[8'ha9] = 8'h20;
 		rom[8'haa] = 8'h00;
-		rom[8'hab] = 8'h20;
+		rom[8'hab] = 8'h63;
 		rom[8'hac] = 8'h00;
-		rom[8'had] = 8'h63;
+		rom[8'had] = 8'h61;
 		rom[8'hae] = 8'h00;
-		rom[8'haf] = 8'h61;
+		rom[8'haf] = 8'h72;
 		rom[8'hb0] = 8'h00;
-		rom[8'hb1] = 8'h72;
+		rom[8'hb1] = 8'h64;
 		rom[8'hb2] = 8'h00;
-		rom[8'hb3] = 8'h64;
+		rom[8'hb3] = 8'h20;
 		rom[8'hb4] = 8'h00;
-		rom[8'hb5] = 8'h20;
+		rom[8'hb5] = 8'h66;
 		rom[8'hb6] = 8'h00;
-		rom[8'hb7] = 8'h66;
+		rom[8'hb7] = 8'h6f;
 		rom[8'hb8] = 8'h00;
-		rom[8'hb9] = 8'h6f;
+		rom[8'hb9] = 8'h72;
 		rom[8'hba] = 8'h00;
-		rom[8'hbb] = 8'h72;
+		rom[8'hbb] = 8'h20;
 		rom[8'hbc] = 8'h00;
-		rom[8'hbd] = 8'h20;
+		rom[8'hbd] = 8'h41;
 		rom[8'hbe] = 8'h00;
-		rom[8'hbf] = 8'h41;
+		rom[8'hbf] = 8'h42;
 		rom[8'hc0] = 8'h00;
-		rom[8'hc1] = 8'h42;
+		rom[8'hc1] = 8'h43;
 		rom[8'hc2] = 8'h00;
-		rom[8'hc3] = 8'h43;
-		rom[8'hc4] = 8'h00;
-		rom[8'hc5] = 8'h02;
-		rom[8'hc6] = 8'h03;
-		rom[8'hc7] = 8'h00;
-		rom[8'hc8] = 8'hc2;
-		rom[8'hc9] = 8'h01;
+		rom[8'hc3] = 8'h02;
+		rom[8'hc4] = 8'h03;
+		rom[8'hc5] = 8'h00;
+		rom[8'hc6] = 8'hc2;
+		rom[8'hc7] = 8'h01;
+		rom[8'hc8] = 8'h00;
+		rom[8'hc9] = 8'h00;
 		rom[8'hca] = 8'h00;
-		rom[8'hcb] = 8'h00;
-		rom[8'hcc] = 8'h00;
-		rom[8'hcd] = 8'h08;
+		rom[8'hcb] = 8'h08;
 	end
 
 	always @(posedge clk) begin
@@ -245,18 +243,18 @@ module usb_desc_index (
 
 	always @(*)
        	if (additional)
-		{addr,len} = {8'hc7,8'h07};
+		{addr,len} = {8'hc5,8'h07};
 	else priority casez ({windex,dindex,dtype})
-		32'b??????00_00011101_00000010_00000011: {addr,len} = {8'h7f,8'h18};
-		32'b??????00_00011101_00000011_00000011: {addr,len} = {8'h97,8'h2e};
-		32'b??????00_00011101_00000100_00000011: {addr,len} = {8'hc5,8'h02};
-		32'b????????_????????_00000000_00000010: {addr,len} = {8'h2e,8'h4b};
-		32'b????????_????????_00000000_00000011: {addr,len} = {8'h79,8'h06};
-		32'b????????_????????_00000001_00000011: {addr,len} = {8'h00,8'h1c};
-		32'b????????_????????_00000010_00000011: {addr,len} = {8'h7f,8'h18};
-		32'b????????_????????_00000011_00000011: {addr,len} = {8'h97,8'h2e};
-		32'b????????_????????_00000100_00000011: {addr,len} = {8'hc5,8'h02};
-		32'b????????_????????_????????_00000001: {addr,len} = {8'h1c,8'h12};
+		32'b??????00_00011101_00000010_00000011: {addr,len} = {8'h7d,8'h18};
+		32'b??????00_00011101_00000011_00000011: {addr,len} = {8'h95,8'h2e};
+		32'b??????00_00011101_00000100_00000011: {addr,len} = {8'hc3,8'h02};
+		32'b????????_????????_00000000_00000010: {addr,len} = {8'h2c,8'h4b};
+		32'b????????_????????_00000000_00000011: {addr,len} = {8'h77,8'h06};
+		32'b????????_????????_00000001_00000011: {addr,len} = {8'h00,8'h1a};
+		32'b????????_????????_00000010_00000011: {addr,len} = {8'h7d,8'h18};
+		32'b????????_????????_00000011_00000011: {addr,len} = {8'h95,8'h2e};
+		32'b????????_????????_00000100_00000011: {addr,len} = {8'hc3,8'h02};
+		32'b????????_????????_????????_00000001: {addr,len} = {8'h1a,8'h12};
 		32'b????????_????????_????????_????????: {addr,len} = {8'hxx,8'h00};
 	endcase
 endmodule

+ 1 - 1
riscv-opts.mk

@@ -8,5 +8,5 @@ riscv_target_flags =	-fvisibility=hidden \
 
 # Additional flags during application build
 riscv_flags = $(riscv_target_flags) \
-			 -specs=nano.specs -Os -ggdb3 -fwrapv \
+			 -specs=nano.specs -Os -ggdb3 -gdwarf -fwrapv \
 			-fno-strict-aliasing

+ 1 - 1
rv32/.gitignore

@@ -9,4 +9,4 @@
 *.build/
 tools/gnu/
 iodevs.h
-irqtable.c
+irqtable.h

+ 7 - 6
rv32/Makefile

@@ -16,6 +16,7 @@ SFLAGS    = $(CPPFLAGS) -D__ASSEMBLY__
 LDSCRIPT  = max80.ild
 LDFLAGS   = $(CFLAGS) \
 	    -Wl,--gc-sections \
+	    -Wl,--sort-section=alignment \
 	    -Wl,-T,$(LDSCRIPT) \
 	    -Wl,-z,common-page-size=16 \
 	    -Wl,-z,max-page-size=16
@@ -28,8 +29,8 @@ gendeps   = -MD -MF $(@D)/.$(@F).d -MT $@
 # Don't delete intermediate files
 .SECONDARY:
 
-genhdrs = iodevs.h
-gensrcs = irqtable.c
+genhdrs = iodevs.h irqtable.h
+gensrcs =
 
 all: boot.mif dram.hex
 
@@ -42,7 +43,7 @@ ROMS    := $(wildcard roms/*.rom)
 ROMOBJS  = $(ROMS:.rom=.o)
 
 max80.elf: head.o dummy.o die.o main.o system.o \
-	  irqtable.o irqasm.o sbrk.o \
+	  irqasm.o irqtable.o spurious_irq.o sbrk.o \
 	  console.o rtc.o romcopy.o \
 	  sdcard.o diskcache.o \
 	  abcmem.o abcio.o abcdisk.o abcrtc.o \
@@ -53,7 +54,7 @@ max80.elf: head.o dummy.o die.o main.o system.o \
 	  fatfs.a
 
 testimg.elf: head.o dummy.o die.o test/main.o test/system.o \
-	  irqtable.o irqasm.o sbrk.o \
+	  irqasm.o irqtable.o spurious_irq.o sbrk.o \
 	  console.o rtc.o romcopy.o \
 	  sdcard.o diskcache.o \
 	  abcmem.o abcio.o abcdisk.o abcrtc.o \
@@ -123,8 +124,8 @@ roms/%.o: roms/%.rom rom.S
 iodevs.h: ../iodevs.conf ../tools/iodevs.pl
 	$(PERL) ../tools/iodevs.pl h $< $@
 
-irqtable.c: ../iodevs.conf ../tools/iodevs.pl
-	$(PERL) ../tools/iodevs.pl c $< $@
+irqtable.h: ../iodevs.conf ../tools/iodevs.pl
+	$(PERL) ../tools/iodevs.pl irqh $< $@
 
 clean:
 	for d in . $(SUBDIRS); do \

+ 7 - 2
rv32/abcmem.c

@@ -2,6 +2,7 @@
 #include "io.h"
 #include "abcio.h"
 #include "sys.h"
+#include "console.h"
 
 /* Configure ABC memory map */
 struct abc_mem_init {
@@ -25,12 +26,14 @@ static const struct abc_mem_init mem_init[] = {
     { -1U, 0, 0, NULL }
 };
 
-void abc_init_memmap(void)
+void __cold abc_init_memmap(void)
 {
-
     volatile uint32_t *pg = &ABCMEMMAP_PAGE(0);
     const struct abc_mem_init *next = &mem_init[0];
 
+    con_puts("abc_init_memmap\n");
+    con_flush();
+
     for (unsigned int addr = 0; addr < 0x10000; addr += 512) {
 	if (addr >= next->addr + next->len)
 	    next++;
@@ -38,6 +41,8 @@ void abc_init_memmap(void)
 	if (addr < next->addr) {
 	    *pg++ = 0;
 	} else {
+	    con_printf("abc_memmap: 0x%04x -> %p (len 0x%04x, attr %d)\n",
+		       next->addr, next->data, next->len, next->flags);
 	    *pg++ = ((size_t)(next->data + (addr - next->addr))
 		     & SDRAM_MASK) | (next->flags << 24);
 	}

+ 572 - 7508
rv32/boot.mif

@@ -10,14 +10,14 @@ CONTENT BEGIN
 0003 : 00100000;
 0004 : C0102473;
 0005 : 00008137;
-0006 : 4880006F;
+0006 : 1480006F;
 0007 : 00000000;
 0008 : 0001210B;
 0009 : 200DF413;
 000A : 00040863;
-000B : 317010EF;
+000B : 4E2000EF;
 000C : 408D8DB3;
-000D : 060D8263;
+000D : 060D8063;
 000E : 00000493;
 000F : 0FFDF293;
 0010 : 00029663;
@@ -35,36 +35,36 @@ CONTENT BEGIN
 001C : 00029663;
 001D : 001DDD93;
 001E : 00448493;
-001F : 0A04A283;
-0020 : 0024D513;
-0021 : 000D0593;
-0022 : 000280E7;
-0023 : 001DDD93;
-0024 : 00448493;
-0025 : FA0D94E3;
-0026 : 30200073;
-0027 : 00000000;
-0028 : 0000072C;
-0029 : 0000057C;
-002A : 00000570;
-002B : 0000055E;
-002C : 0000072C;
-002D : 00000BB6;
-002E : 0000072C;
-002F : 0000072C;
-0030 : 0000072C;
-0031 : 00001B42;
-0032 : 0000072C;
-0033 : 41016710;
-0034 : 00000040;
-0035 : FFFFFFFF;
-0036 : FFFFFFFF;
-0037 : 000075C8;
-0038 : 00000000;
-0039 : 00000000;
-003A : 00000000;
-003B : 00000000;
-003C : 00000000;
+001F : 0024D513;
+0020 : 000D0593;
+0021 : 0B0480E7;
+0022 : 001DDD93;
+0023 : 00448493;
+0024 : FA0D96E3;
+0025 : 30200073;
+0026 : 00000000;
+0027 : 00000013;
+0028 : 00008067;
+0029 : 0000250B;
+002A : 0005B00B;
+002B : 00000000;
+002C : 3740006F;
+002D : 2D40006F;
+002E : 2C40006F;
+002F : 2AE0006F;
+0030 : 3640006F;
+0031 : 3DA0006F;
+0032 : 35C0006F;
+0033 : 3580006F;
+0034 : 3540006F;
+0035 : 43A0006F;
+0036 : 34C0006F;
+0037 : 00000000;
+0038 : 4101D970;
+0039 : FFFFFFFF;
+003A : FFFFFFFF;
+003B : 00000958;
+003C : 00000040;
 003D : 00000000;
 003E : 00000000;
 003F : 00000000;
@@ -92,7479 +92,543 @@ CONTENT BEGIN
 0055 : 00000000;
 0056 : 00000000;
 0057 : 00000000;
-0058 : 00000000;
-0059 : 00000000;
-005A : 00000000;
-005B : 00000000;
-005C : 00000000;
-005D : 00000000;
-005E : 00000000;
-005F : 00000000;
-0060 : 00000000;
-0061 : 00000000;
-0062 : 00000000;
-0063 : 00000000;
-0064 : 00000000;
-0065 : 00000000;
-0066 : 00000000;
-0067 : 00000000;
-0068 : 00000000;
-0069 : 00000000;
-006A : 00000000;
-006B : 00000000;
-006C : 00000000;
-006D : 00000000;
-006E : 00000000;
-006F : 00000000;
-0070 : 00000000;
-0071 : 00000000;
-0072 : 00000000;
-0073 : 00000000;
-0074 : 00000000;
-0075 : 00000000;
-0076 : 00000000;
-0077 : 00000000;
-0078 : 00000000;
-0079 : 00000000;
-007A : 00000000;
-007B : 00000000;
-007C : 00000000;
-007D : 00000000;
-007E : 00000000;
-007F : 00000000;
-0080 : 00000000;
-0081 : 00000000;
-0082 : 00000000;
-0083 : 00000000;
-0084 : 00000000;
-0085 : 00000000;
-0086 : 00000000;
-0087 : 00000000;
-0088 : 00000000;
-0089 : 00000000;
-008A : 00000000;
-008B : 00000000;
-008C : 00000000;
-008D : 00000000;
-008E : 00000000;
-008F : 00000000;
-0090 : 00000000;
-0091 : 00000000;
-0092 : 00000000;
-0093 : 00000000;
-0094 : 00000000;
-0095 : 00000000;
-0096 : 00000000;
-0097 : 00000000;
-0098 : 00000000;
-0099 : 00000000;
-009A : 00000000;
-009B : 00000000;
-009C : 00000000;
-009D : 00000000;
-009E : 00000000;
-009F : 00000000;
-00A0 : 00000000;
-00A1 : 00000000;
-00A2 : 00000000;
-00A3 : 00000000;
-00A4 : 00000000;
-00A5 : 00000000;
-00A6 : 00000000;
-00A7 : 00000000;
-00A8 : 00000000;
-00A9 : 00000000;
-00AA : 00000000;
-00AB : 00000000;
-00AC : 00000000;
-00AD : 00000000;
-00AE : 00000000;
-00AF : 00000000;
-00B0 : 00000000;
-00B1 : 00000000;
-00B2 : 00000000;
-00B3 : 00000000;
-00B4 : 00000000;
-00B5 : 00000000;
-00B6 : 00000000;
-00B7 : 00000000;
-00B8 : 00000000;
-00B9 : 00000000;
-00BA : 00000000;
-00BB : 00000000;
-00BC : 00000000;
-00BD : 00000000;
-00BE : 00000000;
-00BF : 00000000;
-00C0 : 00000000;
-00C1 : 00000000;
-00C2 : 00000000;
-00C3 : 00000000;
-00C4 : 00000000;
-00C5 : 00000000;
-00C6 : 00000000;
-00C7 : 00000000;
-00C8 : 00000000;
-00C9 : 00000000;
-00CA : 00000000;
-00CB : 00000000;
-00CC : 00000000;
-00CD : 00000000;
-00CE : 00000000;
-00CF : 00000000;
-00D0 : 00000000;
-00D1 : 00000000;
-00D2 : 00000000;
-00D3 : 00000000;
-00D4 : 00000000;
-00D5 : 00000000;
-00D6 : 00000000;
-00D7 : 00000000;
-00D8 : 00000000;
-00D9 : 00000000;
-00DA : 00000000;
-00DB : 00000000;
-00DC : 00000000;
-00DD : 00000000;
-00DE : 00000000;
-00DF : 00000000;
-00E0 : 00000000;
-00E1 : 00000000;
-00E2 : 00000000;
-00E3 : 00000000;
-00E4 : 00000000;
-00E5 : 00000000;
-00E6 : 00000000;
-00E7 : 00000000;
-00E8 : 00000000;
-00E9 : 00000000;
-00EA : 00000000;
-00EB : 00000000;
-00EC : 00000000;
-00ED : 00000000;
-00EE : 00000000;
-00EF : 00000000;
-00F0 : 00000000;
-00F1 : 00000000;
-00F2 : 00000000;
-00F3 : 00000000;
-00F4 : 00000000;
-00F5 : 00000000;
-00F6 : 00000000;
-00F7 : 00000000;
-00F8 : 00000000;
-00F9 : 00000000;
-00FA : 00000000;
-00FB : 00000000;
-00FC : 00000000;
-00FD : 00000000;
-00FE : 00000000;
-00FF : 00000000;
-0100 : 00000000;
-0101 : 00000000;
-0102 : 00000000;
-0103 : 00000000;
-0104 : 00000000;
-0105 : 00000000;
-0106 : 00000000;
-0107 : 00000000;
-0108 : 00000000;
-0109 : 00000000;
-010A : 00000000;
-010B : 00000000;
-010C : 00000000;
-010D : 00000000;
-010E : 00000000;
-010F : 00000000;
-0110 : 00000000;
-0111 : 00000000;
-0112 : 00000000;
-0113 : 00000000;
-0114 : 00000000;
-0115 : 00000000;
-0116 : 00000000;
-0117 : 00000000;
-0118 : 00000000;
-0119 : 00000000;
-011A : 00000000;
-011B : 00000000;
-011C : 00000000;
-011D : 00000000;
-011E : 00000000;
-011F : 00000000;
-0120 : 00000000;
-0121 : 00000000;
-0122 : 00000000;
-0123 : 00000000;
-0124 : 00000000;
-0125 : 00000000;
-0126 : 00000000;
-0127 : 00000000;
-0128 : FFF04293;
-0129 : 0602800B;
-012A : 00000193;
-012B : 0001A18B;
-012C : 0E000513;
-012D : 4A000593;
-012E : 00052023;
-012F : 00052223;
-0130 : 00052423;
-0131 : 00052623;
-0132 : 00052823;
-0133 : 00052A23;
-0134 : 00052C23;
-0135 : 00052E23;
-0136 : 02050513;
-0137 : FCB56EE3;
-0138 : 0E802023;
-0139 : 0040006F;
-013A : C6061141;
-013B : C226C422;
-013C : 42832861;
-013D : 86630E40;
-013E : 10970002;
-013F : 80E74000;
-0140 : 547DB160;
-0141 : 0680008B;
-0142 : 0810878B;
-0143 : 0F004303;
-0144 : 00030363;
-0145 : 10EF2375;
-0146 : B7ED3A30;
-0147 : 85AA862E;
-0148 : 1141651D;
-0149 : DAC50513;
-014A : 2C75C606;
-014B : 90802783;
-014C : 0017F093;
-014D : FE008CE3;
-014E : C01026F3;
-014F : 1908B2B7;
-0150 : 0FF28313;
-0151 : C0102773;
-0152 : 40D703B3;
-0153 : FE737CE3;
-0154 : 878B55FD;
-0155 : 46050605;
-0156 : 80C02623;
-0157 : 0293BFF5;
-0158 : A7030E80;
-0159 : 03130002;
-015A : A0230017;
-015B : 80820062;
-015C : 1141651D;
-015D : DC150513;
-015E : 374DC606;
-015F : 1141651D;
-0160 : DCC50513;
-0161 : 3F59C606;
-0162 : CE061101;
-0163 : CA26CC22;
-0164 : C64EC84A;
-0165 : C256C452;
-0166 : C0102773;
-0167 : 0EE02623;
-0168 : FD100093;
-0169 : 0610078B;
-016A : 6571429D;
-016B : 20050513;
-016C : 80502423;
-016D : 631D2A75;
-016E : E7030513;
-016F : 23832ACD;
-0170 : F4139080;
-0171 : DC650013;
-0172 : 078B54DD;
-0173 : 45990690;
-0174 : 80B02423;
-0175 : 068B567D;
-0176 : F81306C0;
-0177 : 0CE30206;
-0178 : 4895FE08;
-0179 : 2423691D;
-017A : 05138110;
-017B : 22C1DE09;
-017C : C01029F3;
-017D : 1908BA37;
-017E : 0FFA0A93;
-017F : C0102E73;
-0180 : 413E0EB3;
-0181 : FFDAFCE3;
-0182 : 22594529;
-0183 : 4D2010EF;
-0184 : 80002F03;
-0185 : 38584FB7;
-0186 : 14DF8713;
-0187 : 0EEF0763;
-0188 : 0513631D;
-0189 : 2261DFB3;
-018A : 8513639D;
-018B : 2241E373;
-018C : 111114B7;
-018D : 443325B7;
-018E : 40001637;
-018F : 11148A13;
-0190 : 89934401;
-0191 : 04932115;
-0192 : 691D0B06;
-0193 : 06B34AA1;
-0194 : 18130344;
-0195 : 88B30024;
-0196 : 05130104;
-0197 : 0405E499;
-0198 : 013685B3;
-0199 : 00B8A023;
-019A : 0008A783;
-019B : 10E32AAD;
-019C : 4529FF54;
-019D : 49812A31;
-019E : 9E134A21;
-019F : 8EB30029;
-01A0 : A58301C4;
-01A1 : 0513000E;
-01A2 : 0985E499;
-01A3 : 96E32AA9;
-01A4 : 6F1DFF49;
-01A5 : E4FF0513;
-01A6 : 4AA12A19;
-01A7 : 40000493;
-01A8 : 0804878B;
-01A9 : C0002583;
-01AA : E4990513;
-01AB : 2A251AFD;
-01AC : FE0A98E3;
-01AD : 85136F9D;
-01AE : 28D5E10F;
-01AF : 471123AD;
-01B0 : 80800913;
-01B1 : 00E92023;
-01B2 : 20EF22ED;
-01B3 : 478D32A0;
-01B4 : 00F92023;
-01B5 : 3B5000EF;
-01B6 : 14E010EF;
-01B7 : 094020EF;
-01B8 : 20234289;
-01B9 : 10EF0059;
-01BA : 45050590;
-01BB : 00A92023;
-01BC : B8002623;
-01BD : 80002423;
-01BE : 446240F2;
-01BF : 494244D2;
-01C0 : 4A2249B2;
-01C1 : 61054A92;
-01C2 : 27838082;
-01C3 : 651D8040;
-01C4 : E1350513;
-01C5 : 0107D093;
-01C6 : 01079293;
-01C7 : 0102D693;
-01C8 : 0FF0F613;
-01C9 : 0187D593;
-01CA : BDFD287D;
-01CB : 95334785;
-01CC : 429300A7;
-01CD : 050BFFF5;
-01CE : 80820655;
-01CF : 0CC00293;
-01D0 : A503872A;
-01D1 : 66B70002;
-01D2 : 83934141;
-01D3 : 03337106;
-01D4 : FC6300E5;
-01D5 : 11410063;
-01D6 : 50EFC606;
-01D7 : 45B12D90;
-01D8 : 40B2C10C;
-01D9 : 0141557D;
-01DA : A0238082;
-01DB : 80820062;
-01DC : 3216D737;
-01DD : 0313478D;
-01DE : 82B39487;
-01DF : 353302A7;
-01E0 : 83B30265;
-01E1 : 859300A2;
-01E2 : 2223FFF3;
-01E3 : 808290B0;
-01E4 : 90802783;
-01E5 : 0107F293;
-01E6 : FE029CE3;
-01E7 : 15634329;
-01E8 : 43B50065;
-01E9 : 90700023;
-01EA : 90A00023;
-01EB : 11418082;
-01EC : C606C422;
-01ED : 4503842A;
-01EE : E5090004;
-01EF : 442240B2;
-01F0 : 80820141;
-01F1 : 37E90405;
-01F2 : 7175B7FD;
-01F3 : 86AE862A;
-01F4 : 0593850A;
-01F5 : C7060800;
-01F6 : 377050EF;
-01F7 : 3FC1850A;
-01F8 : 614940BA;
-01F9 : 71398082;
-01FA : 104CD22E;
-01FB : D432CE06;
-01FC : D83AD636;
-01FD : DC42DA3E;
-01FE : C62EDE46;
-01FF : 40F237F9;
-0200 : 80826121;
-0201 : 06400793;
-0202 : 02F57533;
-0203 : 52B34729;
-0204 : 73B302E5;
-0205 : 931302E5;
-0206 : 05B30042;
-0207 : F5130073;
-0208 : 80820FF5;
-0209 : C6061141;
-020A : C226C422;
-020B : 03400793;
-020C : 242366C1;
-020D : 4F45A8F0;
-020E : 08000E13;
-020F : F8668E93;
-0210 : A8400613;
-0211 : 00062083;
-0212 : 0400F293;
-0213 : 04028263;
-0214 : 08000413;
-0215 : 0804078B;
-0216 : 04936335;
-0217 : 0393A800;
-0218 : A0230803;
-0219 : 078B0074;
-021A : 42080804;
-021B : 08057593;
-021C : C99D4501;
-021D : 0593671D;
-021E : 05130680;
-021F : 37A5ED27;
-0220 : 0804040B;
-0221 : 08936841;
-0222 : A023F848;
-0223 : A8210114;
-0224 : 080E078B;
-0225 : A9D02023;
-0226 : 13E31F7D;
-0227 : 6F9DFA0F;
-0228 : EBAF8513;
-0229 : 557D3789;
-022A : 442240B2;
-022B : 01414492;
-022C : 71798082;
-022D : 37BDD606;
-022E : 20051163;
-022F : 08000793;
-0230 : 0807870B;
-0231 : A8000713;
-0232 : 08200693;
-0233 : 878BC314;
-0234 : 60B50807;
-0235 : 18008293;
-0236 : 00572023;
-0237 : 08000313;
-0238 : F0000813;
-0239 : 070B4399;
-023A : 08930803;
-023B : A023A800;
-023C : 060B0108;
-023D : 45830803;
-023E : 0070A850;
-023F : 00A60E33;
-0240 : 00BE0023;
-0241 : 10E30505;
-0242 : 068BFE75;
-0243 : 05130803;
-0244 : A023F840;
-0245 : 078B00A8;
-0246 : 4F830803;
-0247 : 430300C1;
-0248 : 40A900D1;
-0249 : 004FD793;
-024A : 00435813;
-024B : 02178733;
-024C : A8504E83;
-024D : 00FFF693;
-024E : 00F37893;
-024F : 0FFEFF13;
-0250 : CA026791;
-0251 : CE02CC02;
-0252 : 021803B3;
-0253 : 00D702B3;
-0254 : 0012D513;
-0255 : 00F29713;
-0256 : 00E14283;
-0257 : 01F57E93;
-0258 : 00F766B3;
-0259 : 00D11C23;
-025A : 0402F313;
-025B : 0042D813;
-025C : 011385B3;
-025D : 03F5F613;
-025E : 00561E13;
-025F : 01DE6FB3;
-0260 : 01F11A23;
-0261 : 00F2F393;
-0262 : 12030463;
-0263 : 00187593;
-0264 : 02158633;
-0265 : 08B34E2D;
-0266 : 53630076;
-0267 : 18D1011E;
-0268 : 0202F513;
-0269 : 08B1C111;
-026A : 01014E83;
-026B : 4F8346A9;
-026C : D7130111;
-026D : 07B3004E;
-026E : F29302D7;
-026F : DE9300FE;
-0270 : F713004F;
-0271 : 03B7001E;
-0272 : 65C1001F;
-0273 : FFF58613;
-0274 : FE3308AE;
-0275 : 05B700C8;
-0276 : 83330200;
-0277 : 07B30057;
-0278 : F29302D7;
-0279 : 181300FF;
-027A : 70B30103;
-027B : 03B70078;
-027C : E53301E0;
-027D : 0EB701C0;
-027E : 0FE2FE00;
-027F : 80058613;
-0280 : 7FFE8713;
-0281 : 00578333;
-0282 : 01531813;
-0283 : 004F5313;
-0284 : 02D306B3;
-0285 : 007870B3;
-0286 : 001568B3;
-0287 : 7F134552;
-0288 : D09300FF;
-0289 : FE33418F;
-028A : 77B300C8;
-028B : D89300E5;
-028C : 62B341F0;
-028D : 883300FE;
-028E : 739301E6;
-028F : F6130FF8;
-0290 : 8E130648;
-0291 : 05330143;
-0292 : 15FD01C6;
-0293 : 00B2F733;
-0294 : 01951E93;
-0295 : 01815283;
-0296 : 01D767B3;
-0297 : 1223CA3E;
-0298 : 20238850;
-0299 : 435288F0;
-029A : 6E9D4862;
-029B : 00535093;
-029C : 01F37693;
-029D : 00F85393;
-029E : 03F0F793;
-029F : 9F1350B2;
-02A0 : 55130016;
-02A1 : FF930193;
-02A2 : 58930013;
-02A3 : 5E1300B3;
-02A4 : 56130103;
-02A5 : 05930153;
-02A6 : 68337BC5;
-02A7 : F71301FF;
-02A8 : 769301F8;
-02A9 : 8A3D01FE;
-02AA : EF9E8513;
-02AB : BB256145;
-02AC : 021800B3;
-02AD : 007088B3;
-02AE : 50B2BDC5;
-02AF : 80826145;
-02B0 : 08237179;
-02B1 : D6060E00;
-02B2 : 2403D422;
-02B3 : 20838800;
-02B4 : 75138840;
-02B5 : D31301F4;
-02B6 : 129300F0;
-02B7 : 73930015;
-02B8 : E5330013;
-02B9 : CC060072;
-02BA : 3B29CA22;
-02BB : 00545593;
-02BC : 00A10623;
-02BD : 03F5F513;
-02BE : 56133331;
-02BF : 06A300B4;
-02C0 : 751300A1;
-02C1 : 39FD01F6;
-02C2 : 01045693;
-02C3 : 00A10723;
-02C4 : 01F6F513;
-02C5 : 000107A3;
-02C6 : 571331F5;
-02C7 : 08230154;
-02C8 : 751300A1;
-02C9 : 39F900F7;
-02CA : 01945813;
-02CB : 00A108A3;
-02CC : FEC80513;
-02CD : 092339C1;
-02CE : 31ED00A1;
-02CF : 0893E539;
-02D0 : 870B0800;
-02D1 : 0E130808;
-02D2 : 20230800;
-02D3 : 4E99A910;
-02D4 : 080E078B;
-02D5 : 00C10F13;
-02D6 : 00AF0FB3;
-02D7 : 000FC783;
-02D8 : A8000293;
-02D9 : 94130505;
-02DA : 60930087;
-02DB : A0230804;
-02DC : 1FE30012;
-02DD : 070BFDD5;
-02DE : 4503080E;
-02DF : 13130121;
-02E0 : 63930085;
-02E1 : A0230843;
-02E2 : 50B20072;
-02E3 : 61455422;
-02E4 : 27038082;
-02E5 : 02B700C0;
-02E6 : 20233B00;
-02E7 : 833398A0;
-02E8 : 03B300E2;
-02E9 : 053700B3;
-02EA : 22230D00;
-02EB : 8E499870;
-02EC : 98C02423;
-02ED : 02938082;
-02EE : A7030F40;
-02EF : 06930002;
-02F0 : A0230017;
-02F1 : C31D00D2;
-02F2 : 05934305;
-02F3 : 08630F80;
-02F4 : 27730267;
-02F5 : 4194C010;
-02F6 : 02000513;
-02F7 : FDF00313;
-02F8 : 40D70633;
-02F9 : 078BC1D0;
-02FA : 80820665;
-02FB : 05376605;
-02FC : 06134000;
-02FD : 45810B06;
-02FE : 00050513;
-02FF : 2873BF59;
-0300 : 2E03C010;
-0301 : 1F370E00;
-0302 : 0F934000;
-0303 : 0EB30B0F;
-0304 : A02341C8;
-0305 : 67AD01D5;
-0306 : 99F02023;
-0307 : 66078293;
-0308 : 98502423;
-0309 : 11018082;
-030A : CC22CE06;
-030B : 02000793;
-030C : 0807870B;
-030D : 4B000737;
-030E : 98E02223;
-030F : 98800093;
-0310 : 150006B7;
-0311 : 00D0A023;
-0312 : 0807868B;
-0313 : 140002B7;
-0314 : 0050A023;
-0315 : 0807868B;
-0316 : 99000313;
-0317 : 04000637;
-0318 : 00032583;
-0319 : 00C0A023;
-031A : 0807878B;
-031B : 00032403;
-031C : 82A2681D;
-031D : 4701832E;
-031E : 14800893;
-031F : F3E80E93;
-0320 : F5134F39;
-0321 : 8FB301F2;
-0322 : C08300AE;
-0323 : 8E33000F;
-0324 : 169300E8;
-0325 : D79301B3;
-0326 : 00230052;
-0327 : 0705001E;
-0328 : 00F6E2B3;
-0329 : 00535313;
-032A : FDE71DE3;
-032B : 14800693;
-032C : 8723639D;
-032D : 86220008;
-032E : F2238513;
-032F : 3625C62E;
-0330 : 852240F2;
-0331 : 45B24462;
-0332 : 80826105;
-0333 : 04000793;
-0334 : 0807870B;
-0335 : A6A00223;
-0336 : 0807870B;
-0337 : A2B02E23;
-0338 : 0807870B;
-0339 : A1404703;
-033A : 0FF77293;
-033B : 0807878B;
-033C : 042345C1;
-033D : 0313A250;
-033E : 068B0400;
-033F : 45030803;
-0340 : 1393A270;
-0341 : D5130185;
-0342 : 54634183;
-0343 : 15FD0005;
-0344 : 8082F5ED;
-0345 : 15E9C291;
-0346 : 07934721;
-0347 : 08930400;
-0348 : 03130FE0;
-0349 : 880B0FD0;
-034A : 48030807;
-034B : 7E13A670;
-034C : 0A630FF8;
-034D : 64630118;
-034E : 177D01C3;
-034F : 167DC319;
-0350 : 557DF27D;
-0351 : 72938082;
-0352 : 862A0015;
-0353 : 00028B63;
-0354 : 00150613;
-0355 : 0807878B;
-0356 : A2704383;
-0357 : 002315FD;
-0358 : 05130075;
-0359 : 078B0400;
-035A : 47830805;
-035B : 7E93A270;
-035C : 8A630026;
-035D : 070B000E;
-035E : 5F830805;
-035F : 0609A2A0;
-0360 : 1F2315F9;
-0361 : 0793FFF6;
-0362 : 878B0400;
-0363 : 57830807;
-0364 : 8E2EA2A0;
-0365 : 04000293;
-0366 : 00C58833;
-0367 : 888B4715;
-0368 : 23030802;
-0369 : 08B3A2C0;
-036A : 1E7141C8;
-036B : 0068A023;
-036C : FFC747E3;
-036D : FFA58393;
-036E : FFC3F513;
-036F : 00C50F33;
-0370 : 0023DE93;
-0371 : 006F0813;
-0372 : 0802850B;
-0373 : 8E3357F1;
-0374 : 5F8302FE;
-0375 : 8642A200;
-0376 : 04000293;
-0377 : 01FF1223;
-0378 : 05B39E2E;
-0379 : 156301CF;
-037A : 05930AB6;
-037B : 8633FFAE;
-037C : CAAD0105;
-037D : 04000293;
-037E : 0802870B;
-037F : A6D00023;
-0380 : 0802870B;
-0381 : A2604683;
-0382 : 00D60023;
-0383 : 0802870B;
-0384 : A2002823;
-0385 : 0802870B;
-0386 : A2604803;
-0387 : 010600A3;
-0388 : 0802870B;
-0389 : A2604703;
-038A : 00E60123;
-038B : 0802870B;
-038C : A2604303;
-038D : 006601A3;
-038E : 0802870B;
-038F : A2604883;
-0390 : 01160223;
-0391 : 0802870B;
-0392 : A1404383;
-0393 : 0FF3FE93;
-0394 : 0802868B;
-0395 : A3D00023;
-0396 : 0802878B;
-0397 : A2604503;
-0398 : 00A602A3;
-0399 : 04000F13;
-039A : 080F070B;
-039B : A1205F83;
-039C : 010F9793;
-039D : 0107D593;
-039E : 020F8463;
-039F : C6061141;
-03A0 : 8513609D;
-03A1 : 3285F5F0;
-03A2 : 557D40B2;
-03A3 : 80820141;
-03A4 : 0802850B;
-03A5 : A2604703;
-03A6 : 0FA30605;
-03A7 : B7A1FEE6;
-03A8 : 080F078B;
-03A9 : A2704783;
-03AA : 80824501;
-03AB : C4221141;
-03AC : 4503641D;
-03AD : C6065444;
-03AE : 00157793;
-03AF : 4585EF85;
-03B0 : 07B00513;
-03B1 : 54440413;
-03B2 : 53633511;
-03B3 : 00930205;
-03B4 : 10233030;
-03B5 : 07130014;
-03B6 : 22838080;
-03B7 : 651D0007;
-03B8 : F8B50513;
-03B9 : FFE2F313;
-03BA : 00672023;
-03BB : 8C3FF0EF;
-03BC : 00044503;
-03BD : 442240B2;
-03BE : 80820141;
-03BF : C6061141;
-03C0 : C226C422;
-03C1 : 84AAC04A;
-03C2 : A023651D;
-03C3 : A2230005;
-03C4 : A4230005;
-03C5 : A6230005;
-03C6 : 05130005;
-03C7 : 842EFA15;
-03C8 : F0EF85B2;
-03C9 : 45818C5F;
-03CA : 334D8526;
-03CB : 0FE57793;
-03CC : E3A185AA;
-03CD : 468145C1;
-03CE : 7D000613;
-03CF : 3BD98522;
-03D0 : E90585AA;
-03D1 : 01040493;
-03D2 : 4008691D;
-03D3 : 709040EF;
-03D4 : 85AAC008;
-03D5 : 05130411;
-03D6 : F0EFFAD9;
-03D7 : 16E388DF;
-03D8 : 4422FE94;
-03D9 : 449240B2;
-03DA : 45294902;
-03DB : F06F0141;
-03DC : 4422823F;
-03DD : 4492609D;
-03DE : 85134902;
-03DF : 40B2FB30;
-03E0 : F06F0141;
-03E1 : 1101865F;
-03E2 : CE06CC22;
-03E3 : C84ACA26;
-03E4 : C452C64E;
-03E5 : C6258432;
-03E6 : 4783691D;
-03E7 : 0A135449;
-03E8 : F0935449;
-03E9 : 97630017;
-03EA : 42850E00;
-03EB : 84AE89AA;
-03EC : 06561063;
-03ED : 0613661D;
-03EE : 651DE126;
-03EF : 86A6874E;
-03F0 : 051385A2;
-03F1 : F0EFFC65;
-03F2 : 0703821F;
-03F3 : 4385002A;
-03F4 : 00771363;
-03F5 : 85A604A6;
-03F6 : 05200513;
-03F7 : 85AA39C5;
-03F8 : 02055C63;
-03F9 : 30300793;
-03FA : 1023609D;
-03FB : 851300FA;
-03FC : F0EFF8B0;
-03FD : 4401FBCF;
-03FE : 04234611;
-03FF : 40F210C0;
-0400 : 44628522;
-0401 : 494244D2;
-0402 : 4A2249B2;
-0403 : 80826105;
-0404 : 0613631D;
-0405 : B7552E33;
-0406 : 0FE57693;
-0407 : 15B7EAB1;
-0408 : 04930003;
-0409 : 5A7DFFF4;
-040A : 89134401;
-040B : 1813D405;
-040C : 85330094;
-040D : 93630109;
-040E : 08930544;
-040F : 878B0400;
-0410 : 47830808;
-0411 : 0993A270;
-0412 : 878B0400;
-0413 : 4E030809;
-0414 : 1E93A270;
-0415 : DF13018E;
-0416 : 7593418E;
-0417 : 46E30FFE;
-0418 : D9D9FE0F;
-0419 : 85136F9D;
-041A : F0EF011F;
-041B : B769F7CF;
-041C : 0513641D;
-041D : F0EFFEF4;
-041E : BFB5F70F;
-041F : 04C00693;
-0420 : 4681C091;
-0421 : 0593864A;
-0422 : 31692000;
-0423 : F52D14FD;
-0424 : BF710405;
-0425 : B7A54401;
-0426 : CA261101;
-0427 : CC22CE06;
-0428 : C64EC84A;
-0429 : 0A6384B2;
-042A : 699D1606;
-042B : 5449C783;
-042C : 54498993;
-042D : 0017F093;
-042E : 1A009463;
-042F : 842A86AA;
-0430 : 862E651D;
-0431 : 0513892E;
-0432 : 85A60455;
-0433 : F1AFF0EF;
-0434 : 00298703;
-0435 : 13634285;
-0436 : 09260057;
-0437 : 051385CA;
-0438 : 36ED0590;
-0439 : 5E6385AA;
-043A : 639D1005;
-043B : 06F38513;
-043C : EBEFF0EF;
-043D : 30300593;
-043E : 00B99023;
-043F : 07934901;
-0440 : 55710400;
-0441 : 4885587D;
-0442 : 06134315;
-0443 : 79932004;
-0444 : 70930034;
-0445 : 868BFFC6;
-0446 : 02230807;
-0447 : 868BA2A0;
-0448 : 00230807;
-0449 : 7E13A700;
-044A : 86A20014;
-044B : 000E0A63;
-044C : 00140693;
-044D : 00044E83;
-044E : 08078E0B;
-044F : A3D00223;
-0450 : C8018809;
-0451 : 0006DF83;
-0452 : 8E0B0689;
-0453 : 14230807;
-0454 : 8436A3F0;
-0455 : 0C146B63;
-0456 : 413000B3;
-0457 : 0020F993;
-0458 : 00098963;
-0459 : 00045283;
-045A : 860B0409;
-045B : 14230807;
-045C : F393A250;
-045D : 89630010;
-045E : 46030003;
-045F : 04050004;
-0460 : 0807868B;
-0461 : A2C00223;
-0462 : 0807870B;
-0463 : A1605E03;
-0464 : 010E1E93;
-0465 : 010EDF13;
-0466 : 0807868B;
-0467 : A3E01D23;
-0468 : 0807870B;
-0469 : A2704703;
-046A : 0807870B;
-046B : A2704F83;
-046C : 011FF093;
-046D : 0FFFF693;
-046E : FF1098E3;
-046F : 01F6F593;
-0470 : 06658D63;
-0471 : 0513681D;
-0472 : F0EF0B58;
-0473 : 4485E1CF;
-0474 : 04000893;
-0475 : 0808878B;
-0476 : 022357F5;
-0477 : 4721A2F0;
-0478 : 04000513;
-0479 : 0805060B;
-047A : A2704303;
-047B : 06031263;
-047C : 04000293;
-047D : 0802878B;
-047E : A2704383;
-047F : FE038CE3;
-0480 : 0DE3A891;
-0481 : 631DEE05;
-0482 : 09230513;
-0483 : DDAFF0EF;
-0484 : 46114901;
-0485 : 10C00423;
-0486 : 40F284CA;
-0487 : 49424462;
-0488 : 852649B2;
-0489 : 610544D2;
-048A : 2E038082;
-048B : 858B0004;
-048C : 26230807;
-048D : 0411A3C0;
-048E : 870BBF31;
-048F : 49830807;
-0490 : 8CE3A270;
-0491 : 0905FE09;
-0492 : ED2491E3;
-0493 : B7494481;
-0494 : FB49177D;
-0495 : 4581DCDD;
-0496 : 04C00513;
-0497 : BF553C85;
-0498 : BF654481;
-0499 : 10000313;
-049A : 0E802683;
-049B : 00032703;
-049C : 83B3460D;
-049D : 756340E6;
-049E : 20230076;
-049F : B13D00D3;
-04A0 : 4503651D;
-04A1 : 80825445;
-04A2 : 651D1141;
-04A3 : 0613C422;
-04A4 : 04130300;
-04A5 : 45815445;
-04A6 : 54450513;
-04A7 : 10EFC606;
-04A8 : 07937640;
-04A9 : 40B21010;
-04AA : 00F41023;
-04AB : 01414422;
-04AC : 671D8082;
-04AD : 54474503;
-04AE : 0E802603;
-04AF : 00A34285;
-04B0 : 7313A050;
-04B1 : 13630015;
-04B2 : B6CD0003;
-04B3 : D6867159;
-04B4 : CAD6D4A2;
-04B5 : D0CAD2A6;
-04B6 : CCD2CECE;
-04B7 : C6DEC8DA;
-04B8 : 00257A93;
-04B9 : 54470413;
-04BA : 10400393;
-04BB : 000A8A63;
-04BC : 0003A683;
-04BD : 03F00593;
-04BE : 40D604B3;
-04BF : 0895FD63;
-04C0 : 00C3A023;
-04C1 : 06134581;
-04C2 : 05130300;
-04C3 : 10EF5447;
-04C4 : 05136F40;
-04C5 : 10233030;
-04C6 : 499100A4;
-04C7 : 04000493;
-04C8 : 07F00B13;
-04C9 : 4B855A7D;
-04CA : 0804878B;
-04CB : 00234921;
-04CC : 878BA160;
-04CD : 26230804;
-04CE : 197DA340;
-04CF : FE091BE3;
-04D0 : 0804878B;
-04D1 : A1400023;
-04D2 : 0804878B;
-04D3 : 02234581;
-04D4 : 0513A340;
-04D5 : F0EF0400;
-04D6 : 0963977F;
-04D7 : 19FD0575;
-04D8 : FC0994E3;
-04D9 : 000A9763;
-04DA : 0513681D;
-04DB : F0EF0D08;
-04DC : 4783C40F;
-04DD : 09130004;
-04DE : 2F838080;
-04DF : C7130009;
-04E0 : 7293FFF7;
-04E1 : F6130017;
-04E2 : EAB3FFEF;
-04E3 : 202300C2;
-04E4 : 45030159;
-04E5 : 50B60004;
-04E6 : 54965426;
-04E7 : 49F65906;
-04E8 : 4AD64A66;
-04E9 : 4BB64B46;
-04EA : 80826165;
-04EB : 0804878B;
-04EC : F8200893;
-04ED : A1100023;
-04EE : 05134585;
-04EF : F0EF07B0;
-04F0 : 059390FF;
-04F1 : 05131AA0;
-04F2 : F0EF0480;
-04F3 : 7E13903F;
-04F4 : 11630045;
-04F5 : 7913040E;
-04F6 : 1CE30FC5;
-04F7 : 878BF809;
-04F8 : 47830804;
-04F9 : 878BA270;
-04FA : 57830804;
-04FB : 848BA2A0;
-04FC : 25830804;
-04FD : 0F13A380;
-04FE : 09371AA0;
-04FF : C40C4000;
-0500 : 1FF5FE93;
-0501 : 01EE8863;
-0502 : 05136F1D;
-0503 : F0EF0EAF;
-0504 : B785BD8F;
-0505 : 05134581;
-0506 : F0EF0770;
-0507 : 7F938B3F;
-0508 : 85AA0045;
-0509 : 000F9863;
-050A : 051385CA;
-050B : F0EF0690;
-050C : 85AA89FF;
-050D : 0045F793;
-050E : F613EF85;
-050F : C6090FE5;
-0510 : 0513671D;
-0511 : B7E11157;
-0512 : 0493F5F1;
-0513 : 878B0400;
-0514 : 00930804;
-0515 : 85CAF810;
-0516 : A0100023;
-0517 : 07A00513;
-0518 : 86DFF0EF;
-0519 : C50585AA;
-051A : 85136E9D;
-051B : B745130E;
-051C : 4581DDE9;
-051D : 04100513;
-051E : 855FF0EF;
-051F : 0FE57293;
-0520 : 816385AA;
-0521 : 631D0402;
-0522 : 14A30513;
-0523 : 878BB749;
-0524 : 47830804;
-0525 : 878BA270;
-0526 : 57830804;
-0527 : 848BA2A0;
-0528 : 23830804;
-0529 : 4A85A380;
-052A : 00742623;
-052B : 20000593;
-052C : 05000513;
-052D : 819FF0EF;
-052E : C90185AA;
-052F : 05136E1D;
-0530 : B7B1163E;
-0531 : 4A81F55D;
-0532 : 0B13B7D5;
-0533 : 6A1D0104;
-0534 : 17DA0613;
-0535 : 051385DA;
-0536 : 340D0490;
-0537 : 0A0A8263;
-0538 : 05B74854;
-0539 : F5334000;
-053A : C95900D5;
-053B : 81000BB7;
-053C : FF1B8593;
-053D : 04600513;
-053E : FD4FF0EF;
-053F : FFE57993;
-0540 : 08099063;
-0541 : 00031837;
-0542 : 06134681;
-0543 : 0593D408;
-0544 : 850A0400;
-0545 : 801FF0EF;
-0546 : 4883E525;
-0547 : 4E850101;
-0548 : 00F8FE13;
-0549 : 05DE1E63;
-054A : 04000F13;
-054B : 080F078B;
-054C : A2C02783;
-054D : 17DA0613;
-054E : 051385DA;
-054F : F0EF0490;
-0550 : 29039BFF;
-0551 : 7F930104;
-0552 : 8B630069;
-0553 : 7793020F;
-0554 : 46090079;
-0555 : 00C79963;
-0556 : 0FF97713;
-0557 : 00375293;
-0558 : FF634AA9;
-0559 : 0313005A;
-055A : 078B0400;
-055B : 04930803;
-055C : 609DF800;
-055D : A0900023;
-055E : 18108513;
-055F : A6AFF0EF;
-0560 : 0593639D;
-0561 : 86130204;
-0562 : 05131A13;
-0563 : F0EF04A0;
-0564 : 2B0396FF;
-0565 : 45850104;
-0566 : 01EB5A13;
-0567 : 001A0693;
-0568 : 00D40123;
-0569 : 02B68F63;
-056A : 8D634309;
-056B : 01230666;
-056C : 45830004;
-056D : 46890024;
-056E : 00B6F363;
-056F : 6B9D4581;
-0570 : 00259513;
-0571 : 1F0B8993;
-0572 : 00A98833;
-0573 : 25834050;
-0574 : 689D0008;
-0575 : 1A588513;
-0576 : A0EFF0EF;
-0577 : 00040023;
-0578 : 4C08BB49;
-0579 : 01C42B83;
-057A : 01645F83;
-057B : 56136E05;
-057C : D99300F5;
-057D : 189301EB;
-057E : 0E930025;
-057F : F793FFCE;
-0580 : 771300FF;
-0581 : 88130076;
-0582 : FF330019;
-0583 : 82B301D8;
-0584 : 093300E7;
-0585 : 8A9301E8;
-0586 : 1A33FF92;
-0587 : 22230159;
-0588 : BF410144;
-0589 : 53834844;
-058A : 909301A4;
-058B : 8B330104;
-058C : 1A130070;
-058D : B7E500AB;
-058E : 1141E10D;
-058F : 311DC606;
-0590 : 8293679D;
-0591 : C5035447;
-0592 : C7030012;
-0593 : 40B20002;
-0594 : 80A38D59;
-0595 : 014100A2;
-0596 : 450D8082;
-0597 : 41188082;
-0598 : 0393415C;
-0599 : C35C10C0;
-059A : 00052283;
-059B : A02356FD;
-059C : C1480057;
-059D : 00752023;
-059E : 0043A583;
-059F : 11400F93;
-05A0 : 00850813;
-05A1 : A223C14C;
-05A2 : 415000A3;
-05A3 : 2883C208;
-05A4 : 2E030085;
-05A5 : C91400C5;
-05A6 : 00050A23;
-05A7 : 01C8A223;
-05A8 : 00852E83;
-05A9 : 01DE2023;
-05AA : 000FA703;
-05AB : 01F52623;
-05AC : 010FA023;
-05AD : 2223C518;
-05AE : 80820107;
-05AF : C6061141;
-05B0 : C226C422;
-05B1 : 490C679D;
-05B2 : 54478093;
-05B3 : 0040A483;
-05B4 : 02930596;
-05B5 : 8C8D0200;
-05B6 : F463842A;
-05B7 : 04930092;
-05B8 : 86260200;
-05B9 : 01840513;
-05BA : 9B1FF0EF;
-05BB : 00A48A63;
-05BC : 37B58522;
-05BD : 40B24505;
-05BE : 44924422;
-05BF : 80820141;
-05C0 : 0A234305;
-05C1 : 45010064;
-05C2 : 7179B7FD;
-05C3 : 04B7D226;
-05C4 : 829334F2;
-05C5 : 073385D4;
-05C6 : D4220255;
-05C7 : 2A37CC52;
-05C8 : CA564101;
-05C9 : 0A93679D;
-05CA : D606710A;
-05CB : C85ACE4E;
-05CC : 02553333;
-05CD : C65ED04A;
-05CE : 8093C462;
-05CF : AE035447;
-05D0 : 89AA0040;
-05D1 : 0A138B2E;
-05D2 : 03B3710A;
-05D3 : F41300E3;
-05D4 : 14933FF3;
-05D5 : 9AA60034;
-05D6 : 004AA403;
-05D7 : 07541163;
-05D8 : 00599B93;
-05D9 : 03CBFF63;
-05DA : 020B8513;
-05DB : 02000C13;
-05DC : 00AE7463;
-05DD : FE0E0C13;
-05DE : 11402903;
-05DF : 4603468D;
-05E0 : 041300C9;
-05E1 : 0263FF89;
-05E2 : 852204D6;
-05E3 : 05633DC9;
-05E4 : 8662040B;
-05E5 : 051385DE;
-05E6 : F0EF0109;
-05E7 : 0A63FECF;
-05E8 : 44010385;
-05E9 : 852250B2;
-05EA : 54925422;
-05EB : 49F25902;
-05EC : 4AD24A62;
-05ED : 4BB24B42;
-05EE : 61454C22;
-05EF : 2E838082;
-05F0 : 8B630104;
-05F1 : 404003D9;
-05F2 : 8522BF51;
-05F3 : F1613DC5;
-05F4 : 4805BF6D;
-05F5 : 01090623;
-05F6 : 01392423;
-05F7 : FF592C23;
-05F8 : 009A08B3;
-05F9 : 0048A983;
-05FA : FF392E23;
-05FB : 0088A223;
-05FC : FFC92B03;
-05FD : 008B2023;
-05FE : 00842F83;
-05FF : 0713445C;
-0600 : A2231140;
-0601 : 208300FF;
-0602 : 0F130084;
-0603 : A0230084;
-0604 : 23030017;
-0605 : C4180047;
-0606 : 01E72223;
-0607 : 00642623;
-0608 : 01E32023;
-0609 : 0793B741;
-060A : 029310C0;
-060B : C3371140;
-060C : 66114000;
-060D : 410125B7;
-060E : C39CC3DC;
-060F : 0052A223;
-0610 : 0052A023;
-0611 : 71030793;
-0612 : 10C00393;
-0613 : 11400E13;
-0614 : 08935EFD;
-0615 : 8F130186;
-0616 : A5037105;
-0617 : A0230043;
-0618 : A2230077;
-0619 : C3C800F3;
-061A : 2F83C11C;
-061B : 8813004E;
-061C : A4230087;
-061D : A62301C7;
-061E : 222301F7;
-061F : A023010E;
-0620 : A823010F;
-0621 : 8A2301D7;
-0622 : 97C60007;
-0623 : FDE797E3;
-0624 : 410126B7;
-0625 : 71068293;
-0626 : 00C28733;
-0627 : 0052A223;
-0628 : 0052A023;
-0629 : 9BE302A1;
-062A : 8082FEE2;
-062B : 1101ED05;
-062C : 649DCA26;
-062D : C403CC22;
-062E : CE065444;
-062F : 9F7FF0EF;
-0630 : 00A442B3;
-0631 : 0012F313;
-0632 : 54448493;
-0633 : 00030563;
-0634 : 3F91C62A;
-0635 : 40F24532;
-0636 : 80A34462;
-0637 : 44D200A4;
-0638 : 80826105;
-0639 : 8082450D;
-063A : 679D1101;
-063B : CC22CE06;
-063C : C84ACA26;
-063D : 8093C64E;
-063E : C7035447;
-063F : 72930000;
-0640 : 64330017;
-0641 : E03D0055;
-0642 : 85634309;
-0643 : 67630465;
-0644 : E5B102B3;
-0645 : 11400613;
-0646 : 09134244;
-0647 : 498D1140;
-0648 : 03248263;
-0649 : 00C4C683;
-064A : 01369863;
-064B : FF848513;
-064C : 8C493371;
-064D : 0FF47413;
-064E : B7DD40C4;
-064F : 8463448D;
-0650 : 44110295;
-0651 : 852240F2;
-0652 : 44D24462;
-0653 : 49B24942;
-0654 : 80826105;
-0655 : 20000393;
-0656 : 00761023;
-0657 : A503B7E5;
-0658 : C2080040;
-0659 : 0513B7C5;
-065A : BFE50200;
-065B : BFD9440D;
-065C : 679D7179;
-065D : C903D04A;
-065E : D6065447;
-065F : D226D422;
-0660 : CC52CE4E;
-0661 : C85ACA56;
-0662 : 7913C65E;
-0663 : 18630019;
-0664 : C68D0609;
-0665 : FFF60A13;
-0666 : 5A936291;
-0667 : 00B30056;
-0668 : 062600DA;
-0669 : E0028313;
-066A : DA1389AE;
-066B : 74330050;
-066C : 94930066;
-066D : 6B910096;
-066E : 015A7E63;
-066F : 542250B2;
-0670 : 49F25492;
-0671 : 4AD24A62;
-0672 : 4BB24B42;
-0673 : 5902854A;
-0674 : 80826145;
-0675 : 85564585;
-0676 : C5053B0D;
-0677 : 408B8B33;
-0678 : 0164F363;
-0679 : 05618B26;
-067A : 008505B3;
-067B : 854E865A;
-067C : 0E4010EF;
-067D : 416484B3;
-067E : 44010A85;
-067F : 490DBF75;
-0680 : 4905BF75;
-0681 : 7139BF65;
-0682 : DE06679D;
-0683 : DA26DC22;
-0684 : D64ED84A;
-0685 : D256D452;
-0686 : CE5ED05A;
-0687 : CA66CC62;
-0688 : C66EC86A;
-0689 : 54478093;
-068A : 0000C903;
-068B : 00197913;
-068C : 0A091063;
-068D : 0A13CA95;
-068E : 6711FFF6;
-068F : 0040AA83;
-0690 : 00565C93;
-0691 : 00DA02B3;
-0692 : 03130626;
-0693 : 89AEE007;
-0694 : 0052DA13;
-0695 : 00667433;
-0696 : 00969493;
-0697 : 0B935B01;
-0698 : 4C0D0200;
-0699 : 039A7263;
-069A : 546250F2;
-069B : 59B254D2;
-069C : 5A925A22;
-069D : 4BF25B02;
-069E : 4CD24C62;
-069F : 4DB24D42;
-06A0 : 5942854A;
-06A1 : 80826121;
-06A2 : 036C83B3;
-06A3 : 01538533;
-06A4 : 00ABF463;
-06A5 : 02000513;
-06A6 : 00951593;
-06A7 : 40858D33;
-06A8 : 01A4F363;
-06A9 : 35B38D26;
-06AA : 856600BD;
-06AB : 8DAA39B9;
-06AC : 0693C115;
-06AD : 85330185;
-06AE : 866A0086;
-06AF : 10EF85CE;
-06B0 : 84B30160;
-06B1 : 8A2341A4;
-06B2 : 0C85018D;
-06B3 : BF594401;
-06B4 : BF59490D;
-06B5 : BF494905;
-06B6 : 88002503;
-06B7 : 679D8082;
-06B8 : 02000537;
-06B9 : 06374681;
-06BA : 8793C000;
-06BB : 0F931FC7;
-06BC : 6841FFF5;
-06BD : 08934398;
-06BE : F3630046;
-06BF : 202302E6;
-06C0 : 86930006;
-06C1 : 93632006;
-06C2 : 80820106;
-06C3 : 0047D503;
-06C4 : 07334390;
-06C5 : E36300C5;
-06C6 : 07B100E6;
-06C7 : BFD98646;
-06C8 : 0087A283;
-06C9 : 0067CE03;
-06CA : 40E685B3;
-06CB : 00B28333;
-06CC : 01F373B3;
-06CD : 018E1E93;
-06CE : 01D3EF33;
-06CF : 01E62023;
-06D0 : 1101B7C9;
-06D1 : CA26CE06;
-06D2 : C84ACC22;
-06D3 : C452C64E;
-06D4 : 5903C256;
-06D5 : 0793B880;
-06D6 : AA8311C0;
-06D7 : 10930007;
-06D8 : D9130109;
-06D9 : 02930100;
-06DA : 448111C0;
-06DB : 000A8663;
-06DC : 010AD483;
-06DD : 009974B3;
-06DE : 0FF97313;
-06DF : 08030A63;
-06E0 : B9104383;
-06E1 : B9004583;
-06E2 : F6134695;
-06E3 : F5930FF3;
-06E4 : EC630FF5;
-06E5 : 40850676;
-06E6 : 04C0E363;
-06E7 : 10061463;
-06E8 : 060A8863;
-06E9 : 004AA703;
-06EA : A783CB1D;
-06EB : 8293000A;
-06EC : A0230017;
-06ED : 8023005A;
-06EE : C30300B7;
-06EF : C38301DA;
-06F0 : 76B3014A;
-06F1 : 8EA30073;
-06F2 : 0AA300DA;
-06F3 : A503B8D0;
-06F4 : 0813004A;
-06F5 : A223FFF5;
-06F6 : 1B63010A;
-06F7 : 48850208;
-06F8 : 00CA8833;
-06F9 : 00C899B3;
-06FA : 00B80B23;
-06FB : 0099FA33;
-06FC : 020A0063;
-06FD : 00860E13;
-06FE : 002E1E93;
-06FF : 01DA8F33;
-0700 : 000F2F83;
-0701 : 9F828556;
-0702 : 451DA021;
-0703 : 10A60063;
-0704 : 10097593;
-0705 : A603C1A9;
-0706 : 036300CA;
-0707 : 04131406;
-0708 : A623FFF6;
-0709 : 0D63008A;
-070A : C3031204;
-070B : C38301DA;
-070C : 76B3015A;
-070D : 8EA30073;
-070E : 0AA300DA;
-070F : A503B8D0;
-0710 : 0813008A;
-0711 : A4230015;
-0712 : 4883010A;
-0713 : 8E230005;
-0714 : 0A23011A;
-0715 : 7993B910;
-0716 : 87632009;
-0717 : CE031209;
-0718 : 4A0301DA;
-0719 : F493B950;
-071A : 0AA32004;
-071B : 7593B9C0;
-071C : C4910FFA;
-071D : 03CAAE83;
-071E : 85564605;
-071F : AF039E82;
-0720 : D60300CA;
-0721 : AA83010A;
-0722 : 3FB3004A;
-0723 : 959301E0;
-0724 : E433008F;
-0725 : 30B300C5;
-0726 : E7330150;
-0727 : 62930080;
-0728 : A0FD0827;
-0729 : 03F5F893;
-072A : 00289A13;
-072B : 15800E93;
-072C : 014E8F33;
-072D : 000F2A83;
-072E : 0D100823;
-072F : 0152A023;
-0730 : 08200693;
-0731 : 020A8463;
-0732 : 00CAAF83;
-0733 : 010AD703;
-0734 : 004AA783;
-0735 : 01F03433;
-0736 : 00841093;
-0737 : 00E0E2B3;
-0738 : 00F03333;
-0739 : 005363B3;
-073A : 0823E693;
-073B : B8D01523;
-073C : 84634501;
-073D : A503000A;
-073E : 2A2301CA;
-073F : 89E3B8A0;
-0740 : D483F00A;
-0741 : 74B3010A;
-0742 : BDD10099;
-0743 : 0D000613;
-0744 : 04000813;
-0745 : 00064A83;
-0746 : 0002A023;
-0747 : 01060023;
-0748 : 08200893;
-0749 : B9101523;
-074A : B8002A23;
-074B : 09934401;
-074C : 0A131580;
-074D : 8E331000;
-074E : 25030089;
-074F : CD01000E;
-0750 : 01055E83;
-0751 : 080EFF13;
-0752 : 000F0763;
-0753 : 04052F83;
-0754 : 85D6461D;
-0755 : 04119F82;
-0756 : FD441FE3;
-0757 : BD4D4A81;
-0758 : 01FAC703;
-0759 : B9404083;
-075A : 1004F293;
-075B : 00EA8E23;
-075C : B8E00A23;
-075D : 0FF0F593;
-075E : EC028FE3;
-075F : 038AA783;
-0760 : 85564601;
-0761 : BDC19782;
-0762 : 08200293;
-0763 : EE0A99E3;
-0764 : 01029793;
-0765 : 0127E933;
-0766 : B9202423;
-0767 : 446240F2;
-0768 : 494244D2;
-0769 : 4A2249B2;
-076A : 61054A92;
-076B : 07938082;
-076C : 07132000;
-076D : 828BDFF0;
-076E : 238306E7;
-076F : C10C11C0;
-0770 : 0EA3C150;
-0771 : 166300D5;
-0772 : 454C0275;
-0773 : 01055E03;
-0774 : 00C03633;
-0775 : 00B036B3;
-0776 : 00869813;
-0777 : 010668B3;
-0778 : 01C8EEB3;
-0779 : 082EEF13;
-077A : B9E01523;
-077B : 2A234D48;
-077C : FF93B8A0;
-077D : 07932002;
-077E : 878BDFF0;
-077F : 808206FF;
-0780 : 20000793;
-0781 : DFF00713;
-0782 : 06E7828B;
-0783 : 00158313;
-0784 : 2423C550;
-0785 : C3830065;
-0786 : 0EA30005;
-0787 : 268300D5;
-0788 : 0E2311C0;
-0789 : 17630075;
-078A : 280302D5;
-078B : 5F030045;
-078C : 36330105;
-078D : 38B300C0;
-078E : 1E130100;
-078F : EEB30086;
-0790 : EFB301C8;
-0791 : E79301EE;
-0792 : 1523082F;
-0793 : 4D48B8F0;
-0794 : B8A02A23;
-0795 : 2002F293;
-0796 : DFF00713;
-0797 : 06E2878B;
-0798 : 07938082;
-0799 : 07132000;
-079A : 828BDFF0;
-079B : 230306E7;
-079C : 0FA300C5;
-079D : 1A6300B5;
-079E : 26030003;
-079F : 0E2311C0;
-07A0 : 146300B5;
-07A1 : 0A2300A6;
-07A2 : F513B8B0;
-07A3 : 05932002;
-07A4 : 078BDFF0;
-07A5 : 808206B5;
-07A6 : 20000793;
-07A7 : DFF00713;
-07A8 : 06E7828B;
-07A9 : 11C02383;
-07AA : 00B50EA3;
-07AB : 00A39463;
-07AC : B8B00AA3;
-07AD : 2002F513;
-07AE : DFF00593;
-07AF : 06B5078B;
-07B0 : 07938082;
-07B1 : EB6303F0;
-07B2 : 029306B7;
-07B3 : 07132000;
-07B4 : 868BDFF0;
-07B5 : 230306E2;
-07B6 : 166300C5;
-07B7 : 43830003;
-07B8 : 0E2301F5;
-07B9 : 96130075;
-07BA : 08930025;
-07BB : 4F031580;
-07BC : 8E330D00;
-07BD : 202300C8;
-07BE : 1B6300AE;
-07BF : 2F8302BF;
-07C0 : 570300C5;
-07C1 : 23830105;
-07C2 : 37B30045;
-07C3 : 929301F0;
-07C4 : E3330087;
-07C5 : 363300E2;
-07C6 : 68330070;
-07C7 : 2E230066;
-07C8 : 689310A0;
-07C9 : 15230828;
-07CA : 4D48B910;
-07CB : B8A02A23;
-07CC : 2006F693;
-07CD : DFF00E13;
-07CE : 07C6878B;
-07CF : 07938082;
-07D0 : 07132000;
-07D1 : 878BDFF0;
-07D2 : 228306E7;
-07D3 : 0313B840;
-07D4 : F6930400;
-07D5 : E2991002;
-07D6 : 03F2F313;
-07D7 : 00231513;
-07D8 : 15800613;
-07D9 : 00A60833;
-07DA : 00082883;
-07DB : 0C600823;
-07DC : 11102E23;
-07DD : 08200393;
-07DE : 02088463;
-07DF : 00C8AE83;
-07E0 : 0108D783;
-07E1 : 0048A283;
-07E2 : 01D03F33;
-07E3 : 008F1F93;
-07E4 : 00FFE733;
-07E5 : 005036B3;
-07E6 : 00E6E333;
-07E7 : 08236393;
-07E8 : B8701523;
-07E9 : 84634501;
-07EA : A5030008;
-07EB : 2A2301C8;
-07EC : 0593B8A0;
-07ED : 078BDFF0;
-07EE : 808206B0;
-07EF : 47834178;
-07F0 : 450304B5;
-07F1 : 468304A5;
-07F2 : C6910077;
-07F3 : 00851613;
-07F4 : 00F60533;
-07F5 : 45838082;
-07F6 : D3130007;
-07F7 : 12930057;
-07F8 : 83B30035;
-07F9 : 96330062;
-07FA : 8BFD00B3;
-07FB : 1141B7D5;
-07FC : C422C606;
-07FD : 43034160;
-07FE : 1C630074;
-07FF : 47030003;
-0800 : 468304B5;
-0801 : 70930004;
-0802 : D2B301F7;
-0803 : 976340D0;
-0804 : 376D0002;
-0805 : 00245783;
-0806 : 00F53333;
-0807 : 442240B2;
-0808 : 0141851A;
-0809 : 07938082;
-080A : 18230940;
-080B : 0EA300F5;
-080C : 0AA30005;
-080D : 4283B800;
-080E : 06A304F5;
-080F : E3130405;
-0810 : 07A30012;
-0811 : 80820465;
-0812 : 4783BFF9;
-0813 : E29304F5;
-0814 : 07A30027;
-0815 : 80820455;
-0816 : 04F54783;
-0817 : 0047E293;
-0818 : 045507A3;
-0819 : 53038082;
-081A : F7B70105;
-081B : 4603EEEE;
-081C : 829304D5;
-081D : 6393EEE7;
-081E : 24230013;
-081F : 18230455;
-0820 : 05930075;
-0821 : 06930485;
-0822 : E2190C90;
-0823 : 0C100693;
-0824 : BB314611;
-0825 : 45811141;
-0826 : C422C606;
-0827 : 3BED842A;
-0828 : 06A38522;
-0829 : 45810404;
-082A : 85223B6D;
-082B : 04040423;
-082C : 40B24422;
-082D : BF450141;
-082E : C7CE7115;
-082F : CBA6CF86;
-0830 : CDA2C1DA;
-0831 : C5D2C9CA;
-0832 : DF5EC3D6;
-0833 : DB66DD62;
-0834 : D76ED96A;
-0835 : 0D400093;
-0836 : 0E802B03;
-0837 : 0000A283;
-0838 : B8002903;
-0839 : 00597493;
-083A : 27628163;
-083B : 4383631D;
-083C : 0B935443;
-083D : F4130D40;
-083E : CC510013;
-083F : 9B6FF0EF;
-0840 : 00157893;
-0841 : 00088563;
-0842 : 4A014A85;
-0843 : 0A93A06D;
-0844 : AA038080;
-0845 : 6C9D000A;
-0846 : 002A6C13;
-0847 : 018AA023;
-0848 : 85934605;
-0849 : 0513E12C;
-084A : 20EF25C0;
-084B : CD0954B0;
-084C : 8513679D;
-084D : E0EF2507;
-084E : A983EB0F;
-084F : F293000A;
-0850 : A023FFD9;
-0851 : B7C9005A;
-0852 : 100C0850;
-0853 : E12C8513;
-0854 : 02010023;
-0855 : 30EFCA02;
-0856 : 465239B0;
-0857 : 100C6D9D;
-0858 : 269D8513;
-0859 : E82FE0EF;
-085A : 082C0870;
-085B : E12C8513;
-085C : 30EFCC02;
-085D : 4E722170;
-085E : 6F9D45E2;
-085F : 00AE5E83;
-0860 : 01CE2F03;
-0861 : 297F8513;
-0862 : 009E9693;
-0863 : FFEF0613;
-0864 : E56FE0EF;
-0865 : F0EFBF95;
-0866 : 89058CEF;
-0867 : 0613F535;
-0868 : 42181200;
-0869 : 083346FD;
-086A : FE6340EB;
-086B : 20231906;
-086C : 4A810166;
-086D : A0234A05;
-086E : 0093016B;
-086F : AB830D80;
-0870 : 73130000;
-0871 : C21A0019;
-0872 : 8163C002;
-0873 : A023069B;
-0874 : 03930090;
-0875 : A4038080;
-0876 : 75930003;
-0877 : 96130019;
-0878 : 75130025;
-0879 : 6733FFB4;
-087A : 681D00A6;
-087B : 00E3A023;
-087C : 2C980513;
-087D : 00497913;
-087E : DB6FE0EF;
-087F : 14090A63;
-0880 : 8513689D;
-0881 : E0EF22C8;
-0882 : 4A92DA8F;
-0883 : 140A9663;
-0884 : 05136A1D;
-0885 : C4B3244A;
-0886 : DC93009B;
-0887 : 4D050024;
-0888 : D8EFE0EF;
-0889 : 001CFA93;
-088A : 4A05C06A;
-088B : 6DB76E9D;
-088C : 6E1D4000;
-088D : 574E8F13;
-088E : 8D0D8D13;
-088F : 4B014B81;
-0890 : 8D0D8493;
-0891 : 544E0C13;
-0892 : 4F83C47A;
-0893 : 896304ED;
-0894 : 8963100A;
-0895 : 846A100F;
-0896 : 4DA14901;
-0897 : 2AE44683;
-0898 : 0016FE13;
-0899 : 040E0263;
-089A : 001C4E83;
-089B : 001EFF13;
-089C : 000F1663;
-089D : 05040513;
-089E : 574030EF;
-089F : 2AE44F83;
-08A0 : FFEFF793;
-08A1 : 2AF40723;
-08A2 : 04CD4283;
-08A3 : FFF28093;
-08A4 : 041D0623;
-08A5 : 2AF44303;
-08A6 : 00437393;
-08A7 : 00039663;
-08A8 : FFE37613;
-08A9 : 2AC40723;
-08AA : 04130905;
-08AB : 17E32E84;
-08AC : 0723FBB9;
-08AD : 4502040D;
-08AE : 856AC119;
-08AF : 45923BE1;
-08B0 : CD854981;
-08B1 : DFF00C93;
-08B2 : 20000813;
-08B3 : 0798070B;
-08B4 : 08936709;
-08B5 : 0E33B907;
-08B6 : 8DB3031B;
-08B7 : C98301C4;
-08B8 : 87A304FD;
-08B9 : F993040D;
-08BA : 068B0FF9;
-08BB : F6930790;
-08BC : 81630069;
-08BD : 856A2006;
-08BE : 45033B71;
-08BF : 1A6304ED;
-08C0 : 6E094205;
-08C1 : B90E0693;
-08C2 : 4E910B05;
-08C3 : 9BB69D36;
-08C4 : F3DB1DE3;
-08C5 : 000A0A63;
-08C6 : 80800493;
-08C7 : 0004AA03;
-08C8 : FFDA7A93;
-08C9 : 0154A023;
-08CA : 446E40FE;
-08CB : 494E44DE;
-08CC : 4A2E49BE;
-08CD : 4B0E4A9E;
-08CE : 5C6A5BFA;
-08CF : 5D4A5CDA;
-08D0 : 612D5DBA;
-08D1 : 4A818082;
-08D2 : 4A81B3C9;
-08D3 : B5B54A01;
-08D4 : 8513669D;
-08D5 : BD452336;
-08D6 : 05136C1D;
-08D7 : BD65239C;
-08D8 : F40F9BE3;
-08D9 : 000C4783;
-08DA : 0017F993;
-08DB : F40995E3;
-08DC : B8002283;
-08DD : 0413631D;
-08DE : F09357F3;
-08DF : 95630042;
-08E0 : 43A20000;
-08E1 : 00A38413;
-08E2 : 03000513;
-08E3 : 02F00593;
-08E4 : 00A40023;
-08E5 : 00B400A3;
-08E6 : 00040123;
-08E7 : 04138CEA;
-08E8 : 09132A8D;
-08E9 : 26030300;
-08EA : 681D044D;
-08EB : 0693874A;
-08EC : 45910086;
-08ED : 2D880613;
-08EE : 30EF8522;
-08EF : 671D6A50;
-08F0 : DA840D93;
-08F1 : 34070993;
-08F2 : 0009A683;
-08F3 : 10068563;
-08F4 : 8722689D;
-08F5 : 2E088613;
-08F6 : 04000593;
-08F7 : 30EF1008;
-08F8 : C6836810;
-08F9 : FE132AEC;
-08FA : 07630016;
-08FB : 4E83020E;
-08FC : FF13001C;
-08FD : 1563001E;
-08FE : 856E000F;
-08FF : 3F0030EF;
-0900 : 2AECCF83;
-0901 : FFEFF793;
-0902 : 2AFC8723;
-0903 : 04CD4283;
-0904 : FFF28093;
-0905 : 041D0623;
-0906 : 2AFCC303;
-0907 : 00437393;
-0908 : 0C039863;
-0909 : 0FE37893;
-090A : 2B1C8723;
-090B : 0028F513;
-090C : E1114605;
-090D : 100C460D;
-090E : C632856E;
-090F : 299020EF;
-0910 : C5834629;
-0911 : 19632AEC;
-0912 : 483200C5;
-0913 : 00287713;
-0914 : E893C701;
-0915 : BFC90025;
-0916 : C1114885;
-0917 : E6B34891;
-0918 : 87230115;
-0919 : FE132ADC;
-091A : 03630016;
-091B : 699D080E;
-091C : 85A21010;
-091D : 2E598513;
-091E : B6EFE0EF;
-091F : 02200E93;
-0920 : 00840F13;
-0921 : 2BDCA823;
-0922 : 0BECA023;
-0923 : 567D55FD;
-0924 : 30EF856E;
-0925 : C51937E0;
-0926 : 85A26D9D;
-0927 : 2F9D8513;
-0928 : B46FE0EF;
-0929 : 044D2303;
-092A : 064CAF83;
-092B : 060CA283;
-092C : 00235383;
-092D : 018F9793;
-092E : 0082D093;
-092F : 0017E633;
-0930 : 00C3F363;
-0931 : 9623861E;
-0932 : 45032ACC;
-0933 : 059304CD;
-0934 : 06230015;
-0935 : 090504BD;
-0936 : 03800813;
-0937 : 2E840413;
-0938 : 2E8C8C93;
-0939 : ED0911E3;
-093A : 07234705;
-093B : B3E104ED;
-093C : BDD90991;
-093D : 0019FE93;
-093E : E00E81E3;
-093F : 049D4F03;
-0940 : 048D4603;
-0941 : 5F936285;
-0942 : 8093006F;
-0943 : 97937902;
-0944 : 8333008F;
-0945 : 83B3001B;
-0946 : 79130067;
-0947 : 7C930016;
-0948 : 8433007F;
-0949 : 01630074;
-094A : 05130409;
-094B : 8DB32E80;
-094C : 85B302AC;
-094D : 883301CD;
-094E : 470300B4;
-094F : 78932AE8;
-0950 : 9A630017;
-0951 : 03930408;
-0952 : 06A3F800;
-0953 : 0593047D;
-0954 : 856A0800;
-0955 : 90FFF0EF;
-0956 : 040D0423;
-0957 : 048D4603;
-0958 : FFE67513;
-0959 : 04AD0423;
-095A : 048D4D83;
-095B : 002DF593;
-095C : 5383CDD1;
-095D : F313010D;
-095E : 0423FFDD;
-095F : E613046D;
-0960 : 18231003;
-0961 : 468500CD;
-0962 : 10000613;
-0963 : 856A85A2;
-0964 : 871FF0EF;
-0965 : 856AB39D;
-0966 : A57FF0EF;
-0967 : 0313E901;
-0968 : 06A30210;
-0969 : 0593046D;
-096A : B7650210;
-096B : 80800E13;
-096C : 2683D002;
-096D : 4595000E;
-096E : EE93856A;
-096F : 20230026;
-0970 : F0EF01DE;
-0971 : 8F138D7F;
-0972 : 8FB3050B;
-0973 : 856A01ED;
-0974 : 01F48933;
-0975 : 9E9FF0EF;
-0976 : 00851593;
-0977 : 854A4601;
-0978 : 230030EF;
-0979 : 40A1C511;
-097A : 041D06A3;
-097B : B79545A1;
-097C : 06131014;
-097D : 85A21000;
-097E : 20EF854A;
-097F : F5653FF0;
-0980 : 02935782;
-0981 : 90E31000;
-0982 : BF89FE57;
-0983 : 004DF813;
-0984 : 02080563;
-0985 : 010D5283;
-0986 : FFBDF793;
-0987 : 04FD0423;
-0988 : 0012E093;
-0989 : 001D1823;
-098A : 04100693;
-098B : 10000613;
-098C : 856A85A2;
-098D : F7AFF0EF;
-098E : F713B1C9;
-098F : C729008D;
-0990 : 08936E09;
-0991 : 06932E80;
-0992 : 8CB3B90E;
-0993 : 0EB3031C;
-0994 : 8F3302DB;
-0995 : 8DB301DC;
-0996 : CF8301E4;
-0997 : F9132AED;
-0998 : 1D63001F;
-0999 : 0E930209;
-099A : 06A3F800;
-099B : 059305DD;
-099C : 856A0800;
-099D : FEEFF0EF;
-099E : 040D0423;
-099F : 048D4F03;
-09A0 : FF7F7D93;
-09A1 : 05BD0423;
-09A2 : 048D4F83;
-09A3 : F913856A;
-09A4 : 042300FF;
-09A5 : F0EF052D;
-09A6 : B1859D1F;
-09A7 : 002FF793;
-09A8 : 0693CB81;
-09A9 : 06A30400;
-09AA : 059304DD;
-09AB : B7D10400;
-09AC : C666856A;
-09AD : 93BFF0EF;
-09AE : E90142B2;
-09AF : 02100E13;
-09B0 : 05CD06A3;
-09B1 : 02100593;
-09B2 : D002B76D;
-09B3 : 80800093;
-09B4 : 0000A303;
-09B5 : 050B8613;
-09B6 : 00560533;
-09B7 : 00236393;
-09B8 : 00A48CB3;
-09B9 : 0070A023;
-09BA : F0EF856A;
-09BB : 15938D3F;
-09BC : 46010085;
-09BD : 30EF8566;
-09BE : C90111A0;
-09BF : 02000893;
-09C0 : 051D06A3;
-09C1 : 02000593;
-09C2 : C583B7AD;
-09C3 : 10142AED;
-09C4 : 10000613;
-09C5 : 0085E813;
-09C6 : 2B0D8723;
-09C7 : 856685A2;
-09C8 : 4C7020EF;
-09C9 : 5402FD61;
-09CA : 10000713;
-09CB : FCE418E3;
-09CC : 1663B7B1;
-09CD : F993000A;
-09CE : 84E30049;
-09CF : 846ABC09;
-09D0 : 4CA14D81;
-09D1 : 2AE44583;
-09D2 : 0085F813;
-09D3 : 00080C63;
-09D4 : 05040513;
-09D5 : 6E1020EF;
-09D6 : 2AE44703;
-09D7 : FF777893;
-09D8 : 2B140723;
-09D9 : 04130D85;
-09DA : 9DE32E84;
-09DB : BE51FD9D;
-09DC : 67B77139;
-09DD : DA264000;
-09DE : D452D64E;
-09DF : CE5ED05A;
-09E0 : 649DCC62;
-09E1 : 6C096989;
-09E2 : 6A096B89;
-09E3 : DC226B09;
-09E4 : D256D84A;
-09E5 : C86ACA66;
-09E6 : DE06C66E;
-09E7 : 35448493;
-09E8 : 8D078413;
-09E9 : 8A934901;
-09EA : 89938D07;
-09EB : 0D93B909;
-09EC : 0C930940;
-09ED : 4D0D07F0;
-09EE : 026C0C13;
-09EF : 04AB8B93;
-09F0 : 058A0A13;
-09F1 : 048B0B13;
-09F2 : 03390533;
-09F3 : 04400613;
-09F4 : C0644581;
-09F5 : 04B10905;
-09F6 : 24259556;
-09F7 : 18238522;
-09F8 : 0A2301B4;
-09F9 : 0AA30194;
-09FA : 0F230194;
-09FB : 202301A4;
-09FC : 24230384;
-09FD : 28230374;
-09FE : 2C230344;
-09FF : 20230364;
-0A00 : F0EF0544;
-0A01 : 2283893F;
-0A02 : 85220444;
-0A03 : C583944E;
-0A04 : F0EF0012;
-0A05 : 4311EB0F;
-0A06 : FA6918E3;
-0A07 : 546250F2;
-0A08 : 594254D2;
-0A09 : 5A2259B2;
-0A0A : 5B025A92;
-0A0B : 4C624BF2;
-0A0C : 4D424CD2;
-0A0D : 61214DB2;
-0A0E : 47838082;
-0A0F : E29301D5;
-0A10 : 0EA30047;
-0A11 : 0AA30055;
-0A12 : 8082B850;
-0A13 : 01D54783;
-0A14 : 0027E293;
-0A15 : 00550EA3;
-0A16 : B8500AA3;
-0A17 : 71798082;
-0A18 : D606D422;
-0A19 : 0045F793;
-0A1A : CFCD842E;
-0A1B : 01D54083;
-0A1C : 12C00313;
-0A1D : 0FB0F293;
-0A1E : 00550EA3;
-0A1F : B8500AA3;
-0A20 : 00734383;
-0A21 : AE1485B7;
-0A22 : AE158893;
-0A23 : 14700613;
-0A24 : 02760833;
-0A25 : 00634703;
-0A26 : 00534F03;
-0A27 : 00334583;
-0A28 : 00175093;
-0A29 : 03FF7F93;
-0A2A : 005F9793;
-0A2B : 01F0F293;
-0A2C : 0057E6B3;
-0A2D : 00234783;
-0A2E : 0313BE33;
-0A2F : 00434383;
-0A30 : 00134303;
-0A31 : 00F7F093;
-0A32 : 01F3F613;
-0A33 : 01509293;
-0A34 : CC02073E;
-0A35 : 4785CE02;
-0A36 : 01C80EB3;
-0A37 : 00B61813;
-0A38 : 01F5FE13;
-0A39 : 0106E8B3;
-0A3A : 010E1F13;
-0A3B : EFB30EC6;
-0A3C : 069301E8;
-0A3D : D8930143;
-0A3E : E3B3011E;
-0A3F : 65B3005F;
-0A40 : 96130117;
-0A41 : E8330196;
-0A42 : 9E1300C3;
-0A43 : CA420105;
-0A44 : 00B11C23;
-0A45 : 010E5F13;
-0A46 : 89E01223;
-0A47 : 89002023;
-0A48 : 0EF00823;
-0A49 : 04047093;
-0A4A : 00008D63;
-0A4B : 01D54283;
-0A4C : E6934621;
-0A4D : 05930402;
-0A4E : C62A12C0;
-0A4F : C72FF0EF;
-0A50 : 04624532;
-0A51 : 41845313;
-0A52 : 0A035263;
-0A53 : 01D54683;
-0A54 : 12400713;
-0A55 : 0FD6FE93;
-0A56 : 01D50EA3;
-0A57 : B9D00AA3;
-0A58 : 88002883;
-0A59 : 88402603;
-0A5A : DF934851;
-0A5B : 00230158;
-0A5C : CC320107;
-0A5D : 00FFF793;
-0A5E : 0108D093;
-0A5F : 00F65813;
-0A60 : 01230646;
-0A61 : F29300F7;
-0A62 : 07B701F0;
-0A63 : 509300C8;
-0A64 : 01A30116;
-0A65 : B2B30057;
-0A66 : DE130217;
-0A67 : CA460198;
-0A68 : FECE0F13;
-0A69 : 00B8D393;
-0A6A : 0058D313;
-0A6B : 01F8F893;
-0A6C : 01E700A3;
-0A6D : 00189E13;
-0A6E : 00187F13;
-0A6F : 01F3F413;
-0A70 : 03F37693;
-0A71 : 01EE6FB3;
-0A72 : 00D702A3;
-0A73 : 00870223;
-0A74 : 01F70323;
-0A75 : 005703A3;
-0A76 : 50B25422;
-0A77 : 080EE693;
-0A78 : 05934621;
-0A79 : 61451240;
-0A7A : C18FF06F;
-0A7B : 542250B2;
-0A7C : 80826145;
-0A7D : 0593651D;
-0A7E : 05130360;
-0A7F : F06F5845;
-0A80 : C25DCC4F;
-0A81 : 0FF5F713;
-0A82 : 01071793;
-0A83 : 00E7E2B3;
-0A84 : 00829313;
-0A85 : 00157693;
-0A86 : 005363B3;
-0A87 : EAC987AA;
-0A88 : 71634805;
-0A89 : F89308C8;
-0A8A : 9F630027;
-0A8B : 4EFD0808;
-0A8C : 08CEF663;
-0A8D : FE060F13;
-0A8E : FE0F7F93;
-0A8F : 02078F13;
-0A90 : 01EF8733;
-0A91 : 0F13A019;
-0A92 : A023020F;
-0A93 : A2230077;
-0A94 : A4230077;
-0A95 : A6230077;
-0A96 : A8230077;
-0A97 : AA230077;
-0A98 : AC230077;
-0A99 : AE230077;
-0A9A : 86FA0077;
-0A9B : 1CE387FA;
-0A9C : 8A7DFDE7;
-0A9D : F363428D;
-0A9E : 031302C2;
-0A9F : 7893FFC6;
-0AA0 : 8E93FFC3;
-0AA1 : 8E330047;
-0AA2 : A01101D8;
-0AA3 : A0230E91;
-0AA4 : 87F60076;
-0AA5 : 9BE386F6;
-0AA6 : 8A0DFFCE;
-0AA7 : 00267393;
-0AA8 : 02039063;
-0AA9 : E2118A05;
-0AAA : 80238082;
-0AAB : 808200B7;
-0AAC : 00150793;
-0AAD : 00750023;
-0AAE : B79D167D;
-0AAF : BF5D86BE;
-0AB0 : 00B79023;
-0AB1 : BFF90789;
-0AB2 : 00779023;
-0AB3 : 07891679;
-0AB4 : 0000BFB9;
-0AB5 : 00B567B3;
-0AB6 : 8B8D8FD1;
-0AB7 : 04079463;
-0AB8 : 00C50733;
-0AB9 : 8A7186AA;
-0ABA : 000037B7;
-0ABB : 80678F91;
-0ABC : 0001B1A7;
-0ABD : 061395B2;
-0ABE : 4DDC0200;
-0ABF : 4D9CCEDC;
-0AC0 : 49DCCE9C;
-0AC1 : 499CCADC;
-0AC2 : 45DCCA9C;
-0AC3 : 459CC6DC;
-0AC4 : 41DCC69C;
-0AC5 : 419CC2DC;
-0AC6 : 96B2C29C;
-0AC7 : FCE6ECE3;
-0AC8 : 00018082;
-0AC9 : 00C50733;
-0ACA : 00050693;
-0ACB : 0005C783;
-0ACC : 00F68023;
-0ACD : 06850585;
-0ACE : FEE6EAE3;
-0ACF : 07138082;
-0AD0 : 47E5F9F5;
-0AD1 : 00E7FB63;
-0AD2 : F2050293;
-0AD3 : 67634379;
-0AD4 : 03930053;
-0AD5 : 03630F70;
-0AD6 : 15010075;
-0AD7 : 47038082;
-0AD8 : 47830015;
-0AD9 : 43830005;
-0ADA : 45030025;
-0ADB : 12930035;
-0ADC : E3330087;
-0ADD : 959300F2;
-0ADE : E6330103;
-0ADF : 16930065;
-0AE0 : E5330185;
-0AE1 : 808200C6;
-0AE2 : 00154783;
-0AE3 : 00554683;
-0AE4 : 00054703;
-0AE5 : 00254383;
-0AE6 : 00454E03;
-0AE7 : 00654F83;
-0AE8 : 00354603;
-0AE9 : 00754503;
-0AEA : 00879293;
-0AEB : 00869E93;
-0AEC : 00E2E333;
-0AED : 01039593;
-0AEE : 01CEEF33;
-0AEF : 010F9713;
-0AF0 : 0065E833;
-0AF1 : 01851293;
-0AF2 : 01861893;
-0AF3 : 01E767B3;
-0AF4 : 0108E533;
-0AF5 : 00F2E5B3;
-0AF6 : D7938082;
-0AF7 : D2930085;
-0AF8 : 00230105;
-0AF9 : 81E100B5;
-0AFA : 00F500A3;
-0AFB : 00550123;
-0AFC : 00B501A3;
-0AFD : D7938082;
-0AFE : D2930085;
-0AFF : 53130105;
-0B00 : 53930086;
-0B01 : 00230106;
-0B02 : 022300B5;
-0B03 : 81E100C5;
-0B04 : 00A38261;
-0B05 : 012300F5;
-0B06 : 01A30055;
-0B07 : 02A300B5;
-0B08 : 03230065;
-0B09 : 03A30075;
-0B0A : 808200C5;
-0B0B : 15F94D5C;
-0B0C : FFE78293;
-0B0D : 0055FA63;
-0B0E : 00A55303;
-0B0F : 03B35918;
-0B10 : 853302B3;
-0B11 : 808200E3;
-0B12 : 80824501;
-0B13 : C6061141;
-0B14 : 4114C422;
-0B15 : 171387B2;
-0B16 : D6030176;
-0B17 : 492000A6;
-0B18 : 653381A5;
-0B19 : 468100B7;
-0B1A : 0097D593;
-0B1B : 216030EF;
-0B1C : 82AA0411;
-0B1D : C9194008;
-0B1E : 00A2E663;
-0B1F : 40A282B3;
-0B20 : BFCD0421;
-0B21 : 00442083;
-0B22 : 00128533;
-0B23 : 442240B2;
-0B24 : 80820141;
-0B25 : 01061793;
-0B26 : 0107D293;
-0B27 : 0082D313;
-0B28 : 00C58D23;
-0B29 : 00658DA3;
-0B2A : 00054703;
-0B2B : 1963438D;
-0B2C : 82410077;
-0B2D : 00865513;
-0B2E : 00C58A23;
-0B2F : 00A58AA3;
-0B30 : 87AA8082;
-0B31 : 00B50693;
-0B32 : C6034501;
-0B33 : 57130007;
-0B34 : 051E0015;
-0B35 : 00C702B3;
-0B36 : 83330785;
-0B37 : 751300A2;
-0B38 : 94E30FF3;
-0B39 : 8082FED7;
-0B3A : 00154683;
-0B3B : 470185AA;
-0B3C : 00168293;
-0B3D : 00529313;
-0B3E : 48094501;
-0B3F : 03070563;
-0B40 : 00E587B3;
-0B41 : 0007C383;
-0B42 : 00F51613;
-0B43 : 08B38105;
-0B44 : 8E330076;
-0B45 : 1E9300A8;
-0B46 : D513010E;
-0B47 : 0705010E;
-0B48 : FC676EE3;
-0B49 : 470D8082;
-0B4A : 1141BFDD;
-0B4B : C226C422;
-0B4C : 84AAC606;
-0B4D : D5034401;
-0B4E : 04890004;
-0B4F : 40B2E519;
-0B50 : 44228522;
-0B51 : 01414492;
-0B52 : 3BD58082;
-0B53 : 00F41793;
-0B54 : 82B38005;
-0B55 : 77130087;
-0B56 : 83330FF5;
-0B57 : 139300E2;
-0B58 : 05420103;
-0B59 : 0103D593;
-0B5A : 01055693;
-0B5B : 0015D613;
-0B5C : 0086D813;
-0B5D : 010608B3;
-0B5E : 00F59E13;
-0B5F : 01C88EB3;
-0B60 : 010E9F13;
-0B61 : 010F5413;
-0B62 : 1141B77D;
-0B63 : C422C606;
-0B64 : 84AAC226;
-0B65 : 842E4908;
-0B66 : 03450513;
-0B67 : C40833C9;
-0B68 : 0104A283;
-0B69 : 03828513;
-0B6A : C80833C5;
-0B6B : 489CC84C;
-0B6C : 449240B2;
-0B6D : 0217C303;
-0B6E : 00042E23;
-0B6F : 00237393;
-0B70 : 007403A3;
-0B71 : 01414422;
-0B72 : 41188082;
-0B73 : C30586AA;
-0B74 : 457D87BA;
-0B75 : 03A00593;
-0B76 : 0007C603;
-0B77 : 72630785;
-0B78 : 1BE302C5;
-0B79 : 0293FEB6;
-0B7A : 84630027;
-0B7B : 557D0057;
-0B7C : 43038082;
-0B7D : 03930007;
-0B7E : 557D0300;
-0B7F : FE731AE3;
-0B80 : 4501C29C;
-0B81 : 11418082;
-0B82 : C606C226;
-0B83 : 84AEC422;
-0B84 : 4525E911;
-0B85 : 40B24281;
-0B86 : A0234422;
-0B87 : 44920054;
-0B88 : 80820141;
-0B89 : 842A411C;
-0B8A : C703D7ED;
-0B8B : D3750007;
-0B8C : 00455683;
-0B8D : 0067D083;
-0B8E : FC169DE3;
-0B8F : 0017C503;
-0B90 : FF8FE0EF;
-0B91 : F5718905;
-0B92 : 00042283;
-0B93 : 1101B7E9;
-0B94 : CC22CE06;
-0B95 : 4783CA26;
-0B96 : EB810035;
-0B97 : 40F24481;
-0B98 : 85264462;
-0B99 : 610544D2;
-0B9A : 05938082;
-0B9B : 5D1003C5;
-0B9C : 4503842A;
-0B9D : 46850015;
-0B9E : E0EFC62E;
-0B9F : 84AAB8DF;
-0BA0 : 5C10E905;
-0BA1 : 02842083;
-0BA2 : 01A35018;
-0BA3 : 02B30004;
-0BA4 : F5E34016;
-0BA5 : 4683FCE2;
-0BA6 : 43090024;
-0BA7 : 9FE345B2;
-0BA8 : 4503FA66;
-0BA9 : 46850014;
-0BAA : E0EF963A;
-0BAB : BF45B5DF;
-0BAC : B7754485;
-0BAD : 8B635D1C;
-0BAE : 114102B7;
-0BAF : C226C422;
-0BB0 : 842AC606;
-0BB1 : 376184AE;
-0BB2 : 4503ED09;
-0BB3 : 46850014;
-0BB4 : 05938626;
-0BB5 : E0EF03C4;
-0BB6 : C119A9BF;
-0BB7 : 54FD4505;
-0BB8 : 40B2DC04;
-0BB9 : 44924422;
-0BBA : 80820141;
-0BBB : 80824501;
-0BBC : C4221141;
-0BBD : C226C606;
-0BBE : 01A357FD;
-0BBF : DD1C0005;
-0BC0 : 3F4D842A;
-0BC1 : 17634E11;
-0BC2 : 44831005;
-0BC3 : 408323B4;
-0BC4 : 632D23A4;
-0BC5 : 00849293;
-0BC6 : 0012E4B3;
-0BC7 : A5530393;
-0BC8 : 00749C63;
-0BC9 : 462D659D;
-0BCA : 38458593;
-0BCB : 03C40513;
-0BCC : 308030EF;
-0BCD : CD794E05;
-0BCE : 03C44503;
-0BCF : 0EB00713;
-0BD0 : 00E50963;
-0BD1 : 01850613;
-0BD2 : 0FF67693;
-0BD3 : 6C634805;
-0BD4 : 68AD0AD8;
-0BD5 : A5588E13;
-0BD6 : 01C49C63;
-0BD7 : 46216E9D;
-0BD8 : 390E8593;
-0BD9 : 08E40513;
-0BDA : 2D0030EF;
-0BDB : C15D4E01;
-0BDC : 04844F83;
-0BDD : 04744F03;
-0BDE : 20000293;
-0BDF : 008F9793;
-0BE0 : 01E7E0B3;
-0BE1 : 08509163;
-0BE2 : 04944303;
-0BE3 : 06030D63;
-0BE4 : FFF30393;
-0BE5 : 0063F5B3;
-0BE6 : 4703E5BD;
-0BE7 : 450304B4;
-0BE8 : 161304A4;
-0BE9 : 66B30087;
-0BEA : CEB100A6;
-0BEB : 04C44803;
-0BEC : 08934E05;
-0BED : 6863FFF8;
-0BEE : 4F03051E;
-0BEF : 4E8304E4;
-0BF0 : 1F9304D4;
-0BF1 : E7B3008F;
-0BF2 : CF9501DF;
-0BF3 : 05044283;
-0BF4 : 04F44083;
-0BF5 : 07F00593;
-0BF6 : 00829313;
-0BF7 : 001363B3;
-0BF8 : 0075E963;
-0BF9 : 05C40513;
-0BFA : B77FF0EF;
-0BFB : 6C636741;
-0BFC : 450300E5;
-0BFD : 44030524;
-0BFE : 4E010534;
-0BFF : 00841613;
-0C00 : 00A666B3;
-0C01 : 682DEA81;
-0C02 : A5580893;
-0C03 : 83634E09;
-0C04 : 4E0D0114;
-0C05 : 442240B2;
-0C06 : 85724492;
-0C07 : 80820141;
-0C08 : D6067179;
-0C09 : D226D422;
-0C0A : CE4ED04A;
-0C0B : CA56CC52;
-0C0C : C65EC85A;
-0C0D : 595C15F9;
-0C0E : F0934405;
-0C0F : D9930075;
-0C10 : D49300C5;
-0C11 : 12B30035;
-0C12 : 892A0014;
-0C13 : 8AB68A32;
-0C14 : F49399BE;
-0C15 : F4131FF4;
-0C16 : 4B050FF2;
-0C17 : 20000B93;
-0C18 : 854A85CE;
-0C19 : 35B90985;
-0C1A : 4505CD11;
-0C1B : 542250B2;
-0C1C : 59025492;
-0C1D : 4A6249F2;
-0C1E : 4B424AD2;
-0C1F : 61454BB2;
-0C20 : 84C68082;
-0C21 : 009906B3;
-0C22 : 03C6C303;
-0C23 : 00837733;
-0C24 : 00E033B3;
-0C25 : 03538763;
-0C26 : 00834633;
-0C27 : 02C68E23;
-0C28 : 016901A3;
-0C29 : 03E31A7D;
-0C2A : 1813FC0A;
-0C2B : 74130014;
-0C2C : F8690FF8;
-0C2D : 00148893;
-0C2E : 44814405;
-0C2F : FD7893E3;
-0C30 : 4509B745;
-0C31 : 4705B765;
-0C32 : 1AB77063;
-0C33 : CC221101;
-0C34 : CA26CE06;
-0C35 : C64EC84A;
-0C36 : 87AA4104;
-0C37 : A083842E;
-0C38 : 450501C4;
-0C39 : 0015FE63;
-0C3A : 0004C283;
-0C3B : 8B63468D;
-0C3C : EE6310D2;
-0C3D : 8F630056;
-0C3E : 488906A2;
-0C3F : 0D128C63;
-0C40 : 446240F2;
-0C41 : 494244D2;
-0C42 : 610549B2;
-0C43 : 48918082;
-0C44 : FF1298E3;
-0C45 : 0107A903;
-0C46 : 0147A983;
-0C47 : 0077CE83;
-0C48 : 01396E33;
-0C49 : 000E0663;
-0C4A : 0087AF03;
-0C4B : 000F1563;
-0C4C : 97E34505;
-0C4D : AF83FC0E;
-0C4E : 47090087;
-0C4F : 00A4D283;
-0C50 : 41F400B3;
-0C51 : 0EEE9363;
-0C52 : 00193893;
-0C53 : FFF90813;
-0C54 : 41198933;
-0C55 : 01791993;
-0C56 : 00985E13;
-0C57 : 01C9EEB3;
-0C58 : 025EDF33;
-0C59 : 6DE34505;
-0C5A : 8163F81F;
-0C5B : 051311E0;
-0C5C : B7790014;
-0C5D : 0015D093;
-0C5E : 89335498;
-0C5F : 529300B0;
-0C60 : 85B30099;
-0C61 : 852600E2;
-0C62 : C1193335;
-0C63 : BF8D557D;
-0C64 : 0993549C;
-0C65 : 76930019;
-0C66 : 85B31FF9;
-0C67 : D31300D4;
-0C68 : C9030099;
-0C69 : 852603C5;
-0C6A : 00F305B3;
-0C6B : FD793321;
-0C6C : 1FF9F513;
-0C6D : C38394AA;
-0C6E : 880503C4;
-0C6F : 00839613;
-0C70 : 01266833;
-0C71 : 5513C401;
-0C72 : BF1D0048;
-0C73 : 55130852;
-0C74 : B73D0148;
-0C75 : 0284AE83;
-0C76 : 0085DE13;
-0C77 : 05B38526;
-0C78 : 39C901DE;
-0C79 : 1F13F545;
-0C7A : 7F930014;
-0C7B : 80B31FEF;
-0C7C : C70301F4;
-0C7D : C28303D0;
-0C7E : 199303C0;
-0C7F : E5330087;
-0C80 : BDFD0059;
-0C81 : 0284A303;
-0C82 : 8526819D;
-0C83 : 315D959A;
-0C84 : 1393FD35;
-0C85 : F6130024;
-0C86 : 85131FC3;
-0C87 : 953203C4;
-0C88 : 93FFF0EF;
-0C89 : 00451813;
-0C8A : 468DBF79;
-0C8B : 00DE9563;
-0C8C : EEE34F8C;
-0C8D : 4FDCF2B0;
-0C8E : 5488EB95;
-0C8F : 00745313;
-0C90 : 00A305B3;
-0C91 : F0EF8526;
-0C92 : F129C6FF;
-0C93 : 7393040A;
-0C94 : 84931FC4;
-0C95 : 853303C4;
-0C96 : F0EF0074;
-0C97 : 1613905F;
-0C98 : 55130015;
-0C99 : BD690016;
-0C9A : 80824505;
-0C9B : 80000FB7;
-0C9C : FFFFC513;
-0C9D : 7179B571;
-0C9E : D04AD226;
-0C9F : D422D606;
-0CA0 : CC52CE4E;
-0CA1 : 4100CA56;
-0CA2 : 892A4711;
-0CA3 : 00044683;
-0CA4 : 07B784AE;
-0CA5 : 94630020;
-0CA6 : 07B700E6;
-0CA7 : EC631000;
-0CA8 : 450900F4;
-0CA9 : 542250B2;
-0CAA : 59025492;
-0CAB : 4A6249F2;
-0CAC : 61454AD2;
-0CAD : F0938082;
-0CAE : 94E301F4;
-0CAF : 2583FE00;
-0CB0 : 28230089;
-0CB1 : E5B50299;
-0CB2 : 00044303;
-0CB3 : EE634389;
-0CB4 : 58030263;
-0CB5 : D6130084;
-0CB6 : 74E30054;
-0CB7 : 5448FD06;
-0CB8 : 02A92C23;
-0CB9 : 02B92A23;
-0CBA : D893DD4D;
-0CBB : 0E930094;
-0CBC : FF1303C4;
-0CBD : 8E331FF4;
-0CBE : 8FB300A8;
-0CBF : 2C2301EE;
-0CC0 : 2E2303C9;
-0CC1 : 450103F9;
-0CC2 : 2283BF71;
-0CC3 : 03A302C4;
-0CC4 : 80E30009;
-0CC5 : 5983FC02;
-0CC6 : 859600A4;
-0CC7 : 09A65A7D;
-0CC8 : FA634A85;
-0CC9 : 85220134;
-0CCA : F0EFC62E;
-0CCB : 45B2903F;
-0CCC : 82AEBF45;
-0CCD : 854AB7CD;
-0CCE : 85AA3379;
-0CCF : 01450A63;
-0CD0 : F6AAF1E3;
-0CD1 : FEE34C48;
-0CD2 : 84B3F4A5;
-0CD3 : BFD14134;
-0CD4 : BF894505;
-0CD5 : CA261101;
-0CD6 : CE06C452;
-0CD7 : C84ACC22;
-0CD8 : C256C64E;
-0CD9 : 4A05C05A;
-0CDA : 72634489;
-0CDB : 4D5C02BA;
-0CDC : 892E89AA;
-0CDD : FC634489;
-0CDE : 408300F5;
-0CDF : 47090005;
-0CE0 : 85638432;
-0CE1 : 6F6312E0;
-0CE2 : 8D630017;
-0CE3 : 40F20740;
-0CE4 : 49424462;
-0CE5 : 4A2249B2;
-0CE6 : 4B024A92;
-0CE7 : 44D28526;
-0CE8 : 80826105;
-0CE9 : FFD08493;
-0CEA : 0FF4F893;
-0CEB : 60E34489;
-0CEC : 2B03FF1A;
-0CED : DA930285;
-0CEE : 85B30075;
-0CEF : F0EF016A;
-0CF0 : 84AAAF7F;
-0CF1 : CF83F569;
-0CF2 : 1E930009;
-0CF3 : 8E130029;
-0CF4 : FF1303C9;
-0CF5 : 49111FCE;
-0CF6 : 01EE0A33;
-0CF7 : 012F8F63;
-0CF8 : F0EF8552;
-0CF9 : 1793F7CF;
-0CFA : 05B70044;
-0CFB : D713F000;
-0CFC : 72B30047;
-0CFD : 643300B5;
-0CFE : 85A20057;
-0CFF : F0EF8552;
-0D00 : A079FDCF;
-0D01 : 0015D093;
-0D02 : 02852383;
-0D03 : 00B08A33;
-0D04 : 009A5313;
-0D05 : 007305B3;
-0D06 : A9DFF0EF;
-0D07 : F92584AA;
-0D08 : 03C98A93;
-0D09 : 1FFA7513;
-0D0A : 00197913;
-0D0B : 001A0B13;
-0D0C : 00AA8633;
-0D0D : 0FF47F93;
-0D0E : 02090163;
-0D0F : 00064683;
-0D10 : 18936485;
-0D11 : 8E130044;
-0D12 : F813FF04;
-0D13 : FEB300F6;
-0D14 : 6F3301C8;
-0D15 : 7F9301D8;
-0D16 : 00230FFF;
-0D17 : A58301F6;
-0D18 : 47850289;
-0D19 : 009B5713;
-0D1A : 00F981A3;
-0D1B : 854E95BA;
-0D1C : A45FF0EF;
-0D1D : FD0184AA;
-0D1E : 1FFB7293;
-0D1F : 005A80B3;
-0D20 : 00090C63;
-0D21 : 00445B13;
-0D22 : 0FFB7A93;
-0D23 : 01508023;
-0D24 : 81A34505;
-0D25 : BDE500A9;
-0D26 : 0000CA03;
-0D27 : 73938021;
-0D28 : 731300F4;
-0D29 : 6AB3FF0A;
-0D2A : B7CD0073;
-0D2B : 02852283;
-0D2C : 959681A1;
-0D2D : A01FF0EF;
-0D2E : 1AE384AA;
-0D2F : 1393EC05;
-0D30 : 83130019;
-0D31 : F51303C9;
-0D32 : 06331FE3;
-0D33 : 002300A3;
-0D34 : 04420086;
-0D35 : 01045693;
-0D36 : 0086D813;
-0D37 : 010600A3;
-0D38 : 014981A3;
-0D39 : 1101B56D;
-0D3A : CA26CC22;
-0D3B : C64EC84A;
-0D3C : 842ACE06;
-0D3D : 893284AE;
-0D3E : 4C5C4985;
-0D3F : 4501EB89;
-0D40 : 446240F2;
-0D41 : 494244D2;
-0D42 : 610549B2;
-0D43 : 87338082;
-0D44 : 400840F4;
-0D45 : 00170593;
-0D46 : 8463864A;
-0D47 : 06130137;
-0D48 : 3D0D0027;
-0D49 : 2083FD71;
-0D4A : 829301C4;
-0D4B : 2E23FFF0;
-0D4C : B7E10054;
-0D4D : D6067179;
-0D4E : D226D422;
-0D4F : CE4ED04A;
-0D50 : CA56CC52;
-0D51 : C65EC85A;
-0D52 : 4785C462;
-0D53 : EF634104;
-0D54 : 450900B7;
-0D55 : 542250B2;
-0D56 : 59025492;
-0D57 : 4A6249F2;
-0D58 : 4B424AD2;
-0D59 : 4C224BB2;
-0D5A : 80826145;
-0D5B : 01C4A083;
-0D5C : F0E3842E;
-0D5D : 892AFE15;
-0D5E : E61D89B2;
-0D5F : 4B058C22;
-0D60 : 4A915BFD;
-0D61 : 854A85A2;
-0D62 : B3FFF0EF;
-0D63 : 842A8A22;
-0D64 : C483ED05;
-0D65 : 47910004;
-0D66 : 00F49663;
-0D67 : 08099D63;
-0D68 : 000903A3;
-0D69 : B77D4501;
-0D6A : 0004C703;
-0D6B : 17634291;
-0D6C : 43030057;
-0D6D : 43890075;
-0D6E : FC7302E3;
-0D6F : 85CE567D;
-0D70 : 3B498526;
-0D71 : B779DD45;
-0D72 : F96505E3;
-0D73 : 01751463;
-0D74 : B7494505;
-0D75 : 0004C503;
-0D76 : 03551E63;
-0D77 : 4C8C4CD0;
-0D78 : FFE60693;
-0D79 : 02D5EE63;
-0D7A : 001A0E93;
-0D7B : 008E8F63;
-0D7C : 0004CF03;
-0D7D : 015F1A63;
-0D7E : 86334681;
-0D7F : 85E2418E;
-0D80 : F0EF8526;
-0D81 : F539A1FF;
-0D82 : AF838C22;
-0D83 : 6BE301C4;
-0D84 : B741F7F4;
-0D85 : 85D24601;
-0D86 : 3B2D8526;
-0D87 : BF1DD161;
-0D88 : 0044C883;
-0D89 : 00158813;
-0D8A : 0104AC23;
-0D8B : 0018EE13;
-0D8C : 01C48223;
-0D8D : 4083BF55;
-0D8E : 98630079;
-0D8F : 2A830200;
-0D90 : 4B050089;
-0D91 : 96635BFD;
-0D92 : 4989013A;
-0D93 : 013903A3;
-0D94 : 85D6BF91;
-0D95 : F0EF854A;
-0D96 : 7CE3A71F;
-0D97 : 09E3EEAB;
-0D98 : 0A85F775;
-0D99 : FEAA81E3;
-0D9A : 470DBF35;
-0D9B : F2E09CE3;
-0D9C : 00892283;
-0D9D : F259E8E3;
-0D9E : 01892303;
-0D9F : 006283B3;
-0DA0 : F333E2E3;
-0DA1 : 4703B7D9;
-0DA2 : 478D0075;
-0DA3 : 02F71F63;
-0DA4 : C4221141;
-0DA5 : C226C606;
-0DA6 : 450CC04A;
-0DA7 : 842A4D04;
-0DA8 : 9B6394AE;
-0DA9 : 03A30095;
-0DAA : 45010004;
-0DAB : 442240B2;
-0DAC : 49024492;
-0DAD : 80820141;
-0DAE : 89134008;
-0DAF : 864A0015;
-0DB0 : C95FF0EF;
-0DB1 : 85CAF565;
-0DB2 : 4501BFE9;
-0DB3 : 71798082;
-0DB4 : D606D422;
-0DB5 : D04AD226;
-0DB6 : 842ACE4E;
-0DB7 : F0EFC62E;
-0DB8 : ED21F70F;
-0DB9 : 852245B2;
-0DBA : 03C40993;
-0DBB : D40FF0EF;
-0DBC : 892ADC08;
-0DBD : 20000613;
-0DBE : 854E4581;
-0DBF : B06FF0EF;
-0DC0 : 57834481;
-0DC1 : EF6300A4;
-0DC2 : 550300F4;
-0DC3 : 00B300A4;
-0DC4 : 35334095;
-0DC5 : 50B20010;
-0DC6 : 54925422;
-0DC7 : 49F25902;
-0DC8 : 80826145;
-0DC9 : 00144503;
-0DCA : 06334685;
-0DCB : 85CE0099;
-0DCC : AD6FE0EF;
-0DCD : 0485F979;
-0DCE : 4505B7E9;
-0DCF : 1141BFE9;
-0DD0 : C606C422;
-0DD1 : 842AC226;
-0DD2 : F06FF0EF;
-0DD3 : 4703E155;
-0DD4 : 478D0004;
-0DD5 : 08F71663;
-0DD6 : 00444083;
-0DD7 : 91634285;
-0DD8 : 04930850;
-0DD9 : 061303C4;
-0DDA : 45812000;
-0DDB : F0EF8526;
-0DDC : 0593A94F;
-0DDD : 0F230610;
-0DDE : 01A302B4;
-0DDF : 4C0C22B4;
-0DE0 : 04100613;
-0DE1 : 07200693;
-0DE2 : 05500313;
-0DE3 : FAA00393;
-0DE4 : 05200513;
-0DE5 : 22640D23;
-0DE6 : 22740DA3;
-0DE7 : 02C40FA3;
-0DE8 : 22D40023;
-0DE9 : 22D400A3;
-0DEA : 22C40123;
-0DEB : 02A40E23;
-0DEC : 02A40EA3;
-0DED : 22440513;
-0DEE : C22FF0EF;
-0DEF : 0513484C;
-0DF0 : F0EF2284;
-0DF1 : 2803C18F;
-0DF2 : 45030244;
-0DF3 : 46850014;
-0DF4 : 00180613;
-0DF5 : 85A6DC10;
-0DF6 : A2EFE0EF;
-0DF7 : 00040223;
-0DF8 : 00144503;
-0DF9 : 45814601;
-0DFA : 900FE0EF;
-0DFB : 00A03533;
-0DFC : 442240B2;
-0DFD : 01414492;
-0DFE : 71798082;
-0DFF : CE4ED226;
-0E00 : D606CC52;
-0E01 : D04AD422;
-0E02 : A023CA56;
-0E03 : 89AE0005;
-0E04 : F0EF8A32;
-0E05 : 44ADDB8F;
-0E06 : 04054263;
-0E07 : 00251713;
-0E08 : 13400093;
-0E09 : 00E082B3;
-0E0A : 0002A403;
-0E0B : 44B1892A;
-0E0C : A023C415;
-0E0D : 43030089;
-0E0E : 74930004;
-0E0F : 09630FEA;
-0E10 : 45030203;
-0E11 : D0EF0014;
-0E12 : 7393DF3F;
-0E13 : 91630015;
-0E14 : C4890203;
-0E15 : 00457493;
-0E16 : 44A9C091;
-0E17 : 542250B2;
-0E18 : 49F25902;
-0E19 : 4AD24A62;
-0E1A : 54928526;
-0E1B : 80826145;
-0E1C : 0FF97513;
-0E1D : 00040023;
-0E1E : 00A400A3;
-0E1F : 830FE0EF;
-0E20 : 00157593;
-0E21 : 42059663;
-0E22 : 8911C099;
-0E23 : 4581F579;
-0E24 : F0EF8522;
-0E25 : 4489E5EF;
-0E26 : 04951363;
-0E27 : 0A13848A;
-0E28 : 0A932024;
-0E29 : 89A62424;
-0E2A : F0EF8552;
-0E2B : A023AB4F;
-0E2C : 0A4100A9;
-0E2D : 19E30991;
-0E2E : 8913FF5A;
-0E2F : 4A850104;
-0E30 : 450D408C;
-0E31 : 8522C591;
-0E32 : E28FF0EF;
-0E33 : 02AAF363;
-0E34 : 97E30491;
-0E35 : 4411FF24;
-0E36 : 00851B63;
-0E37 : 4611A021;
-0E38 : 00C51463;
-0E39 : BF9D4485;
-0E3A : F4634685;
-0E3B : 44B500A6;
-0E3C : 4805B7B5;
-0E3D : 03842983;
-0E3E : 1B051D63;
-0E3F : 04740E13;
-0E40 : 07C40513;
-0E41 : 000E4903;
-0E42 : FE0913E3;
-0E43 : 1BE30E05;
-0E44 : 4E83FFC5;
-0E45 : 4A030A54;
-0E46 : 0F930A44;
-0E47 : 9F131000;
-0E48 : 64B3008E;
-0E49 : 94E3014F;
-0E4A : 4703FDF4;
-0E4B : 40A50A84;
-0E4C : FA171FE3;
-0E4D : 08440513;
-0E4E : A50FF0EF;
-0E4F : 00A98A33;
-0E50 : 013A3AB3;
-0E51 : 00BA87B3;
-0E52 : 0513F3DD;
-0E53 : F0EF0904;
-0E54 : 4283A10F;
-0E55 : D0080AA4;
-0E56 : 01234305;
-0E57 : 98E30054;
-0E58 : 4383F862;
-0E59 : 9AB30A94;
-0E5A : 95930072;
-0E5B : D613010A;
-0E5C : 15230105;
-0E5D : DE2500C4;
-0E5E : 09840513;
-0E5F : 9E2FF0EF;
-0E60 : 800006B7;
-0E61 : FFD6C813;
-0E62 : 62E3892A;
-0E63 : 0893F6A8;
-0E64 : 2E230025;
-0E65 : 22230114;
-0E66 : 05130334;
-0E67 : F0EF0944;
-0E68 : 04B39C0F;
-0E69 : D8040135;
-0E6A : 08C40513;
-0E6B : 9B2FF0EF;
-0E6C : 9993954E;
-0E6D : DE13010A;
-0E6E : 0EB30109;
-0E6F : D408032E;
-0E70 : 009E8F33;
-0E71 : F3DF65E3;
-0E72 : F3EA63E3;
-0E73 : 09C40513;
-0E74 : 98EFF0EF;
-0E75 : 4901D448;
-0E76 : 0A134A81;
-0E77 : 12630810;
-0E78 : 5F830209;
-0E79 : F4E300A4;
-0E7A : 544CF1FA;
-0E7B : F0EF8522;
-0E7C : 05B3A3EF;
-0E7D : 85220155;
-0E7E : CBCFF0EF;
-0E7F : EE0514E3;
-0E80 : 07330A85;
-0E81 : 40830124;
-0E82 : 876303C7;
-0E83 : 07930140;
-0E84 : F9130209;
-0E85 : B7E11FF7;
-0E86 : 01490293;
-0E87 : 03C40993;
-0E88 : 00598533;
-0E89 : 93AFF0EF;
-0E8A : 84AA4305;
-0E8B : ECA371E3;
-0E8C : 01C42383;
-0E8D : EA757DE3;
-0E8E : 00A45583;
-0E8F : FFE50613;
-0E90 : 03042803;
-0E91 : 02C586B3;
-0E92 : 88B35A7D;
-0E93 : 2A230106;
-0E94 : 2E030314;
-0E95 : D5130284;
-0E96 : 05B30074;
-0E97 : 852201C5;
-0E98 : C54FF0EF;
-0E99 : E80510E3;
-0E9A : 07F4FE93;
-0E9B : 002E9F13;
-0E9C : 01E98533;
-0E9D : 8EAFF0EF;
-0E9E : 01450663;
-0E9F : 0AE30485;
-0EA0 : B5B5FC95;
-0EA1 : 01442C23;
-0EA2 : 01442A23;
-0EA3 : 0A934911;
-0EA4 : D7031380;
-0EA5 : 0023000A;
-0EA6 : 44810124;
-0EA7 : 00170093;
-0EA8 : 01009793;
-0EA9 : 0107D913;
-0EAA : 012A9023;
-0EAB : 01241323;
-0EAC : 4E03B375;
-0EAD : 48830484;
-0EAE : 0F930474;
-0EAF : 1E932000;
-0EB0 : EF33008E;
-0EB1 : 14E3011E;
-0EB2 : 4783E3FF;
-0EB3 : 47030534;
-0EB4 : 90930524;
-0EB5 : EA330087;
-0EB6 : 176300E0;
-0EB7 : 0513000A;
-0EB8 : F0EF0604;
-0EB9 : 8A2A87CF;
-0EBA : 04C44483;
-0EBB : 03442023;
-0EBC : 82934385;
-0EBD : 0123FFF4;
-0EBE : F3130094;
-0EBF : E8E30FF2;
-0EC0 : 4903DE63;
-0EC1 : 15930494;
-0EC2 : D5130109;
-0EC3 : 15230105;
-0EC4 : 0EE300A4;
-0EC5 : 0A93DC05;
-0EC6 : F633FFF9;
-0EC7 : 18E3012A;
-0EC8 : 4803DC06;
-0EC9 : 468304E4;
-0ECA : 189304D4;
-0ECB : EAB30088;
-0ECC : 142300D8;
-0ECD : FE130154;
-0ECE : 1AE300FA;
-0ECF : 4F03DA0E;
-0ED0 : 4E830504;
-0ED1 : 1F9304F4;
-0ED2 : E533008F;
-0ED3 : E50901DF;
-0ED4 : 05C40513;
-0ED5 : 80AFF0EF;
-0ED6 : 04B44783;
-0ED7 : 04A44703;
-0ED8 : 00879093;
-0ED9 : 00E0E2B3;
-0EDA : D80283E3;
-0EDB : 03448333;
-0EDC : 004AD493;
-0EDD : 005483B3;
-0EDE : 006385B3;
-0EDF : D6B569E3;
-0EE0 : 56338D0D;
-0EE1 : 64E30325;
-0EE2 : 0937D725;
-0EE3 : 06931000;
-0EE4 : EEE3FF59;
-0EE5 : 6841D4C6;
-0EE6 : FF580893;
-0EE7 : 10C8FC63;
-0EE8 : 0493490D;
-0EE9 : 8FB30026;
-0EEA : 87330132;
-0EEB : CC4400B9;
-0EEC : 03342223;
-0EED : 03F42423;
-0EEE : 408DD818;
-0EEF : 0C191763;
-0EF0 : 06744503;
-0EF1 : 06644583;
-0EF2 : 00851613;
-0EF3 : 00B666B3;
-0EF4 : 00DAE833;
-0EF5 : D0081DE3;
-0EF6 : 06840513;
-0EF7 : F83FE0EF;
-0EF8 : 00249393;
-0EF9 : 1FF38893;
-0EFA : DE13D448;
-0EFB : 60E30098;
-0EFC : 5A7DD1CA;
-0EFD : F8000E93;
-0EFE : 01442C23;
-0EFF : 01442A23;
-0F00 : 01D40223;
-0F01 : 14E34F0D;
-0F02 : 4F83E9E9;
-0F03 : 448306D4;
-0F04 : 4A8506C4;
-0F05 : 008F9713;
-0F06 : 009760B3;
-0F07 : E75099E3;
-0F08 : 00198593;
-0F09 : F0EF8522;
-0F0A : 12E3A8EF;
-0F0B : 4783E605;
-0F0C : 498323B4;
-0F0D : 63AD23A4;
-0F0E : 00879293;
-0F0F : 00040223;
-0F10 : 0132E333;
-0F11 : A5538593;
-0F12 : E4B313E3;
-0F13 : 03C40513;
-0F14 : F0FFE0EF;
-0F15 : 41615637;
-0F16 : 25260693;
-0F17 : E2D519E3;
-0F18 : 22040513;
-0F19 : EFBFE0EF;
-0F1A : 61417837;
-0F1B : 27280893;
-0F1C : E1151FE3;
-0F1D : 22440513;
-0F1E : EE7FE0EF;
-0F1F : 0513CC08;
-0F20 : E0EF2284;
-0F21 : C848EDDF;
-0F22 : 82E3B519;
-0F23 : 4A89C60A;
-0F24 : 006F8533;
-0F25 : 01591563;
-0F26 : 00149393;
-0F27 : 87B3B7A1;
-0F28 : F3130214;
-0F29 : D2930014;
-0F2A : 83B30017;
-0F2B : BF1D0062;
-0F2C : B66D448D;
-0F2D : 0E936E05;
-0F2E : BF33FF5E;
-0F2F : 091300CE;
-0F30 : B5C5001F;
-0F31 : D04A7179;
-0F32 : D606CC52;
-0F33 : D226D422;
-0F34 : CA56CE4E;
-0F35 : C65EC85A;
-0F36 : 4104C462;
-0F37 : 8A2E892A;
-0F38 : A983E999;
-0F39 : 86630144;
-0F3A : A3030009;
-0F3B : E06301C4;
-0F3C : 49850469;
-0F3D : F0EFA82D;
-0F3E : 4785BD0F;
-0F3F : E063842A;
-0F40 : 440502A7;
-0F41 : 852250B2;
-0F42 : 54925422;
-0F43 : 49F25902;
-0F44 : 4AD24A62;
-0F45 : 4BB24B42;
-0F46 : 61454C22;
-0F47 : 50FD8082;
-0F48 : 1E150063;
-0F49 : 01C4A283;
-0F4A : 6DE389D2;
-0F4B : A383FC55;
-0F4C : 94630184;
-0F4D : 44010003;
-0F4E : C683B7F1;
-0F4F : 47110004;
-0F50 : 9C634CC8;
-0F51 : 8A9312E6;
-0F52 : 0B93FFE9;
-0F53 : E363FFE5;
-0F54 : 4A81017A;
-0F55 : 4B058456;
-0F56 : 1FF00C13;
-0F57 : 0344AE83;
-0F58 : 00C45E13;
-0F59 : 05B38526;
-0F5A : F0EF01DE;
-0F5B : E54194AF;
-0F5C : 01C4A083;
-0F5D : 00747F93;
-0F5E : 00345F13;
-0F5F : 01FB17B3;
-0F60 : 1FFF7713;
-0F61 : 0FF7F613;
-0F62 : FFE08693;
-0F63 : 00E48333;
-0F64 : 03C34383;
-0F65 : 040582A2;
-0F66 : 00767533;
-0F67 : 04D47063;
-0F68 : 00161593;
-0F69 : 0FF5F613;
-0F6A : 8413ED1D;
-0F6B : 08130022;
-0F6C : 58F5FFF4;
-0F6D : F508E8E3;
-0F6E : 46054685;
-0F6F : 852685A2;
-0F70 : A60FF0EF;
-0F71 : 0EE34B89;
-0F72 : 4E05F375;
-0F73 : 13C50A63;
-0F74 : 020A1763;
-0F75 : 017903A3;
-0F76 : A8BDF50D;
-0F77 : 44014601;
-0F78 : 20000713;
-0F79 : 8A63B7D1;
-0F7A : F24D008A;
-0F7B : 67E30705;
-0F7C : 4605F6EC;
-0F7D : 547DBF61;
-0F7E : 4401BF5D;
-0F7F : 4E83BF4D;
-0F80 : 9F630079;
-0F81 : 8F13017E;
-0F82 : 07E30019;
-0F83 : 2F83FC8F;
-0F84 : 478D0089;
-0F85 : 00F903A3;
-0F86 : 41F989B3;
-0F87 : 01392C23;
-0F88 : 001A0293;
-0F89 : 01C92083;
-0F8A : 00829A63;
-0F8B : 84634589;
-0F8C : 85930000;
-0F8D : 2E230010;
-0F8E : BF7900B9;
-0F8F : 00009563;
-0F90 : 2E234305;
-0F91 : 86220069;
-0F92 : 854A85D2;
-0F93 : E9AFF0EF;
-0F94 : 4385E54D;
-0F95 : 00792E23;
-0F96 : 4C884CD0;
-0F97 : 0713C8C0;
-0F98 : 6563FFE6;
-0F99 : 069300A7;
-0F9A : CC94FFF5;
-0F9B : 0044C903;
-0F9C : 00196A13;
-0F9D : 01448223;
-0F9E : 9A63B571;
-0F9F : 84130349;
-0FA0 : 63630019;
-0FA1 : 440900A4;
-0FA2 : 854A85A2;
-0FA3 : A3AFF0EF;
-0FA4 : 08E34585;
-0FA5 : 567DE6B5;
-0FA6 : 06C50463;
-0FA7 : 48C0C121;
-0FA8 : 0085F763;
-0FA9 : 01C4A803;
-0FAA : 01047363;
-0FAB : 844E89A2;
-0FAC : 5B7D4A85;
-0FAD : 01C4A883;
-0FAE : 65630405;
-0FAF : 8CE30114;
-0FB0 : 4409E759;
-0FB1 : 854A85A2;
-0FB2 : 9FEFF0EF;
-0FB3 : 0AE3C909;
-0FB4 : 0763E355;
-0FB5 : 1FE30365;
-0FB6 : BDB1FD34;
-0FB7 : 567DD869;
-0FB8 : 852685A2;
-0FB9 : C70FF0EF;
-0FBA : 07E3E909;
-0FBB : 8622F60A;
-0FBC : 852685D2;
-0FBD : C60FF0EF;
-0FBE : 4485D125;
-0FBF : E09513E3;
-0FC0 : B509547D;
-0FC1 : D4227179;
-0FC2 : D606CC52;
-0FC3 : D04AD226;
-0FC4 : CA56CE4E;
-0FC5 : 29034104;
-0FC6 : 47110305;
-0FC7 : 0004C683;
-0FC8 : 8A2E842A;
-0FC9 : 02090913;
-0FCA : 002007B7;
-0FCB : 00E69463;
-0FCC : 100007B7;
-0FCD : 00F96463;
-0FCE : 02042C23;
-0FCF : 03842083;
-0FD0 : 85634511;
-0FD1 : 79930200;
-0FD2 : 94631FF9;
-0FD3 : 584C0A09;
-0FD4 : 00108293;
-0FD5 : 02542C23;
-0FD6 : DF83E19D;
-0FD7 : 5F130084;
-0FD8 : 68630059;
-0FD9 : 2C2309FF;
-0FDA : 45110204;
-0FDB : 542250B2;
-0FDC : 59025492;
-0FDD : 4A6249F2;
-0FDE : 61454AD2;
-0FDF : D3038082;
-0FE0 : 551300A4;
-0FE1 : 03930099;
-0FE2 : F633FFF3;
-0FE3 : E23500A3;
-0FE4 : F0EF8522;
-0FE5 : 4A85934F;
-0FE6 : E46385AA;
-0FE7 : 450900AA;
-0FE8 : 587DB7F1;
-0FE9 : 01051463;
-0FEA : B7C94505;
-0FEB : 01C4A883;
-0FEC : 03156C63;
-0FED : FA0A09E3;
-0FEE : 8522584C;
-0FEF : D09FF0EF;
-0FF0 : 451D85AA;
-0FF1 : 8CE3D5C5;
-0FF2 : 5E7DFD55;
-0FF3 : FDC58EE3;
-0FF4 : C62E8526;
-0FF5 : EFAFF0EF;
-0FF6 : 4583F961;
-0FF7 : EE930074;
-0FF8 : 45B20045;
-0FF9 : 01D403A3;
-0FFA : 8526D84C;
-0FFB : C41FE0EF;
-0FFC : 8A13DC08;
-0FFD : 04B303C4;
-0FFE : 2823013A;
-0FFF : DC440324;
-1000 : B7AD4501;
-1001 : CC221101;
-1002 : CE06C84A;
-1003 : C64ECA26;
-1004 : C256C452;
-1005 : 4581892E;
-1006 : 00052983;
-1007 : F0EF842A;
-1008 : ED05A58F;
-1009 : 4A114481;
-100A : 0E500A93;
-100B : 854E5C0C;
-100C : E85FE0EF;
-100D : 5C5CE11D;
-100E : 0009C703;
-100F : 0007C083;
-1010 : 03471263;
-1011 : 01809293;
-1012 : 4182D313;
-1013 : 02035063;
-1014 : 45854481;
-1015 : 357D8522;
-1016 : 4391D971;
-1017 : 00751B63;
-1018 : A801451D;
-1019 : 01508463;
-101A : FE0094E3;
-101B : 92E30485;
-101C : 40F2FF24;
-101D : 44D24462;
-101E : 49B24942;
-101F : 4A924A22;
-1020 : 80826105;
-1021 : CC221101;
-1022 : CE06CA26;
-1023 : C64EC84A;
-1024 : C256C452;
-1025 : 84AAC05A;
-1026 : 41085C8C;
-1027 : 01052903;
-1028 : E15FE0EF;
-1029 : E909842A;
-102A : 07935CCC;
-102B : C7030850;
-102C : 0E630005;
-102D : 440900F7;
-102E : 852240F2;
-102F : 44D24462;
-1030 : 49B24942;
-1031 : 4A924A22;
-1032 : 61054B02;
-1033 : 06138082;
-1034 : 854A0200;
-1035 : A01FE0EF;
-1036 : 00194983;
-1037 : 20000393;
-1038 : 00198293;
-1039 : 00529993;
-103A : FA098313;
-103B : FC63E5E3;
-103C : 85264581;
-103D : 45913D01;
-103E : 0EE3842A;
-103F : FD4DFAB5;
-1040 : 40885C8C;
-1041 : DB1FE0EF;
-1042 : F55D842A;
-1043 : 04135CCC;
-1044 : C0830C00;
-1045 : 90E30005;
-1046 : 0613FA80;
-1047 : 05130200;
-1048 : E0EF0209;
-1049 : 45039B3F;
-104A : 46BD0239;
-104B : 02C50613;
-104C : 02D65833;
-104D : 00581893;
-104E : F719EFE3;
-104F : 04000A13;
-1050 : 0B134A91;
-1051 : 45810C10;
-1052 : F0EF8526;
-1053 : 842ADBBF;
-1054 : F75503E3;
-1055 : 5C8CF135;
-1056 : E0EF4088;
-1057 : 842AD5BF;
-1058 : 5CCCFD21;
-1059 : 0005CE03;
-105A : F56E17E3;
-105B : 01490533;
-105C : 02000613;
-105D : 020A0A13;
-105E : 95DFE0EF;
-105F : FD3A65E3;
-1060 : 26000E93;
-1061 : F34EEAE3;
-1062 : E0EF854A;
-1063 : 4F83B5FF;
-1064 : 4F030039;
-1065 : 94930029;
-1066 : E933008F;
-1067 : 073301E4;
-1068 : 37B340A9;
-1069 : 941300E0;
-106A : B7390017;
-106B : D4227179;
-106C : CE4ED226;
-106D : CA56CC52;
-106E : C65EC85A;
-106F : C266C462;
-1070 : D04AD606;
-1071 : 00052903;
-1072 : 6B416A9D;
-1073 : 89AE84AA;
-1074 : 0FF00A13;
-1075 : 0FF00C93;
-1076 : 4C114411;
-1077 : 8A934BB5;
-1078 : 1B7D3A9A;
-1079 : E1995C8C;
-107A : A29DC41D;
-107B : E0EF854A;
-107C : 842ACC7F;
-107D : 14051E63;
-107E : 47035CC8;
-107F : 0D630005;
-1080 : 47831607;
-1081 : 9D630009;
-1082 : 85630587;
-1083 : 08130209;
-1084 : 18630830;
-1085 : 44011307;
-1086 : 852250B2;
-1087 : 54925422;
-1088 : 49F25902;
-1089 : 4AD24A62;
-108A : 4BB24B42;
-108B : 4C924C22;
-108C : 80826145;
-108D : 08500593;
-108E : 10B71563;
-108F : 0304A983;
-1090 : A6238526;
-1091 : 3D3D0534;
-1092 : 1363842A;
-1093 : 29031005;
-1094 : 4A030109;
-1095 : 7A930049;
-1096 : 832303FA;
-1097 : BF6D0154;
-1098 : 00B54083;
-1099 : 0E500693;
-109A : 03F0F293;
-109B : 00548323;
-109C : 0ED70563;
-109D : 02E00313;
-109E : 0E670163;
-109F : FDF2F393;
-10A0 : FF838593;
-10A1 : 0015B613;
-10A2 : 0D361963;
-10A3 : 9C63483D;
-10A4 : 74130D02;
-10A5 : C0610407;
-10A6 : 0304A883;
-10A7 : 00D54A03;
-10A8 : 0BF77713;
-10A9 : 0514A623;
-10AA : 00D54E03;
-10AB : 0FF00C93;
-10AC : 094E1963;
-10AD : 01B54F03;
-10AE : 01A54E83;
-10AF : 008F1F93;
-10B0 : 01DFE7B3;
-10B1 : 4C83EFBD;
-10B2 : 20830005;
-10B3 : 458500C9;
-10B4 : FBFCF293;
-10B5 : FFF28693;
-10B6 : 037683B3;
-10B7 : 08134601;
-10B8 : 83330FF0;
-10B9 : 440300CA;
-10BA : 08B30003;
-10BB : CE830085;
-10BC : CE030018;
-10BD : 9F130008;
-10BE : 6FB3008E;
-10BF : C1AD01CF;
-10C0 : 04786D63;
-10C1 : 00139793;
-10C2 : 00F08CB3;
-10C3 : 01FC9023;
-10C4 : 038585FE;
-10C5 : 16E30605;
-10C6 : 4503FD76;
-10C7 : 72930005;
-10C8 : 8D630405;
-10C9 : C9990002;
-10CA : 0FF00693;
-10CB : 0FF00C93;
-10CC : 0076E963;
-10CD : 909E0386;
-10CE : 00009023;
-10CF : 7C93177D;
-10D0 : 45810FF7;
-10D1 : F0EF8526;
-10D2 : 842ABBFF;
-10D3 : E8050CE3;
-10D4 : 0204AC23;
-10D5 : 89E3B5D1;
-10D6 : 0C93F4EC;
-10D7 : B7D50FF0;
-10D8 : FB6F8AE3;
-10D9 : 9663BFDD;
-10DA : E0EF000C;
-10DB : 04E3959F;
-10DC : 557DEB45;
-10DD : B54DC4E8;
-10DE : BFD94411;
-10DF : CCA2711D;
-10E0 : CE86C8CA;
-10E1 : C6CECAA6;
-10E2 : C2D6C4D2;
-10E3 : DE5EC0DA;
-10E4 : DA66DC62;
-10E5 : D66ED86A;
-10E6 : 29834581;
-10E7 : 842A0005;
-10E8 : ED7FE0EF;
-10E9 : 1063892A;
-10EA : C7032205;
-10EB : 47910009;
-10EC : 08F71963;
-10ED : 00C9A503;
-10EE : 973FE0EF;
-10EF : 45818AAA;
-10F0 : F0EF8522;
-10F1 : C119DEBF;
-10F2 : AAF5892A;
-10F3 : 0109AB83;
-10F4 : 025BCB03;
-10F5 : 024BCA03;
-10F6 : 008B1C13;
-10F7 : 014C6633;
-10F8 : FCCA9FE3;
-10F9 : 023BCD03;
-10FA : 04934A01;
-10FB : AD830400;
-10FC : 1C9300C9;
-10FD : 1963001A;
-10FE : 8BB301AA;
-10FF : D803019D;
-1100 : 1EE3000B;
-1101 : A2C1FA08;
-1102 : 01F4F893;
-1103 : 00089363;
-1104 : 8E330489;
-1105 : 4F03009B;
-1106 : 4E83001E;
-1107 : 1593000E;
-1108 : E533008F;
-1109 : E0EF01D5;
-110A : 8FB3F18F;
-110B : 8C2A019D;
-110C : 000FD503;
-110D : F0AFE0EF;
-110E : F8AC13E3;
-110F : 0A050489;
-1110 : 50FDB77D;
-1111 : 6B416A9D;
-1112 : 04142623;
-1113 : 0FF00A13;
-1114 : 0FF00493;
-1115 : 8A934BB5;
-1116 : 1B7D3A9A;
-1117 : 04040C13;
-1118 : 854E5C0C;
-1119 : A51FE0EF;
-111A : 2C83F125;
-111B : CD8303C4;
-111C : 8A63000C;
-111D : C283160D;
-111E : 069300BC;
-111F : F3130E50;
-1120 : 032303F2;
-1121 : 89630064;
-1122 : F39300DD;
-1123 : 88630082;
-1124 : 463D0003;
-1125 : 00C30863;
-1126 : C468557D;
-1127 : 453DA8E5;
-1128 : 10A31063;
-1129 : 04B44803;
-112A : 04087893;
-112B : 0C089B63;
-112C : 040DFD13;
-112D : 0C0D0E63;
-112E : 03042E03;
-112F : 00DCCA03;
-1130 : 0BFDFD93;
-1131 : 05C42623;
-1132 : 00DCCE83;
-1133 : 0FF00493;
-1134 : 0B4E9963;
-1135 : 01BCCF83;
-1136 : 01ACCF03;
-1137 : 008F9713;
-1138 : 01E767B3;
-1139 : C083EFD9;
-113A : AD03000C;
-113B : 468500C9;
-113C : 03F0F293;
-113D : FFF28313;
-113E : 037304B3;
-113F : 0FF00393;
-1140 : 85334301;
-1141 : 4603006A;
-1142 : 88330005;
-1143 : 4E0300CC;
-1144 : 48830018;
-1145 : 1E930008;
-1146 : EF33008E;
-1147 : CEB5011E;
-1148 : CC06CE1A;
-1149 : 0693E863;
-114A : CA7A857A;
-114B : E12FE0EF;
-114C : 00149713;
-114D : 00ED07B3;
-114E : D503C82A;
-114F : 8F930007;
-1150 : C67E0014;
-1151 : DFAFE0EF;
-1152 : 956342C2;
-1153 : 46D204A2;
-1154 : 40E244B2;
-1155 : 03934372;
-1156 : 03050FF0;
-1157 : FB7313E3;
-1158 : 0400FC93;
-1159 : 000C8C63;
-115A : 9093CA91;
-115B : 9D060014;
-115C : 000D5383;
-115D : 0FF00493;
-115E : 00039563;
-115F : F4931DFD;
-1160 : 45810FFD;
-1161 : F0EF8522;
-1162 : 0BE397FF;
-1163 : BD2DEC05;
-1164 : F3B48CE3;
-1165 : 0FF00493;
-1166 : 00E3B7ED;
-1167 : BFDDFD6F;
-1168 : 8566E491;
-1169 : F1EFE0EF;
-116A : 01450F63;
-116B : 04B44483;
-116C : 0014F593;
-116D : EE0592E3;
-116E : 85E2462D;
-116F : 10EF8566;
-1170 : 1BE347B0;
-1171 : 40F6EC05;
-1172 : 44D64466;
-1173 : 4A2649B6;
-1174 : 4B064A96;
-1175 : 5C625BF2;
-1176 : 5D425CD2;
-1177 : 854A5DB2;
-1178 : 61254946;
-1179 : 49118082;
-117A : 1101BFF9;
-117B : CC22CE06;
-117C : C64ECA26;
-117D : C84AC452;
-117E : 84AA411C;
-117F : A9034A05;
-1180 : 854A0107;
-1181 : EE4FE0EF;
-1182 : 00A90123;
-1183 : 01A38121;
-1184 : 44EC00A9;
-1185 : 49838526;
-1186 : E0EF0019;
-1187 : 842AC5DF;
-1188 : 4089CC19;
-1189 : 0FF47513;
-118A : 0080F363;
-118B : 40F24509;
-118C : 44D24462;
-118D : 49B24942;
-118E : 61054A22;
-118F : 5C8C8082;
-1190 : E0EF4088;
-1191 : 842A873F;
-1192 : 5CC8FD69;
-1193 : 02000613;
-1194 : E0EF85CA;
-1195 : A283C82F;
-1196 : 81A30004;
-1197 : 82E30142;
-1198 : 4581FC09;
-1199 : F0EF8526;
-119A : 091389FF;
-119B : 842A0209;
-119C : B77D19FD;
-119D : C3267175;
-119E : C522C706;
-119F : DECEC14A;
-11A0 : DAD6DCD2;
-11A1 : D6DED8DA;
-11A2 : D2E6D4E2;
-11A3 : 04B54903;
-11A4 : 77934499;
-11A5 : 90630A09;
-11A6 : 2A031C07;
-11A7 : 842A0005;
-11A8 : 24834981;
-11A9 : 909300CA;
-11AA : 82B30019;
-11AB : D3030014;
-11AC : 19630002;
-11AD : 47030E03;
-11AE : 4391000A;
-11AF : 1A771D63;
-11B0 : 00E98E93;
-11B1 : D933463D;
-11B2 : 852202CE;
-11B3 : 00290593;
-11B4 : 935FF0EF;
-11B5 : 106384AA;
-11B6 : 2F831805;
-11B7 : 42830304;
-11B8 : 0F130074;
-11B9 : 15930019;
-11BA : 87B3005F;
-11BB : C47C40BF;
-11BC : 0042F813;
-11BD : 0A080A63;
-11BE : FFB2F093;
-11BF : 001403A3;
-11C0 : E0EF8522;
-11C1 : ED49F85F;
-11C2 : 567D584C;
-11C3 : E0EF8522;
-11C4 : E559DD9F;
-11C5 : 00842A83;
-11C6 : 080A8863;
-11C7 : 02442C83;
-11C8 : 00042983;
-11C9 : 02042C03;
-11CA : FB13540C;
-11CB : 0808F00C;
-11CC : CC62C84E;
-11CD : 01910BA3;
-11CE : D202D05A;
-11CF : CEAED602;
-11D0 : B37FE0EF;
-11D1 : 0808ED31;
-11D2 : 93DFF0EF;
-11D3 : 5B83E931;
-11D4 : 230300AA;
-11D5 : 23830104;
-11D6 : 96930144;
-11D7 : 85B3009B;
-11D8 : B5330066;
-11D9 : 063300D5;
-11DA : C80C0075;
-11DB : 2703C850;
-11DC : 0513010A;
-11DD : E0EF0387;
-11DE : 2883C80F;
-11DF : 480C010A;
-11E0 : 85134850;
-11E1 : E0EF0288;
-11E2 : 4403C70F;
-11E3 : 2E030074;
-11E4 : 0808010A;
-11E5 : 00146E93;
-11E6 : 03DE00A3;
-11E7 : C50935B9;
-11E8 : A85584AA;
-11E9 : B7010985;
-11EA : 010A2403;
-11EB : 04000613;
-11EC : 85224581;
-11ED : 00CA2903;
-11EE : A4AFE0EF;
-11EF : F8500A13;
-11F0 : FC000613;
-11F1 : 00234F11;
-11F2 : 00230144;
-11F3 : 4A8102C4;
-11F4 : 42854681;
-11F5 : 04000C13;
-11F6 : FC100893;
-11F7 : 408F0733;
-11F8 : 018407B3;
-11F9 : 01178023;
-11FA : 000780A3;
-11FB : 00028E63;
-11FC : 001A9593;
-11FD : 00B90FB3;
-11FE : 000FD283;
-11FF : 00028663;
-1200 : 001A8093;
-1201 : 0FF0FA93;
-1202 : 00F70C33;
-1203 : 0082D993;
-1204 : 00578123;
-1205 : 013781A3;
-1206 : 01FC7C93;
-1207 : 97E30789;
-1208 : 9313FC0C;
-1209 : 03B3001A;
-120A : D5030069;
-120B : 8B130003;
-120C : 7B930016;
-120D : ED150FFB;
-120E : 00268813;
-120F : 01A3854A;
-1210 : 00A30354;
-1211 : E0EF0104;
-1212 : 5913CE4F;
-1213 : 02230085;
-1214 : 02A302A4;
-1215 : 40BA0324;
-1216 : 490A442A;
-1217 : 5A6659F6;
-1218 : 5B465AD6;
-1219 : 5C265BB6;
-121A : 85265C96;
-121B : 6149449A;
-121C : 86DE8082;
-121D : 0A93B7B5;
-121E : 46310404;
-121F : 080885D6;
-1220 : 00197493;
-1221 : A50FE0EF;
-1222 : 1E048663;
-1223 : 04000513;
-1224 : 05A36B45;
-1225 : 4C0504A4;
-1226 : 0B136BC1;
-1227 : 080C021B;
-1228 : 8556462D;
-1229 : 00CA2C83;
-122A : A2CFE0EF;
-122B : EB634595;
-122C : 8EE20D85;
-122D : 0393451D;
-122E : 433D0390;
-122F : 00FEF893;
-1230 : 03088613;
-1231 : DE938E76;
-1232 : F463004E;
-1233 : 861300C3;
-1234 : 0F130378;
-1235 : 06B30081;
-1236 : 071300AF;
-1237 : 8023FFF5;
-1238 : C31900C6;
-1239 : 0BC36263;
-123A : 06070793;
-123B : 07E00F93;
-123C : 002780B3;
-123D : FBF08423;
-123E : 0C934681;
-123F : 8A630200;
-1240 : 829300E6;
-1241 : 03330016;
-1242 : 43830054;
-1243 : 9F6303F3;
-1244 : 08B30793;
-1245 : 049300D4;
-1246 : 451D0084;
-1247 : 02000813;
-1248 : 00E56963;
-1249 : 06070C93;
-124A : 002C85B3;
-124B : FA85C803;
-124C : 80230705;
-124D : 08850508;
-124E : FF1492E3;
-124F : F0EF8522;
-1250 : 84AAA3FF;
-1251 : 12051363;
-1252 : 0E130C05;
-1253 : 18E30640;
-1254 : 449DF5CC;
-1255 : 0C89B709;
-1256 : 78934E41;
-1257 : 97130018;
-1258 : 8EB3001E;
-1259 : F4B300E8;
-125A : 5813017E;
-125B : C0990018;
-125C : 016ECEB3;
-125D : 12E31E7D;
-125E : D803FE0E;
-125F : 1CE3000C;
-1260 : BF0DFC08;
-1261 : BFD58EE2;
-1262 : BF0D853A;
-1263 : BF858696;
-1264 : 03042F83;
-1265 : 00591693;
-1266 : 85B38522;
-1267 : E0EF40DF;
-1268 : 84AA8D9F;
-1269 : EA0519E3;
-126A : E0EF8556;
-126B : 67C1B18F;
-126C : 89AA629D;
-126D : 4BB54C3D;
-126E : FFF78C93;
-126F : 3A928B13;
-1270 : 85525C0C;
-1271 : CF0FE0EF;
-1272 : 16E384AA;
-1273 : 7393E805;
-1274 : 85130FF9;
-1275 : 04B3FFF3;
-1276 : 23030375;
-1277 : 208303C4;
-1278 : 781300CA;
-1279 : 06A30FF9;
-127A : 05A30133;
-127B : 06230183;
-127C : 0D230003;
-127D : 0DA30003;
-127E : 46810003;
-127F : 89634581;
-1280 : 97130196;
-1281 : 88B30014;
-1282 : D68300E0;
-1283 : 04850008;
-1284 : 00BB0E33;
-1285 : 000E4E83;
-1286 : 0086DF13;
-1287 : 01D30633;
-1288 : 00D60023;
-1289 : 01E600A3;
-128A : 86E6E291;
-128B : 98E30585;
-128C : 8A63FD75;
-128D : 9F930196;
-128E : 87B30014;
-128F : D28301F0;
-1290 : 94630007;
-1291 : 68130002;
-1292 : 00230408;
-1293 : 40850103;
-1294 : 001A01A3;
-1295 : 85224581;
-1296 : CACFF0EF;
-1297 : 1CE384AA;
-1298 : 197DDE05;
-1299 : F4091EE3;
-129A : 4B91A82D;
-129B : DF7515E3;
-129C : 052405A3;
-129D : 00297B13;
-129E : 09634C85;
-129F : 8E93000B;
-12A0 : 463500C9;
-12A1 : 02CEDF33;
-12A2 : 001F0C93;
-12A3 : 852285E6;
-12A4 : D74FF0EF;
-12A5 : 10E384AA;
-12A6 : 8913DC05;
-12A7 : 19E3FFFC;
-12A8 : 5C0CEE09;
-12A9 : E0EF8552;
-12AA : 84AAC0EF;
-12AB : DA0515E3;
-12AC : 06135C48;
-12AD : 45810200;
-12AE : F4BFD0EF;
-12AF : 85D65C48;
-12B0 : E0EF462D;
-12B1 : 2A83812F;
-12B2 : 440303C4;
-12B3 : 4C0504B4;
-12B4 : 01847993;
-12B5 : 013A8623;
-12B6 : 018A01A3;
-12B7 : C783BBAD;
-12B8 : C68301B5;
-12B9 : 872A01A5;
-12BA : 00879293;
-12BB : E533430D;
-12BC : 1E6300D2;
-12BD : C3830067;
-12BE : C5830145;
-12BF : 96130155;
-12C0 : 68330085;
-12C1 : 18930076;
-12C2 : 65330108;
-12C3 : 80820115;
-12C4 : C686715D;
-12C5 : D65EC4A2;
-12C6 : C2A6CE6E;
-12C7 : DE4EC0CA;
-12C8 : DA56DC52;
-12C9 : D462D85A;
-12CA : D06AD266;
-12CB : 00052903;
-12CC : 842E8DAA;
-12CD : 02F00093;
-12CE : 05C00B93;
-12CF : 00044783;
-12D0 : 04178363;
-12D1 : 05778163;
-12D2 : 000DA423;
-12D3 : 000DAE23;
-12D4 : 00044683;
-12D5 : E56340FD;
-12D6 : 442628D0;
-12D7 : F8000793;
-12D8 : 449640B6;
-12D9 : 59F24906;
-12DA : 5AD25A62;
-12DB : 5BB25B42;
-12DC : 5C925C22;
-12DD : 85A35D02;
-12DE : 856E04FD;
-12DF : 45814DF2;
-12E0 : E06F6161;
-12E1 : 0405EF4F;
-12E2 : 0405BF55;
-12E3 : 00044803;
-12E4 : 02F00713;
-12E5 : FEE80BE3;
-12E6 : 05C00893;
-12E7 : FF1807E3;
-12E8 : 02083B13;
-12E9 : 0E930B0A;
-12EA : 0E130200;
-12EB : 9B9302E0;
-12EC : 91630019;
-12ED : 87B30A09;
-12EE : 90230174;
-12EF : 8B630007;
-12F0 : 46812809;
-12F1 : 02000093;
-12F2 : 00169293;
-12F3 : 00548C33;
-12F4 : 000C5C83;
-12F5 : 06858D36;
-12F6 : FE1C88E3;
-12F7 : 000D1663;
-12F8 : 02E00A93;
-12F9 : 015C9463;
-12FA : 003B6B13;
-12FB : 02E00A13;
-12FC : 00199313;
-12FD : 006483B3;
-12FE : FFE3D503;
-12FF : 01450563;
-1300 : 97E319FD;
-1301 : 462DFE09;
-1302 : 02000593;
-1303 : 040D8513;
-1304 : D0EFC66A;
-1305 : 4E32DF1F;
-1306 : 4D014CA1;
-1307 : 4C2D4B81;
-1308 : 15934AE5;
-1309 : 8633001E;
-130A : 558300B4;
-130B : 0A130006;
-130C : C5B9001E;
-130D : 02000813;
-130E : 01058863;
-130F : 02E00713;
-1310 : 02E59463;
-1311 : 033A0663;
-1312 : 003B6B13;
-1313 : BFD18E52;
-1314 : BF914B11;
-1315 : 01748F33;
-1316 : FFEF5F83;
-1317 : 01DF8463;
-1318 : F5CF9BE3;
-1319 : B7A119FD;
-131A : 019D7763;
-131B : 0B3A1163;
-131C : 098C9863;
-131D : 9F63A019;
-131E : 6B13078C;
-131F : 4CAD003B;
-1320 : 040DCE83;
-1321 : 0E500F13;
-1322 : 01EE9563;
-1323 : 80234F95;
-1324 : 47A105FD;
-1325 : 00FC9663;
-1326 : 002B9093;
-1327 : 0FF0FB93;
-1328 : 00CBF293;
-1329 : 876346B1;
-132A : F31300D2;
-132B : 438D003B;
-132C : 00731463;
-132D : 002B6B13;
-132E : 002B7513;
-132F : F613E919;
-1330 : C219001B;
-1331 : 010B6B13;
-1332 : 004BF593;
-1333 : 6B13C199;
-1334 : 85A3008B;
-1335 : 856E056D;
-1336 : EA4FF0EF;
-1337 : 04BDC803;
-1338 : 4411CD45;
-1339 : 16851963;
-133A : 00487913;
-133B : 16091563;
-133C : A2954515;
-133D : 013A0663;
-133E : 003B6B13;
-133F : F949E2E3;
-1340 : FB930B8A;
-1341 : 8A4E0FFB;
-1342 : 4D214CAD;
-1343 : 0893B781;
-1344 : F56307F0;
-1345 : 852E02B8;
-1346 : E27FD0EF;
-1347 : 01051E13;
-1348 : 010E5593;
-1349 : FFF58E93;
-134A : 010E9F13;
-134B : 010F5F93;
-134C : 0FE00793;
-134D : 002B6B13;
-134E : 01F7EA63;
-134F : 8513609D;
-1350 : C62E3A20;
-1351 : 58A010EF;
-1352 : C9194732;
-1353 : 003B6B13;
-1354 : 05F00713;
-1355 : 01AD88B3;
-1356 : 04E88023;
-1357 : B5FD0D05;
-1358 : FBF70293;
-1359 : 01029693;
-135A : 0106D313;
-135B : 006AE563;
-135C : 002BEB93;
-135D : 0393B7C5;
-135E : 9513F9F7;
-135F : 56130103;
-1360 : E9E30105;
-1361 : 0593FCCA;
-1362 : 9813FE07;
-1363 : EB930105;
-1364 : 5713001B;
-1365 : BF7D0108;
-1366 : 00487713;
-1367 : C883EF4D;
-1368 : FD13006D;
-1369 : 05E30108;
-136A : 4503F40D;
-136B : 4A110009;
-136C : 09451563;
-136D : 010DAC03;
-136E : 007DCE83;
-136F : 008DAA83;
-1370 : 04CDAF83;
-1371 : F00C7E13;
-1372 : 01DE6F33;
-1373 : 035DA023;
-1374 : 03EDA223;
-1375 : 03FDA423;
-1376 : 854A85EE;
-1377 : FAFFD0EF;
-1378 : 000DA283;
-1379 : 4C7D4981;
-137A : 00C2A483;
-137B : 07F00C93;
-137C : 0FF00D13;
-137D : 00044583;
-137E : 9A930405;
-137F : DA130105;
-1380 : 77E3010A;
-1381 : 0313E4BC;
-1382 : 01E302F0;
-1383 : 0393D86A;
-1384 : 0DE305C0;
-1385 : E863D67A;
-1386 : 651D014C;
-1387 : 39950513;
-1388 : 4AE010EF;
-1389 : 8763E905;
-138A : 959303A9;
-138B : 86330019;
-138C : 102300B4;
-138D : 09850146;
-138E : A483BF75;
-138F : 0C93030D;
-1390 : F99303C9;
-1391 : 85B31FF4;
-1392 : F0EF013C;
-1393 : A423C95F;
-1394 : B77900AD;
-1395 : 40B64519;
-1396 : 44964426;
-1397 : 59F24906;
-1398 : 5AD25A62;
-1399 : 5BB25B42;
-139A : 5C925C22;
-139B : 4DF25D02;
-139C : 80826161;
-139D : C62A7179;
-139E : D4220868;
-139F : C42ED606;
-13A0 : CE2E8432;
-13A1 : F47FD0EF;
-13A2 : 04054463;
-13A3 : 13400713;
-13A4 : 00B3050A;
-13A5 : A28300A7;
-13A6 : 03130000;
-13A7 : 84631340;
-13A8 : 80230002;
-13A9 : 43B20002;
-13AA : 00038463;
-13AB : 00038023;
-13AC : 00A305B3;
-13AD : 0075A023;
-13AE : 4601C419;
-13AF : 0028006C;
-13B0 : 93BFE0EF;
-13B1 : 50B2842A;
-13B2 : 54228522;
-13B3 : 80826145;
-13B4 : BFD5442D;
-13B5 : B0010113;
-13B6 : 4F312623;
-13B7 : 4E112E23;
-13B8 : 4E812C23;
-13B9 : 4E912A23;
-13BA : 4F212823;
-13BB : 4F412423;
-13BC : 4F512223;
-13BD : 4F612023;
-13BE : 4D712E23;
-13BF : 4D812C23;
-13C0 : 49A5C62E;
-13C1 : 7A13CD39;
-13C2 : 842A03F6;
-13C3 : 086C8932;
-13C4 : 00688652;
-13C5 : 8E7FE0EF;
-13C6 : E12989AA;
-13C7 : 45B244F2;
-13C8 : 0093189C;
-13C9 : C4DC2701;
-13CA : 0014A823;
-13CB : D0261008;
-13CC : BE1FF0EF;
-13CD : 0283E511;
-13CE : D36306B1;
-13CF : 45190002;
-13D0 : 01C97313;
-13D1 : 24030663;
-13D2 : 4A91C529;
-13D3 : 01551863;
-13D4 : F0EF1008;
-13D5 : 6A13F22F;
-13D6 : C931008A;
-13D7 : 00042023;
-13D8 : 208389AA;
-13D9 : 24034FC1;
-13DA : 24834F81;
-13DB : 29034F41;
-13DC : 2A034F01;
-13DD : 2A834E81;
-13DE : 2B034E41;
-13DF : 2B834E01;
-13E0 : 2C034DC1;
-13E1 : 854E4D81;
-13E2 : 4EC12983;
-13E3 : 50010113;
-13E4 : 46038082;
-13E5 : 76930261;
-13E6 : 9A630116;
-13E7 : 78132006;
-13E8 : 17630049;
-13E9 : 78932408;
-13EA : 8F630089;
-13EB : CB030608;
-13EC : 4B910004;
-13ED : 177B1363;
-13EE : 852685A2;
-13EF : D0EFC004;
-13F0 : 489CDCDF;
-13F1 : 45814679;
-13F2 : 00278513;
-13F3 : A37FD0EF;
-13F4 : 0104A283;
-13F5 : 45814669;
-13F6 : 02628513;
-13F7 : A27FD0EF;
-13F8 : 0104A303;
-13F9 : 02000393;
-13FA : 00730223;
-13FB : 0B934898;
-13FC : C0EF0087;
-13FD : 85AAAE7F;
-13FE : D0EF855E;
-13FF : 4888BE1F;
-1400 : 00A34585;
-1401 : 100802B5;
-1402 : DE2FF0EF;
-1403 : 440CF921;
-1404 : 4601CD81;
-1405 : E0EF8522;
-1406 : 2903D1EF;
-1407 : 00930084;
-1408 : AA23FFF9;
-1409 : F91D0014;
-140A : 008A7613;
-140B : 6A13C219;
-140C : 5C94040A;
-140D : 48114C76;
-140E : 2623C434;
-140F : C5030584;
-1410 : 18630004;
-1411 : 5E421705;
-1412 : 02714903;
-1413 : 5F3658A2;
-1414 : F00E7A93;
-1415 : 012AEEB3;
-1416 : 03142023;
-1417 : 03D42223;
-1418 : 03E42423;
-1419 : 852685A2;
-141A : D23FD0EF;
-141B : 04042823;
-141C : DF83C004;
-141D : 47810064;
-141E : 0A934B01;
-141F : 12230544;
-1420 : 082301F4;
-1421 : 08A30344;
-1422 : 22230204;
-1423 : 2C230404;
-1424 : DC5C0364;
-1425 : 20000613;
-1426 : 85564581;
-1427 : 967FD0EF;
-1428 : 020A7293;
-1429 : EA028FE3;
-142A : 01042903;
-142B : 01442A03;
-142C : 01496333;
-142D : EA0307E3;
-142E : 03242C23;
-142F : 03442E23;
-1430 : 00A4D383;
-1431 : 4B85440C;
-1432 : 00939B13;
-1433 : 1E635C7D;
-1434 : 6C630E0A;
-1435 : 45010F2B;
-1436 : 11E3C02C;
-1437 : 7093E805;
-1438 : 80E31FF9;
-1439 : 8526E800;
-143A : B45FD0EF;
-143B : 450985AA;
-143C : E60586E3;
-143D : 017A1613;
-143E : 00995693;
-143F : 00D66833;
-1440 : 01058633;
-1441 : C503C070;
-1442 : 46850014;
-1443 : C0EF85D6;
-1444 : 08E3863F;
-1445 : 4505E405;
-1446 : C0EFB591;
-1447 : 4C769BFF;
-1448 : C42A85AA;
-1449 : 00EC0513;
-144A : AB3FD0EF;
-144B : 45A24E76;
-144C : 016E0513;
-144D : AA7FD0EF;
-144E : C5034AF6;
-144F : 85D60004;
-1450 : 99FFF0EF;
-1451 : 02000E93;
-1452 : 01DA85A3;
-1453 : 892A45F6;
-1454 : 85264601;
-1455 : B41FD0EF;
-1456 : 4F854F76;
-1457 : 000F0E23;
-1458 : 000F0EA3;
-1459 : 000F0F23;
-145A : 000F0FA3;
-145B : 01F481A3;
-145C : EA090CE3;
-145D : 85CA4601;
-145E : AB031008;
-145F : E0EF0384;
-1460 : 1DE3BB6F;
-1461 : 85DADC05;
-1462 : D0EF8526;
-1463 : BD41D2BF;
-1464 : DC0516E3;
-1465 : 02614383;
-1466 : 0103F713;
-1467 : 7513EF21;
-1468 : 03E30029;
-1469 : F593E805;
-146A : 8FE30013;
-146B : 451DE605;
-146C : 85E2B375;
-146D : 92BFF0EF;
-146E : 0513C408;
-146F : D0EF01CC;
-1470 : C8089A1F;
-1471 : 00042A23;
-1472 : 8522B555;
-1473 : EFBFD0EF;
-1474 : 053385AA;
-1475 : 37334169;
-1476 : 0A3300A9;
-1477 : 892A40EA;
-1478 : 00BBF663;
-1479 : EF8595E3;
-147A : B5FD4505;
-147B : B5ED4509;
-147C : B3AD4521;
-147D : B39D4511;
-147E : C4A2715D;
-147F : DE4EC0CA;
-1480 : D85ADA56;
-1481 : C2A6C686;
-1482 : D65EDC52;
-1483 : D266D462;
-1484 : CE6ED06A;
-1485 : A0238AAE;
-1486 : 006C0006;
-1487 : 8932842A;
-1488 : D0EF8B36;
-1489 : 89AABE5F;
-148A : 4983E141;
-148B : 9D630314;
-148C : 4B830609;
-148D : FB930304;
-148E : 8463001B;
-148F : 48181A0B;
-1490 : 48545C1C;
-1491 : 00B35C50;
-1492 : 32B340F7;
-1493 : 83330017;
-1494 : 156340C6;
-1495 : F3630053;
-1496 : 89060120;
-1497 : 05440C13;
-1498 : 03635DFD;
-1499 : 5C0C0409;
-149A : F3935C50;
-149B : 99631FF5;
-149C : 44B21403;
-149D : 01761813;
-149E : 0095D893;
-149F : 00A4DA03;
-14A0 : 01186CB3;
-14A1 : FFFA0513;
-14A2 : 01957A33;
-14A3 : 060A1363;
-14A4 : 00C5ED33;
-14A5 : 020D1A63;
-14A6 : 45854408;
-14A7 : 04A5E363;
-14A8 : 08A34B09;
-14A9 : 49890364;
-14AA : 442640B6;
-14AB : 49064496;
-14AC : 5AD25A62;
-14AD : 5BB25B42;
-14AE : 5C925C22;
-14AF : 4DF25D02;
-14B0 : 59F2854E;
-14B1 : 80826161;
-14B2 : 05042E03;
-14B3 : 000E0663;
-14B4 : D0EF8522;
-14B5 : B7D197BF;
-14B6 : 8522402C;
-14B7 : DEBFD0EF;
-14B8 : 1763BF6D;
-14B9 : 498501B5;
-14BA : 033408A3;
-14BB : BF6D89DE;
-14BC : 402CC028;
-14BD : D0EF8526;
-14BE : D15D937F;
-14BF : 1FF00E93;
-14C0 : 00AA0CB3;
-14C1 : 092EF063;
-14C2 : 00A4D283;
-14C3 : 00995D13;
-14C4 : 01AA0633;
-14C5 : 00C2F463;
-14C6 : 41428D33;
-14C7 : 0014C503;
-14C8 : 866686EA;
-14C9 : C0EF85D6;
-14CA : FD55E4AF;
-14CB : 03040303;
-14CC : 02035163;
-14CD : 04442383;
-14CE : 41938533;
-14CF : 01A57B63;
-14D0 : 00951813;
-14D1 : 20000613;
-14D2 : 853385E2;
-14D3 : D0EF010A;
-14D4 : 1493F86F;
-14D5 : 2883009D;
-14D6 : 0933000B;
-14D7 : 9AA64099;
-14D8 : 00988A33;
-14D9 : 014B2023;
-14DA : 03842E03;
-14DB : 0CB35C4C;
-14DC : BEB3009E;
-14DD : 8F3301CC;
-14DE : 2C2300BE;
-14DF : 2E230394;
-14E0 : B5C503E4;
-14E1 : 0B634070;
-14E2 : 0F030396;
-14E3 : C5030304;
-14E4 : 5D630014;
-14E5 : 4685000F;
-14E6 : C0EF85E2;
-14E7 : F521E6CF;
-14E8 : 03044F83;
-14E9 : 07FFF713;
-14EA : 02E40823;
-14EB : 0014C503;
-14EC : 86664685;
-14ED : C0EF85E2;
-14EE : F515DBAF;
-14EF : 05942223;
-14F0 : 07935C14;
-14F1 : F0932000;
-14F2 : 84B31FF6;
-14F3 : 73634017;
-14F4 : 84CA0099;
-14F5 : 05B38626;
-14F6 : 8556001C;
-14F7 : EF8FD0EF;
-14F8 : 499DBF9D;
-14F9 : 711DB5D1;
-14FA : C8CACCA2;
-14FB : C2D6C6CE;
-14FC : CE86C0DA;
-14FD : C4D2CAA6;
-14FE : DC62DE5E;
-14FF : D86ADA66;
-1500 : 8AAED66E;
-1501 : 0006A023;
-1502 : 842A086C;
-1503 : 8B368932;
-1504 : 9F7FD0EF;
-1505 : E15D89AA;
-1506 : 03144983;
-1507 : 0A099063;
-1508 : 03044783;
-1509 : 0027F093;
-150A : 20008463;
-150B : 42914A72;
-150C : 000A4703;
-150D : 00570A63;
-150E : 03842303;
-150F : 012303B3;
-1510 : 0063F463;
-1511 : FFF34913;
-1512 : 20000C13;
-1513 : 05440B93;
-1514 : 1FF00C93;
-1515 : 0D635D7D;
-1516 : 5C0C0409;
-1517 : F4935C50;
-1518 : 92631FF5;
-1519 : 5D831A04;
-151A : 169300AA;
-151B : D8130176;
-151C : 85130095;
-151D : E8B3FFFD;
-151E : 7DB30106;
-151F : 91630115;
-1520 : EE33080D;
-1521 : 1D6300C5;
-1522 : 4408000E;
-1523 : C9214581;
-1524 : 1A634F05;
-1525 : 4A0905E5;
-1526 : 034408A3;
-1527 : A8394989;
-1528 : 05042E83;
-1529 : 020E8C63;
-152A : D0EF8522;
-152B : F16DFA2F;
-152C : 03044583;
-152D : 0405E613;
-152E : 02C40823;
-152F : 446640F6;
-1530 : 494644D6;
-1531 : 4A964A26;
-1532 : 5BF24B06;
-1533 : 5CD25C62;
-1534 : 5DB25D42;
-1535 : 49B6854E;
-1536 : 80826125;
-1537 : 8522402C;
-1538 : FE4FE0EF;
-1539 : 1763B7E9;
-153A : 498501A5;
-153B : 033408A3;
-153C : B7E94985;
-153D : 00842F83;
-153E : 9363C028;
-153F : C408000F;
-1540 : 03040783;
-1541 : 0207D063;
-1542 : 45034070;
-1543 : 4685001A;
-1544 : C0EF85DE;
-1545 : F971CF4F;
-1546 : 03044083;
-1547 : 07F0F713;
-1548 : 02E40823;
-1549 : 8552402C;
-154A : F04FD0EF;
-154B : 8633D52D;
-154C : FF6300AD;
-154D : 5E83092C;
-154E : 549300AA;
-154F : 85B30099;
-1550 : F463009D;
-1551 : 84B300BE;
-1552 : 450341BE;
-1553 : 86A6001A;
-1554 : C63285D6;
-1555 : CB2FC0EF;
-1556 : 2F03F949;
-1557 : 46320444;
-1558 : 40CF0FB3;
-1559 : 029FF163;
-155A : 009F9793;
-155B : 20000613;
-155C : 00FA85B3;
-155D : D0EF855E;
-155E : 4703D5EF;
-155F : 72930304;
-1560 : 082307F7;
-1561 : 9D930254;
-1562 : 20830094;
-1563 : 0933000B;
-1564 : 9AEE41B9;
-1565 : 01B08333;
-1566 : 006B2023;
-1567 : 03842383;
-1568 : 48445C48;
-1569 : 007D8833;
-156A : 01B836B3;
-156B : 00A68DB3;
-156C : 03042C23;
-156D : 03B42E23;
-156E : E663481C;
-156F : 966301B4;
-1570 : F463009D;
-1571 : 87C20107;
-1572 : C81C84EE;
-1573 : B561C844;
-1574 : 04442383;
-1575 : 03842283;
-1576 : 03C42303;
-1577 : 02C38463;
-1578 : 67634844;
-1579 : 9F630093;
-157A : 48080064;
-157B : 00A2FC63;
-157C : 001A4503;
-157D : 85DE4685;
-157E : C0EFC632;
-157F : 4632B76F;
-1580 : EE0515E3;
-1581 : 5C14C070;
-1582 : 1FF6F813;
-1583 : 410C0DB3;
-1584 : 01B97363;
-1585 : 866E8DCA;
-1586 : 853385D6;
-1587 : D0EF010B;
-1588 : 4883CB6F;
-1589 : EE130304;
-158A : 0823F808;
-158B : BFB103C4;
-158C : B569499D;
-158D : B2010113;
-158E : 2C23006C;
-158F : 2E234C81;
-1590 : 2A234C11;
-1591 : 28234C91;
-1592 : 26234D21;
-1593 : 842A4D31;
-1594 : FB6FD0EF;
-1595 : 12051B63;
-1596 : 03044783;
-1597 : 0407F513;
-1598 : 12050563;
-1599 : 01879093;
-159A : 4180D293;
-159B : D46344B2;
-159C : 40700202;
-159D : 0014C503;
-159E : 05934685;
-159F : C0EF0544;
-15A0 : 832AB88F;
-15A1 : 12634505;
-15A2 : 43831003;
-15A3 : F5130304;
-15A4 : 082307F3;
-15A5 : C0EF02A4;
-15A6 : C703C42F;
-15A7 : 45910004;
-15A8 : 116389AA;
-15A9 : 852210B7;
-15AA : FDFFD0EF;
-15AB : 0C051F63;
-15AC : 567D402C;
-15AD : D0EF8522;
-15AE : E961E31F;
-15AF : 06010893;
-15B0 : 26010E13;
-15B1 : 0114A623;
-15B2 : 01C4A823;
-15B3 : 02442F83;
-15B4 : 00042E83;
-15B5 : 02042F03;
-15B6 : F793540C;
-15B7 : 0808F00F;
-15B8 : CC7AC876;
-15B9 : 01F10BA3;
-15BA : D202D03E;
-15BB : CEAED602;
-15BC : B87FD0EF;
-15BD : 0808E959;
-15BE : 98DFE0EF;
-15BF : A083E559;
-15C0 : C2830104;
-15C1 : E3130040;
-15C2 : 82230202;
-15C3 : 45030060;
-15C4 : A3830074;
-15C5 : 67130104;
-15C6 : 80A30015;
-15C7 : A90302E3;
-15C8 : 440C0104;
-15C9 : 03490513;
-15CA : CB2FD0EF;
-15CB : 480C4894;
-15CC : 85134850;
-15CD : D0EF0386;
-15CE : A803CC0F;
-15CF : 480C0104;
-15D0 : 05134850;
-15D1 : D0EF0288;
-15D2 : 4890CB0F;
-15D3 : 051385CE;
-15D4 : D0EF00C6;
-15D5 : A983C88F;
-15D6 : 08080104;
-15D7 : 00098AA3;
-15D8 : 8823488C;
-15D9 : 88A30005;
-15DA : 89230005;
-15DB : 89A30005;
-15DC : E0EF0005;
-15DD : E911E79F;
-15DE : D0EF8526;
-15DF : 4483FC5F;
-15E0 : F8930304;
-15E1 : 0823FBF4;
-15E2 : 20830314;
-15E3 : 24034DC1;
-15E4 : 24834D81;
-15E5 : 29034D41;
-15E6 : 29834D01;
-15E7 : 01134CC1;
-15E8 : 80824E01;
-15E9 : 8526442C;
-15EA : F0CFD0EF;
-15EB : 2903FD79;
-15EC : 460304C4;
-15ED : 85CA00B9;
-15EE : 02066693;
-15EF : 00D905A3;
-15F0 : 40084410;
-15F1 : CD0FD0EF;
-15F2 : 0513480C;
-15F3 : D0EF01C9;
-15F4 : 85CEC0CF;
-15F5 : 01690513;
-15F6 : C02FD0EF;
-15F7 : 09234805;
-15F8 : 09A30009;
-15F9 : 81A30009;
-15FA : B7790104;
-15FB : CC221101;
-15FC : 842ACE06;
-15FD : E41FF0EF;
-15FE : 006CE901;
-15FF : D0EF8522;
-1600 : E119E08F;
-1601 : 00042023;
-1602 : 446240F2;
-1603 : 80826105;
-1604 : C2A6715D;
-1605 : 086C84AE;
-1606 : C0CAC4A2;
-1607 : C686DE4E;
-1608 : DA56DC52;
-1609 : D65ED85A;
-160A : D266D462;
-160B : 842AD06A;
-160C : D0EF89B2;
-160D : 892ADD4F;
-160E : 4903E57D;
-160F : 14630314;
-1610 : 4A720E09;
-1611 : 47034791;
-1612 : 0863000A;
-1613 : 208308F7;
-1614 : 9C630504;
-1615 : 42830800;
-1616 : 4311000A;
-1617 : 00628663;
-1618 : 00098463;
-1619 : 498154FD;
-161A : 01442383;
-161B : E6634814;
-161C : 9B630133;
-161D : F9630079;
-161E : 45030096;
-161F : 75930304;
-1620 : E1990025;
-1621 : 899E84B6;
-1622 : 48814801;
-1623 : 2B035C10;
-1624 : 2C2303C4;
-1625 : 2E230304;
-1626 : EAB30314;
-1627 : 9B630134;
-1628 : 4A81180A;
-1629 : 03C42C83;
-162A : 01442D03;
-162B : 03842303;
-162C : 019D6763;
-162D : 019D1F63;
-162E : FC634814;
-162F : 43830066;
-1630 : 28230304;
-1631 : 2A230064;
-1632 : E9930194;
-1633 : 08230403;
-1634 : 75130334;
-1635 : C9211FF3;
-1636 : 402CAA21;
-1637 : 8522567D;
-1638 : C07FD0EF;
-1639 : 892AD52D;
-163A : 567DA83D;
-163B : 08C49C63;
-163C : 08999A63;
-163D : 00842A83;
-163E : 0000AC03;
-163F : 00408993;
-1640 : 8A634489;
-1641 : 4C85060A;
-1642 : 85565D7D;
-1643 : 8B2A4B81;
-1644 : 852285AA;
-1645 : D0EF0B85;
-1646 : E763FB0F;
-1647 : 460902AC;
-1648 : 02C408A3;
-1649 : 40B64909;
-164A : 44964426;
-164B : 5A6259F2;
-164C : 5B425AD2;
-164D : 5C225BB2;
-164E : 5D025C92;
-164F : 4906854A;
-1650 : 80826161;
-1651 : B7D18AAA;
-1652 : 01A51763;
-1653 : 08A34A05;
-1654 : 49050344;
-1655 : 0813BFC9;
-1656 : 0AE3001B;
-1657 : 0489FAA8;
-1658 : 009C6763;
-1659 : 0179A023;
-165A : 0159A223;
-165B : 288309A1;
-165C : 69E301CA;
-165D : 4820FD15;
-165E : 6563C004;
-165F : A023209C;
-1660 : B7550009;
-1661 : 01442E03;
-1662 : 01042B83;
-1663 : 01C9E663;
-1664 : 013E1663;
-1665 : 0174F463;
-1666 : 8E4E8BA6;
-1667 : 03742C23;
-1668 : 03C42E23;
-1669 : 01CBEEB3;
-166A : F60E8FE3;
-166B : 001BBF13;
-166C : 41EE04B3;
-166D : FFFB8B13;
-166E : 862685DA;
-166F : D0EF8522;
-1670 : 85AAA8EF;
-1671 : 8552C028;
-1672 : A64FD0EF;
-1673 : F713D929;
-1674 : 5F831FFB;
-1675 : DB2100AA;
-1676 : 01749793;
-1677 : 009B5093;
-1678 : 0017E2B3;
-1679 : FFFF8593;
-167A : 0055F333;
-167B : 00A30AB3;
-167C : 0AE34070;
-167D : 0683F356;
-167E : 45030304;
-167F : 0593001A;
-1680 : DE630544;
-1681 : 46850006;
-1682 : B0EFC62E;
-1683 : FD1DFFDF;
-1684 : 03044383;
-1685 : F51345B2;
-1686 : 082307F3;
-1687 : 450302A4;
-1688 : 4685001A;
-1689 : B0EF8656;
-168A : 892AF4BF;
-168B : 2223F105;
-168C : BDD50554;
-168D : 00AA5B83;
-168E : 01666C33;
-168F : 009B9A93;
-1690 : 0A0C0763;
-1691 : 00163D13;
-1692 : FFF60C93;
-1693 : 41AB0B33;
-1694 : 46818656;
-1695 : 85DA8566;
-1696 : BE13212D;
-1697 : 8BAE0014;
-1698 : 86568C2A;
-1699 : 85134681;
-169A : 85B3FFF4;
-169B : 291141C9;
-169C : 0775EF63;
-169D : 00BB9463;
-169E : 07856B63;
-169F : 41500EB3;
-16A0 : 019EFF33;
-16A1 : 41E48FB3;
-16A2 : B733402C;
-16A3 : 89B301F4;
-16A4 : 2C234169;
-16A5 : 2E2303E4;
-16A6 : 84FE0364;
-16A7 : 40E989B3;
-16A8 : E00581E3;
-16A9 : 4D055CFD;
-16AA : 03842283;
-16AB : 03C42303;
-16AC : 04099E63;
-16AD : 049AEC63;
-16AE : 03842F03;
-16AF : 03C42F83;
-16B0 : 1FF4F293;
-16B1 : 009F0733;
-16B2 : 01E737B3;
-16B3 : 01F780B3;
-16B4 : 2E23DC18;
-16B5 : 86E30214;
-16B6 : 8552DC02;
-16B7 : 950FD0EF;
-16B8 : E2050FE3;
-16B9 : 0094D593;
-16BA : 00B50AB3;
-16BB : 440CBB65;
-16BC : 8522ED81;
-16BD : 9D0FE0EF;
-16BE : 85AA4785;
-16BF : E2F501E3;
-16C0 : 05E350FD;
-16C1 : C408E415;
-16C2 : BF59C02C;
-16C3 : 005A8533;
-16C4 : 03044883;
-16C5 : 01553633;
-16C6 : 415486B3;
-16C7 : 00660833;
-16C8 : 00D4B3B3;
-16C9 : 2E23DC08;
-16CA : FB130304;
-16CB : 84B60028;
-16CC : 407989B3;
-16CD : 020B0A63;
-16CE : 01442C03;
-16CF : 010C6863;
-16D0 : 010C1D63;
-16D1 : 01042B83;
-16D2 : 00ABF963;
-16D3 : 0408EE13;
-16D4 : 2A23C808;
-16D5 : 08230104;
-16D6 : 852203C4;
-16D7 : 968FE0EF;
-16D8 : E51985AA;
-16D9 : BF894481;
-16DA : D0EF8522;
-16DB : 85AAD5CF;
-16DC : DD958EE3;
-16DD : DABD75E3;
-16DE : 01CA2E83;
-16DF : DBD5F1E3;
-16E0 : B71DC02C;
-16E1 : B3454945;
-16E2 : DAA67119;
-16E3 : C62AD2D6;
-16E4 : 84B28AAE;
-16E5 : 4601086C;
-16E6 : D8CA0068;
-16E7 : DCA2DE86;
-16E8 : D4D2D6CE;
-16E9 : CEDED0DA;
-16EA : CAE6CCE2;
-16EB : C4FFD0EF;
-16EC : ED01892A;
-16ED : C0804472;
-16EE : 01C42C03;
-16EF : 0B934C1C;
-16F0 : E263FFEC;
-16F1 : A02302FB;
-16F2 : 50F600FA;
-16F3 : 54D65466;
-16F4 : 5A2659B6;
-16F5 : 5B065A96;
-16F6 : 4C664BF6;
-16F7 : 854A4CD6;
-16F8 : 61095946;
-16F9 : 4A038082;
-16FA : 40850004;
-16FB : 021A1F63;
-16FC : 4989D022;
-16FD : 5CFD4481;
-16FE : 85CE4C05;
-16FF : D0EF1008;
-1700 : 0163CC8F;
-1701 : 01630F95;
-1702 : E1110F85;
-1703 : 4C4C0485;
-1704 : E4E30985;
-1705 : A023FEB9;
-1706 : 4783009A;
-1707 : CC040044;
-1708 : 0017E093;
-1709 : 00140223;
-170A : 4291B74D;
-170B : 045A1763;
-170C : 4A01584C;
-170D : 19634481;
-170E : 8522000A;
-170F : 00158B13;
-1710 : A74FD0EF;
-1711 : 85DAE545;
-1712 : 014408B3;
-1713 : 03C8CF03;
-1714 : FF8B8E13;
-1715 : 000B8C63;
-1716 : 001F7E93;
-1717 : 000E9363;
-1718 : 1BFD0485;
-1719 : 001F5F13;
-171A : FFCB96E3;
-171B : 001A0F93;
-171C : 1FFFFA13;
-171D : FC0B91E3;
-171E : 540CBF79;
-171F : 10000337;
-1720 : 44814981;
-1721 : 03C40B13;
-1722 : 0A134B89;
-1723 : 9963FFF3;
-1724 : 85220009;
-1725 : 00158C93;
-1726 : A1CFD0EF;
-1727 : 85E6E921;
-1728 : 00044383;
-1729 : 013B0533;
-172A : 03739463;
-172B : 00154603;
-172C : 00054703;
-172D : 00861693;
-172E : 00E6E833;
-172F : 00081363;
-1730 : 09890485;
-1731 : F9931C7D;
-1732 : 12E31FF9;
-1733 : B7A1FC0C;
-1734 : C0EFC42E;
-1735 : 7533E8DF;
-1736 : 45A20145;
-1737 : 0485E111;
-1738 : B7CD0991;
-1739 : B5D58952;
-173A : B5C54909;
-173B : BDF1892A;
-173C : C3267175;
-173D : C62AC14A;
-173E : 893284AE;
-173F : 4601086C;
-1740 : C5220068;
-1741 : DECEC706;
-1742 : AF3FD0EF;
-1743 : 1663842A;
-1744 : C4E91005;
-1745 : 458149F2;
-1746 : D04E1008;
-1747 : D0EFD402;
-1748 : 1463D58F;
-1749 : 45851205;
-174A : E0EF1008;
-174B : 1E63C82F;
-174C : C7031005;
-174D : 40910009;
-174E : 08634576;
-174F : 43810E17;
-1750 : 06B342AD;
-1751 : C6030075;
-1752 : 83330006;
-1753 : 03850074;
-1754 : 00C30023;
-1755 : FE5397E3;
-1756 : 00B48813;
-1757 : 02000593;
-1758 : 00080023;
-1759 : 07048C63;
-175A : FFF84883;
-175B : 89E3187D;
-175C : A0ADFEB8;
-175D : 92930E85;
-175E : 06B3001E;
-175F : C3030055;
-1760 : C6030016;
-1761 : 13930006;
-1762 : E3330083;
-1763 : 1A6300C3;
-1764 : 05B3000E;
-1765 : 98130133;
-1766 : 58930105;
-1767 : F4630108;
-1768 : 1F930310;
-1769 : E733010E;
-176A : 0293006F;
-176B : 9693FFF7;
-176C : D6130102;
-176D : 65630106;
-176E : 8E3308CF;
-176F : 002300F4;
-1770 : 078500EE;
-1771 : 8E1A4301;
-1772 : 00154703;
-1773 : FAEEE4E3;
-1774 : 000E0363;
-1775 : 94BE4781;
-1776 : 00048023;
-1777 : 02090F63;
-1778 : A58349F2;
-1779 : 854E0249;
-177A : 8CCFD0EF;
-177B : E515842A;
-177C : 0009CE83;
-177D : 05134F0D;
-177E : 89630430;
-177F : 409101EE;
-1780 : 02700513;
-1781 : 001E9463;
-1782 : 06400513;
-1783 : 03C98393;
-1784 : C0EF951E;
-1785 : 2023D4DF;
-1786 : 40BA00A9;
-1787 : 442A8522;
-1788 : 490A449A;
-1789 : 614959F6;
-178A : 6F8D8082;
-178B : 47814E01;
-178C : 0F134E81;
-178D : 89930FE0;
-178E : 0093800F;
-178F : B7697FF0;
-1790 : B7794781;
-1791 : 00048023;
-1792 : 4791BF51;
-1793 : FEF50CE3;
-1794 : B7E1842A;
-1795 : 579366C1;
-1796 : 17130185;
-1797 : 53130185;
-1798 : 83930085;
-1799 : E2B3F006;
-179A : 75B300E7;
-179B : 05220073;
-179C : 00FF0837;
-179D : 00B2E633;
-179E : 010578B3;
-179F : 01166533;
-17A0 : 832A8082;
-17A1 : 873288AE;
-17A2 : 87AE882A;
-17A3 : 24069063;
-17A4 : 8E13629D;
-17A5 : FA633B62;
-17A6 : 65C10CC5;
-17A7 : 0CB67063;
-17A8 : 10063513;
-17A9 : 00154693;
-17AA : 00369293;
-17AB : 00565EB3;
-17AC : 4F839E76;
-17AD : 0393000E;
-17AE : 8F330200;
-17AF : 85B3005F;
-17B0 : 8C6341E3;
-17B1 : 583301E3;
-17B2 : 97B301E3;
-17B3 : 67B300B8;
-17B4 : 173300F8;
-17B5 : 183300B6;
-17B6 : 529300B3;
-17B7 : D3330107;
-17B8 : 18930257;
-17B9 : D6130107;
-17BA : 5E130108;
-17BB : F5330108;
-17BC : 8F9A0257;
-17BD : 02660EB3;
-17BE : 01051693;
-17BF : 00DE6F33;
-17C0 : 01DF7C63;
-17C1 : 0F939F3A;
-17C2 : 6763FFF3;
-17C3 : 756300EF;
-17C4 : 0F9301DF;
-17C5 : 9F3AFFE3;
-17C6 : 41DF03B3;
-17C7 : 0253D5B3;
-17C8 : F7B30842;
-17C9 : 86AE0253;
-17CA : 02B608B3;
-17CB : 01079293;
-17CC : 01085613;
-17CD : 00566333;
-17CE : 01137C63;
-17CF : 00670EB3;
-17D0 : FFF58693;
-17D1 : 00EEE663;
-17D2 : 011EF463;
-17D3 : FFE58693;
-17D4 : 010F9713;
-17D5 : 00D76533;
-17D6 : 80824581;
-17D7 : 010003B7;
-17D8 : 65E342C1;
-17D9 : 42E1F476;
-17DA : E211B791;
-17DB : 6EC19002;
-17DC : 0BD67963;
-17DD : 10063593;
-17DE : 0015C693;
-17DF : 00369393;
-17E0 : 00765F33;
-17E1 : 01EE07B3;
-17E2 : 0007C503;
-17E3 : 02000E13;
-17E4 : 007502B3;
-17E5 : 405E0EB3;
-17E6 : 085E1C63;
-17E7 : 40C882B3;
-17E8 : 5E934585;
-17E9 : D8B30107;
-17EA : 1E1303D2;
-17EB : 5F930107;
-17EC : 5393010E;
-17ED : FF330108;
-17EE : 854603D2;
-17EF : 031F8333;
-17F0 : 010F1613;
-17F1 : 00C3E7B3;
-17F2 : 0067FC63;
-17F3 : 851397BA;
-17F4 : E763FFF8;
-17F5 : F56300E7;
-17F6 : 85130067;
-17F7 : 97BAFFE8;
-17F8 : 406782B3;
-17F9 : 03D2DE33;
-17FA : 58930842;
-17FB : FEB30108;
-17FC : 867203D2;
-17FD : 03CF86B3;
-17FE : 010E9F93;
-17FF : 01F8E333;
-1800 : 00D37C63;
-1801 : 00670F33;
-1802 : FFFE0613;
-1803 : 00EF6663;
-1804 : 00DF7463;
-1805 : FFEE0613;
-1806 : 01051713;
-1807 : 00C76533;
-1808 : 0FB78082;
-1809 : 43C10100;
-180A : F5F66CE3;
-180B : BF8943E1;
-180C : 01D61733;
-180D : 0058DFB3;
-180E : 01075F13;
-180F : 03EFD7B3;
-1810 : 00535833;
-1811 : 01D898B3;
-1812 : 011863B3;
-1813 : 01D31833;
-1814 : 01071313;
-1815 : 01035613;
-1816 : 0103D693;
-1817 : 03EFF5B3;
-1818 : 05338E3E;
-1819 : 929302F6;
-181A : EEB30105;
-181B : FC630056;
-181C : 9EBA00AE;
-181D : FFF78E13;
-181E : 00EEE763;
-181F : 00AEF563;
-1820 : FFE78E13;
-1821 : 8FB39EBA;
-1822 : D8B340AE;
-1823 : 03C203EF;
-1824 : 0103D793;
-1825 : 03EFFF33;
-1826 : 03338546;
-1827 : 16130316;
-1828 : E5B3010F;
-1829 : FC6300C7;
-182A : 95BA0065;
-182B : FFF88513;
-182C : 00E5E763;
-182D : 0065F563;
-182E : FFE88513;
-182F : 169395BA;
-1830 : 82B3010E;
-1831 : E5B34065;
-1832 : BDE100A6;
-1833 : 16D5E063;
-1834 : F16367C1;
-1835 : B39304F6;
-1836 : C5131006;
-1837 : 17130013;
-1838 : 681D0035;
-1839 : 00E6D5B3;
-183A : 3B680E13;
-183B : 00BE0EB3;
-183C : 000ECF03;
-183D : 02000793;
-183E : 00EF0FB3;
-183F : 41F785B3;
-1840 : 03F79163;
-1841 : EAE34505;
-1842 : 3533E516;
-1843 : 451300C3;
-1844 : 80820015;
-1845 : 010002B7;
-1846 : E4E34741;
-1847 : 4761FC56;
-1848 : 52B3B7C9;
-1849 : 96B301F6;
-184A : E3B300B6;
-184B : D73300D2;
-184C : 553301F8;
-184D : DF9301F3;
-184E : 5EB30103;
-184F : 981303F7;
-1850 : 57930103;
-1851 : 98B30108;
-1852 : 6F3300B8;
-1853 : 16330115;
-1854 : 76B300B6;
-1855 : 571303F7;
-1856 : 88F6010F;
-1857 : 03D78E33;
-1858 : 01069293;
-1859 : 00576533;
-185A : 01C57C63;
-185B : 8893951E;
-185C : 6763FFFE;
-185D : 75630075;
-185E : 889301C5;
-185F : 951EFFEE;
-1860 : 41C50EB3;
-1861 : 03FEDE33;
-1862 : FFB30F42;
-1863 : 82F203FE;
-1864 : 03C78833;
-1865 : 010F9693;
-1866 : 010F5793;
-1867 : 00D7E733;
-1868 : 01077C63;
-1869 : 0293971E;
-186A : 6763FFFE;
-186B : 75630077;
-186C : 02930107;
-186D : 971EFFEE;
-186E : 6EC108C2;
-186F : 0058E533;
-1870 : FFFE8E13;
-1871 : 01C676B3;
-1872 : 01C57FB3;
-1873 : 410703B3;
-1874 : 58138241;
-1875 : 07B30105;
-1876 : 8F3302D8;
-1877 : 82B302DF;
-1878 : 571302CF;
-1879 : 88B3010F;
-187A : 0E3300F2;
-187B : 08330117;
-187C : 736302C8;
-187D : 987600FE;
-187E : 010E5E93;
-187F : 010E8FB3;
-1880 : 03F3E463;
-1881 : D5F39AE3;
-1882 : 00B31333;
-1883 : 839365C1;
-1884 : 76B3FFF5;
-1885 : 9613007E;
-1886 : 7F330106;
-1887 : 07B3007F;
-1888 : 458101E6;
-1889 : D2F37BE3;
-188A : B33D157D;
-188B : 45014581;
-188C : 25038082;
-188D : 80820DC0;
-188E : 14634701;
-188F : 450100E6;
-1890 : 07B38082;
-1891 : 070500E5;
-1892 : 00E586B3;
-1893 : 0007C283;
-1894 : FFF6C303;
-1895 : FE6283E3;
-1896 : 40628533;
-1897 : 71358082;
-1898 : CB3EDAA6;
-1899 : DCA2DE86;
-189A : C736D8CA;
-189B : CD42C93A;
-189C : 2483CF46;
-189D : DC630DC0;
-189E : 05130005;
-189F : C08808B0;
-18A0 : 50F6557D;
-18A1 : 54D65466;
-18A2 : 610D5946;
-18A3 : 00938082;
-18A4 : 1C232080;
-18A5 : C62A0011;
-18A6 : 842ECE2A;
-18A7 : C1994281;
-18A8 : FFF58293;
-18A9 : 0174597D;
-18AA : 8526006C;
-18AB : D016CA16;
-18AC : 01211D23;
-18AD : 224DC436;
-18AE : 01255663;
-18AF : 08B00313;
-18B0 : 0064A023;
-18B1 : 43B2DC5D;
-18B2 : 00038023;
-18B3 : F593BF5D;
-18B4 : 47830FF5;
-18B5 : C7910005;
-18B6 : 00B79363;
-18B7 : 05058082;
-18B8 : C191BFCD;
-18B9 : 80824501;
-18BA : DCA27119;
-18BB : DE86DAA6;
-18BC : 84AAD8CA;
-18BD : 5D638432;
-18BE : 03930006;
-18BF : 202308B0;
-18C0 : 557D0075;
-18C1 : 546650F6;
-18C2 : 594654D6;
-18C3 : 80826109;
-18C4 : 20800793;
-18C5 : 00F11C23;
-18C6 : CE2EC62E;
-18C7 : 40818636;
-18C8 : C01986BA;
-18C9 : FFF40093;
-18CA : 006C597D;
-18CB : CA068526;
-18CC : 1D23D006;
-18CD : 220D0121;
-18CE : 01255663;
-18CF : 08B00293;
-18D0 : 0054A023;
-18D1 : 4332D061;
-18D2 : 00030023;
-18D3 : 8736BF65;
-18D4 : 862E86B2;
-18D5 : 250385AA;
-18D6 : F06F0DC0;
-18D7 : 1101F8FF;
-18D8 : AB03C05A;
-18D9 : CC220085;
-18DA : C452C64E;
-18DB : CE06C256;
-18DC : C84ACA26;
-18DD : 0005AA83;
-18DE : 8A32842E;
-18DF : ED6389B6;
-18E0 : D7830766;
-18E1 : F71300C5;
-18E2 : C72D4807;
-18E3 : 01442083;
-18E4 : 4309448D;
-18E5 : 021482B3;
-18E6 : 8393498C;
-18E7 : 8B2A0016;
-18E8 : 40BA8AB3;
-18E9 : 01538533;
-18EA : 0262C4B3;
-18EB : 00A4F363;
-18EC : F61384AA;
-18ED : CE2D4007;
-18EE : 855A85A6;
-18EF : 037000EF;
-18F0 : C141892A;
-18F1 : 8656480C;
-18F2 : F0CFC0EF;
-18F3 : 00C45583;
-18F4 : B7F5F693;
-18F5 : 0806E813;
-18F6 : 01041623;
-18F7 : 015908B3;
-18F8 : 41548E33;
-18F9 : 01242823;
-18FA : 01142023;
-18FB : 8B4EC844;
-18FC : 01C42423;
-18FD : 0169F363;
-18FE : 40088B4E;
-18FF : 865A85D2;
-1900 : 2E832DF5;
-1901 : 2F830084;
-1902 : 45010004;
-1903 : 416E8F33;
-1904 : 016F8A33;
-1905 : 01E42423;
-1906 : 01442023;
-1907 : 446240F2;
-1908 : 494244D2;
-1909 : 4A2249B2;
-190A : 4B024A92;
-190B : 80826105;
-190C : 855A8626;
-190D : 0B3000EF;
-190E : F14D892A;
-190F : 855A480C;
-1910 : 578325CD;
-1911 : 49B100C4;
-1912 : 013B2023;
-1913 : 0407E713;
-1914 : 00E41623;
-1915 : B7D9557D;
-1916 : 00C5D783;
-1917 : D7067171;
-1918 : D326D522;
-1919 : CD52D14A;
-191A : CB56CF4E;
-191B : C75EC95A;
-191C : C366C562;
-191D : DEEEC16A;
-191E : 0807F093;
-191F : 892E8A2A;
-1920 : 843684B2;
-1921 : 04008563;
-1922 : 0105A283;
-1923 : 04029163;
-1924 : 04000593;
-1925 : 20232FB9;
-1926 : 282300A9;
-1927 : E50500A9;
-1928 : 20234AB1;
-1929 : 557D015A;
-192A : 542A50BA;
-192B : 590A549A;
-192C : 4A6A49FA;
-192D : 4B4A4ADA;
-192E : 4C2A4BBA;
-192F : 4D0A4C9A;
-1930 : 614D5DF6;
-1931 : 03138082;
-1932 : 2A230400;
-1933 : 03930069;
-1934 : 05130200;
-1935 : D2020300;
-1936 : 027104A3;
-1937 : 02A10523;
-1938 : 0C93C622;
-1939 : 6B1D0250;
-193A : 6D1D6B9D;
-193B : 0A936C19;
-193C : 84260000;
-193D : 00044583;
-193E : 9263C199;
-193F : 0DB30B95;
-1940 : 0F634094;
-1941 : 86260094;
-1942 : 85CA86EE;
-1943 : F0EF8552;
-1944 : 54FDE51F;
-1945 : 1A950B63;
-1946 : 06B35612;
-1947 : D23601B6;
-1948 : 00044703;
-1949 : 1A070363;
-194A : 0493587D;
-194B : C8020014;
-194C : CA42CE02;
-194D : 09A3CC02;
-194E : D4820401;
-194F : C5834D85;
-1950 : 46150004;
-1951 : 4B6B0513;
-1952 : 48C22B69;
-1953 : 00148413;
-1954 : FE13E929;
-1955 : 06630108;
-1956 : 0E93000E;
-1957 : 09A30200;
-1958 : FF1305D1;
-1959 : 06630088;
-195A : 0F93000F;
-195B : 09A302B0;
-195C : C78305F1;
-195D : 00930004;
-195E : 8F6302A0;
-195F : 4DF20217;
-1960 : 45A58426;
-1961 : 45294481;
-1962 : 00044283;
-1963 : 00140393;
-1964 : FD028313;
-1965 : 0665F763;
-1966 : CE6EC885;
-1967 : 0405A035;
-1968 : 0613BF91;
-1969 : 06B34B6B;
-196A : 983340C5;
-196B : E73300DD;
-196C : C83A0108;
-196D : B76184A2;
-196E : 42184632;
-196F : 00460693;
-1970 : 4963C636;
-1971 : CE3A0207;
-1972 : 00044E03;
-1973 : 02E00E93;
-1974 : 07DE1263;
-1975 : 00144F03;
-1976 : 02A00F93;
-1977 : 03FF1C63;
-1978 : 040944B2;
-1979 : 8613408C;
-197A : C6320044;
-197B : 0205C263;
-197C : A089CA2E;
-197D : 40E00833;
-197E : 0028E893;
-197F : C846CE42;
-1980 : 8433B7E1;
-1981 : 448502AD;
-1982 : 00640DB3;
-1983 : BFAD841E;
-1984 : BFF955FD;
-1985 : CA020405;
-1986 : 45814D81;
-1987 : 43A94525;
-1988 : 00044083;
-1989 : 00140313;
-198A : FD008293;
-198B : 06557363;
-198C : FC0D90E3;
-198D : 00044583;
-198E : 8513460D;
-198F : 21554BCB;
-1990 : 4E42CD11;
-1991 : 4BCB8693;
-1992 : 40D50833;
-1993 : 04000713;
-1994 : 010718B3;
-1995 : 011E6EB3;
-1996 : C8760405;
-1997 : 00044583;
-1998 : 05134619;
-1999 : 04934C0D;
-199A : 04230014;
-199B : 299502B1;
-199C : 9E63C535;
-199D : 40C2020A;
-199E : F2934FB2;
-199F : 81631000;
-19A0 : 85130202;
-19A1 : C62A004F;
-19A2 : 85B35792;
-19A3 : D22E0137;
-19A4 : 87B3B58D;
-19A5 : 841A0275;
-19A6 : 85B34D85;
-19A7 : B7490057;
-19A8 : 007F8313;
-19A9 : FF837393;
-19AA : 00838513;
-19AB : 0078BFE9;
-19AC : 35EC0693;
-19AD : 080C864A;
-19AE : 00978552;
-19AF : 00E70000;
-19B0 : 5F7D0000;
-19B1 : 11E389AA;
-19B2 : 5983FDE5;
-19B3 : 557D00C9;
-19B4 : 0409F913;
-19B5 : DC091AE3;
-19B6 : B3F95512;
-19B7 : 06930078;
-19B8 : 864A35EC;
-19B9 : 8552080C;
-19BA : BFE12A0D;
-19BB : CC527179;
-19BC : 8A3A499C;
-19BD : D4224598;
-19BE : CE4ED04A;
-19BF : D606CA56;
-19C0 : C85AD226;
-19C1 : 89AAC65E;
-19C2 : 8932842E;
-19C3 : D3638AB6;
-19C4 : 87BA00E7;
-19C5 : 00F92023;
-19C6 : 04344083;
-19C7 : 00008663;
-19C8 : 00178293;
-19C9 : 00592023;
-19CA : 00042303;
-19CB : 02037393;
-19CC : 00038863;
-19CD : 00092483;
-19CE : 00248513;
-19CF : 00A92023;
-19D0 : F493400C;
-19D1 : E8990065;
-19D2 : 01940B13;
-19D3 : 44505BFD;
-19D4 : 00092683;
-19D5 : 40D60833;
-19D6 : 0704C363;
-19D7 : 00042B03;
-19D8 : 04344883;
-19D9 : 020B7B93;
-19DA : 011036B3;
-19DB : 060B9E63;
-19DC : 04340613;
-19DD : 854E85D6;
-19DE : 57FD9A02;
-19DF : 04F50863;
-19E0 : 00042083;
-19E1 : 44814311;
-19E2 : 0060F293;
-19E3 : 00629B63;
-19E4 : 00C42383;
-19E5 : 00092903;
-19E6 : 412384B3;
-19E7 : 0004D363;
-19E8 : 44084481;
-19E9 : D563480C;
-19EA : 063300A5;
-19EB : 94B240B5;
-19EC : 04694B81;
-19ED : 99635B7D;
-19EE : 45010574;
-19EF : 4685A809;
-19F0 : 85D6865A;
-19F1 : 9A02854E;
-19F2 : 01751E63;
-19F3 : 50B2557D;
-19F4 : 54925422;
-19F5 : 49F25902;
-19F6 : 4AD24A62;
-19F7 : 4BB24B42;
-19F8 : 80826145;
-19F9 : B7A50485;
-19FA : 00D40EB3;
-19FB : 03000F13;
-19FC : 05EE81A3;
-19FD : 04544F83;
-19FE : 00168E13;
-19FF : 01C40733;
-1A00 : 01A30689;
-1A01 : B7AD05F7;
-1A02 : 86224685;
-1A03 : 854E85D6;
-1A04 : 0DE39A02;
-1A05 : 0B85FB65;
-1A06 : 7179BF79;
-1A07 : D226D422;
-1A08 : CE4ED04A;
-1A09 : CC52D606;
-1A0A : C85ACA56;
-1A0B : 0185C883;
-1A0C : 07800793;
-1A0D : 842E84AA;
-1A0E : 89B68932;
-1A0F : 0117EE63;
-1A10 : 06200093;
-1A11 : 04358693;
-1A12 : 0110ED63;
-1A13 : 20088963;
-1A14 : 05800593;
-1A15 : 1CB88563;
-1A16 : 04240A93;
-1A17 : 05140123;
-1A18 : 8293A81D;
-1A19 : F313F9D8;
-1A1A : 46550FF2;
-1A1B : FE6666E3;
-1A1C : 1393651D;
-1A1D : 05930023;
-1A1E : 88334EC5;
-1A1F : 2A0300B3;
-1A20 : 8A020008;
-1A21 : 0A93431C;
-1A22 : 43900424;
-1A23 : 00478693;
-1A24 : 0123C314;
-1A25 : 4F8504C4;
-1A26 : 2E03AACD;
-1A27 : 2E830004;
-1A28 : 7F930007;
-1A29 : 8F13080E;
-1A2A : 8363004E;
-1A2B : A783020F;
-1A2C : 2023000E;
-1A2D : 629D01E7;
-1A2E : 0007D863;
-1A2F : 02D00313;
-1A30 : 40F007B3;
-1A31 : 046401A3;
-1A32 : 4C728393;
-1A33 : A8A948A9;
-1A34 : 000EA783;
-1A35 : 040E7093;
-1A36 : 01E72023;
-1A37 : FC008DE3;
-1A38 : 01079713;
-1A39 : 41075793;
-1A3A : 2F83B7F9;
-1A3B : 431C0004;
-1A3C : 080FF293;
-1A3D : 00478093;
-1A3E : 00028663;
-1A3F : 00172023;
-1A40 : A809439C;
-1A41 : 040FF313;
-1A42 : 00172023;
-1A43 : FE030AE3;
-1A44 : 0007D783;
-1A45 : 0613639D;
-1A46 : 839306F0;
-1A47 : 84634C73;
-1A48 : 48A910C8;
-1A49 : 040401A3;
-1A4A : 00442283;
-1A4B : 00542423;
-1A4C : 0002C763;
-1A4D : 00042303;
-1A4E : FFB37613;
-1A4F : E781C010;
-1A50 : 81638AB6;
-1A51 : 8AB60202;
-1A52 : 0317F5B3;
-1A53 : 853E1AFD;
-1A54 : 00B38A33;
-1A55 : 000A4803;
-1A56 : 0317D7B3;
-1A57 : 010A8023;
-1A58 : FF1574E3;
-1A59 : 936343A1;
-1A5A : 28830278;
-1A5B : FB130004;
-1A5C : 0D630018;
-1A5D : 2E03000B;
-1A5E : 2E830044;
-1A5F : C7630104;
-1A60 : 0F1301CE;
-1A61 : 8FA30300;
-1A62 : 1AFDFFEA;
-1A63 : 415686B3;
-1A64 : 874EC814;
-1A65 : 007086CA;
-1A66 : 852685A2;
-1A67 : D51FF0EF;
-1A68 : 19635A7D;
-1A69 : 557D0F45;
-1A6A : 542250B2;
-1A6B : 59025492;
-1A6C : 4A6249F2;
-1A6D : 4B424AD2;
-1A6E : 80826145;
-1A6F : 00042E03;
-1A70 : 020E6E93;
-1A71 : 01D42023;
-1A72 : 08936F1D;
-1A73 : 03930780;
-1A74 : 02A34D8F;
-1A75 : 28030514;
-1A76 : 43080004;
-1A77 : 08087B13;
-1A78 : 0A93411C;
-1A79 : 1A630045;
-1A7A : 7E13000B;
-1A7B : 06630408;
-1A7C : 9E93000E;
-1A7D : D7930107;
-1A7E : 2023010E;
-1A7F : 7F130157;
-1A80 : 06630018;
-1A81 : 6F93000F;
-1A82 : 20230208;
-1A83 : 48C101F4;
-1A84 : 2083FB91;
-1A85 : F7130004;
-1A86 : C018FDF0;
-1A87 : 6A1DB721;
-1A88 : 4C7A0393;
-1A89 : 48A1B77D;
-1A8A : 2883BDF5;
-1A8B : 2A030004;
-1A8C : 484C0007;
-1A8D : 0808F813;
-1A8E : 004A0513;
-1A8F : 00080863;
-1A90 : 2B03C308;
-1A91 : 2023000A;
-1A92 : A81100BB;
-1A93 : FA93C308;
-1A94 : 2B030408;
-1A95 : 88E3000A;
-1A96 : 1023FE0A;
-1A97 : 282300BB;
-1A98 : 8AB60004;
-1A99 : 2A83B73D;
-1A9A : 40500007;
-1A9B : 8B134581;
-1A9C : 2023004A;
-1A9D : AA830167;
-1A9E : 8556000A;
-1A9F : C501209D;
-1AA0 : 41550733;
-1AA1 : 2F83C058;
-1AA2 : 28230044;
-1AA3 : 01A301F4;
-1AA4 : B7010404;
-1AA5 : 86564814;
-1AA6 : 852685CA;
-1AA7 : 04E39982;
-1AA8 : 2083F145;
-1AA9 : F7130004;
-1AAA : E71D0020;
-1AAB : 444844B2;
-1AAC : EE955CE3;
-1AAD : BDCD8526;
-1AAE : 86564685;
-1AAF : 852685CA;
-1AB0 : 02E39982;
-1AB1 : 0A05EF65;
-1AB2 : 00C42283;
-1AB3 : 86334332;
-1AB4 : 43E34062;
-1AB5 : BFD9FECA;
-1AB6 : 0A934A01;
-1AB7 : 5B7D0194;
-1AB8 : F593B7E5;
-1AB9 : 962A0FF5;
-1ABA : 00C51463;
-1ABB : 80824501;
-1ABC : 00054783;
-1ABD : FEB78DE3;
-1ABE : B7FD0505;
-1ABF : 832AC215;
-1AC0 : 67634685;
-1AC1 : 56FD00B5;
-1AC2 : FFF60713;
-1AC3 : 95BA933A;
-1AC4 : 00058383;
-1AC5 : 00730023;
-1AC6 : 9336167D;
-1AC7 : FA6D95B6;
-1AC8 : C5F98082;
-1AC9 : FFC5A783;
-1ACA : CC221101;
-1ACB : 8413CE06;
-1ACC : D363FFC5;
-1ACD : 943E0007;
-1ACE : 2CA1C62A;
-1ACF : 13C02803;
-1AD0 : 1A634532;
-1AD1 : 22230008;
-1AD2 : 2E230004;
-1AD3 : 44621280;
-1AD4 : 610540F2;
-1AD5 : 7363A481;
-1AD6 : 20830304;
-1AD7 : 06B30004;
-1AD8 : 1A630014;
-1AD9 : 260300D8;
-1ADA : 28030008;
-1ADB : 02B30048;
-1ADC : 20230016;
-1ADD : 22230054;
-1ADE : BFC10104;
-1ADF : 280380C2;
-1AE0 : 04630048;
-1AE1 : 7BE30008;
-1AE2 : A683FF04;
-1AE3 : 86330000;
-1AE4 : 176300D0;
-1AE5 : 2E030286;
-1AE6 : 8EB30004;
-1AE7 : A02301C6;
-1AE8 : 8F3301D0;
-1AE9 : 14E301D0;
-1AEA : 2F83FBE8;
-1AEB : 24030008;
-1AEC : 87B30048;
-1AED : A02301DF;
-1AEE : A22300F0;
-1AEF : BF410080;
-1AF0 : 00C47663;
-1AF1 : 202348B1;
-1AF2 : B7510115;
-1AF3 : 00042283;
-1AF4 : 00540333;
-1AF5 : 00681963;
-1AF6 : 00082383;
-1AF7 : 00482803;
-1AF8 : 005385B3;
-1AF9 : 2223C00C;
-1AFA : A2230104;
-1AFB : B7850080;
-1AFC : 11018082;
-1AFD : 8493CA26;
-1AFE : CE060035;
-1AFF : FFC4F093;
-1B00 : CC22C84A;
-1B01 : 8493C64E;
-1B02 : 47B10080;
-1B03 : F663892A;
-1B04 : 44B104F4;
-1B05 : 04B4E563;
-1B06 : 2AA5854A;
-1B07 : 13C00713;
-1B08 : 00072883;
-1B09 : 13C00693;
-1B0A : E4298446;
-1B0B : 14000993;
-1B0C : 0009A303;
-1B0D : 00031763;
-1B0E : 854A4581;
-1B0F : A023221D;
-1B10 : 85A600A9;
-1B11 : 2A31854A;
-1B12 : 116359FD;
-1B13 : 45310935;
-1B14 : 00A92023;
-1B15 : 2A3D854A;
-1B16 : DDE3A031;
-1B17 : 42B1FA04;
-1B18 : 00592023;
-1B19 : 40F24501;
-1B1A : 44D24462;
-1B1B : 49B24942;
-1B1C : 80826105;
-1B1D : 8833400C;
-1B1E : 46634095;
-1B1F : 462D0408;
-1B20 : 01067763;
-1B21 : 01042023;
-1B22 : C0049442;
-1B23 : 2E03A039;
-1B24 : 97630044;
-1B25 : A0230288;
-1B26 : 854A01C6;
-1B27 : 0F1328E5;
-1B28 : 0E9300B4;
-1B29 : 75130044;
-1B2A : 0FB3FF8F;
-1B2B : 0CE341D5;
-1B2C : 00B3FBD5;
-1B2D : 87B301F4;
-1B2E : A02340AE;
-1B2F : B76500F0;
-1B30 : 01C8A223;
-1B31 : 88A2BFD9;
-1B32 : B7854040;
-1B33 : 00350393;
-1B34 : FFC3F413;
-1B35 : FA850BE3;
-1B36 : 40A405B3;
-1B37 : 2051854A;
-1B38 : FB3515E3;
-1B39 : 1101B7AD;
-1B3A : CE06CC22;
-1B3B : C84ACA26;
-1B3C : C452C64E;
-1B3D : E9998432;
-1B3E : 40F24462;
-1B3F : 494244D2;
-1B40 : 4A2249B2;
-1B41 : 610585B2;
-1B42 : EEBFF06F;
-1B43 : F0EFEE09;
-1B44 : 4481E15F;
-1B45 : 446240F2;
-1B46 : 49B24942;
-1B47 : 85264A22;
-1B48 : 610544D2;
-1B49 : 8A2A8082;
-1B4A : 20B5892E;
-1B4B : 676389AA;
-1B4C : 57930085;
-1B4D : 84CA0015;
-1B4E : FC87EEE3;
-1B4F : 855285A2;
-1B50 : EB3FF0EF;
-1B51 : D57984AA;
-1B52 : F3638622;
-1B53 : 864E0089;
-1B54 : 852685CA;
-1B55 : D81FB0EF;
-1B56 : 855285CA;
-1B57 : DC7FF0EF;
-1B58 : 1141BF55;
-1B59 : C226C422;
-1B5A : 852E842A;
-1B5B : 2223C606;
-1B5C : 90EF1400;
-1B5D : 57FD9CBF;
-1B5E : 00F51863;
-1B5F : 14402083;
-1B60 : 00008463;
-1B61 : 00142023;
-1B62 : 442240B2;
-1B63 : 01414492;
-1B64 : 80828082;
-1B65 : A7838082;
-1B66 : 8513FFC5;
-1B67 : D663FFC7;
-1B68 : 95AA0007;
-1B69 : 0005A283;
-1B6A : 80829516;
-1B6B : 4F525245;
-1B6C : 25203A52;
-1B6D : 74612073;
-1B6E : 25783020;
-1B6F : 0A783830;
-1B70 : 73696D00;
-1B71 : 67696C61;
-1B72 : 0064656E;
-1B73 : 61766E69;
-1B74 : 2064696C;
-1B75 : 74736E69;
-1B76 : 74637572;
-1B77 : 006E6F69;
-1B78 : 74696157;
-1B79 : 20676E69;
-1B7A : 20732035;
-1B7B : 20726F66;
-1B7C : 74736574;
-1B7D : 2E676E69;
-1B7E : 4E002E2E;
-1B7F : 6120746F;
-1B80 : 58414D20;
-1B81 : 62203038;
-1B82 : 6472616F;
-1B83 : 213F213F;
-1B84 : 4D000A0A;
-1B85 : 30385841;
-1B86 : 72657620;
-1B87 : 2E752520;
-1B88 : 72207525;
-1B89 : 726F7765;
-1B8A : 6C66206B;
-1B8B : 20736761;
-1B8C : 78343025;
-1B8D : 51000A0A;
-1B8E : 6B636975;
-1B8F : 41524420;
-1B90 : 6574204D;
-1B91 : 0A3A7473;
-1B92 : 38302500;
-1B93 : 0A002078;
-1B94 : 6E61520A;
-1B95 : 206D6F64;
-1B96 : 626D756E;
-1B97 : 67207265;
-1B98 : 72656E65;
-1B99 : 726F7461;
-1B9A : 73657420;
-1B9B : 000A3A74;
-1B9C : 2A2A0A0A;
-1B9D : 6548202A;
-1B9E : 2C6F6C6C;
-1B9F : 726F5720;
-1BA0 : 2021646C;
-1BA1 : 0A2A2A2A;
-1BA2 : 3858414D;
-1BA3 : 69662030;
-1BA4 : 61776D72;
-1BA5 : 63206572;
-1BA6 : 69706D6F;
-1BA7 : 2064656C;
-1BA8 : 203A6E6F;
-1BA9 : 20636544;
-1BAA : 32203920;
-1BAB : 20313230;
-1BAC : 343A3332;
-1BAD : 30333A36;
-1BAE : 5452000A;
-1BAF : 49203A43;
-1BB0 : 53204332;
-1BB1 : 73204144;
-1BB2 : 6B637574;
-1BB3 : 776F6C20;
-1BB4 : 6F4E000A;
-1BB5 : 43545220;
-1BB6 : 74656420;
-1BB7 : 65746365;
-1BB8 : 74612064;
-1BB9 : 43324920;
-1BBA : 64646120;
-1BBB : 73736572;
-1BBC : 25783020;
-1BBD : 0A783230;
-1BBE : 43545200;
-1BBF : 6D697420;
-1BC0 : 25203A65;
-1BC1 : 2D753430;
-1BC2 : 75323025;
-1BC3 : 3230252D;
-1BC4 : 30252075;
-1BC5 : 253A7532;
-1BC6 : 3A753230;
-1BC7 : 75323025;
-1BC8 : 4F52000A;
-1BC9 : 6573204D;
-1BCA : 6C616972;
-1BCB : 3025203A;
-1BCC : 252D7838;
-1BCD : 20783830;
-1BCE : 29732528;
-1BCF : 4241000A;
-1BD0 : 46454443;
-1BD1 : 4B4A4847;
-1BD2 : 504E4D4C;
-1BD3 : 55545251;
-1BD4 : 59585756;
-1BD5 : 33323130;
-1BD6 : 37363534;
-1BD7 : 73003938;
-1BD8 : 72616364;
-1BD9 : 65725F64;
-1BDA : 625F6461;
-1BDB : 6B636F6C;
-1BDC : 5243203A;
-1BDD : 72652043;
-1BDE : 20726F72;
-1BDF : 72637A28;
-1BE0 : 203D2063;
-1BE1 : 78343025;
-1BE2 : 73000A29;
-1BE3 : 72616364;
-1BE4 : 63203A64;
-1BE5 : 20647261;
-1BE6 : 6F6D6572;
-1BE7 : 0A646576;
-1BE8 : 63647300;
-1BE9 : 3A647261;
-1BEA : 3A732520;
-1BEB : 30252000;
-1BEC : 20007838;
-1BED : 6C696166;
-1BEE : 202C6465;
-1BEF : 20727265;
-1BF0 : 78323025;
-1BF1 : 6473000A;
-1BF2 : 64726163;
-1BF3 : 6572203A;
-1BF4 : 6E696461;
-1BF5 : 64252067;
-1BF6 : 63657320;
-1BF7 : 25726F74;
-1BF8 : 74612073;
-1BF9 : 20752520;
-1BFA : 25206F74;
-1BFB : 73000A70;
-1BFC : 72616364;
-1BFD : 72203A64;
-1BFE : 5F646165;
-1BFF : 746C756D;
-1C00 : 656C7069;
-1C01 : 72726520;
-1C02 : 2520726F;
-1C03 : 0A783230;
-1C04 : 63647300;
-1C05 : 3A647261;
-1C06 : 61657220;
-1C07 : 65735F64;
-1C08 : 726F7463;
-1C09 : 74203A73;
-1C0A : 696D7265;
-1C0B : 6574616E;
-1C0C : 6D6F6320;
-1C0D : 646E616D;
-1C0E : 72726520;
-1C0F : 2520726F;
-1C10 : 0A783230;
-1C11 : 63647300;
-1C12 : 3A647261;
-1C13 : 69727720;
-1C14 : 676E6974;
-1C15 : 20642520;
-1C16 : 74636573;
-1C17 : 2073726F;
-1C18 : 25207461;
-1C19 : 72662075;
-1C1A : 25206D6F;
-1C1B : 73000A70;
-1C1C : 72616364;
-1C1D : 63203A64;
-1C1E : 20647261;
-1C1F : 6F6D6572;
-1C20 : 20646576;
-1C21 : 5257202D;
-1C22 : 20455449;
-1C23 : 54534F4C;
-1C24 : 6473000A;
-1C25 : 64726163;
-1C26 : 7277203A;
-1C27 : 5F657469;
-1C28 : 746C756D;
-1C29 : 656C7069;
-1C2A : 72726520;
-1C2B : 2520726F;
-1C2C : 0A783230;
-1C2D : 63647300;
-1C2E : 3A647261;
-1C2F : 69727720;
-1C30 : 65206574;
-1C31 : 726F7272;
-1C32 : 3025203A;
-1C33 : 000A7832;
-1C34 : 61636473;
-1C35 : 203A6472;
-1C36 : 63206F6E;
-1C37 : 20647261;
-1C38 : 65746564;
-1C39 : 64657463;
-1C3A : 6473000A;
-1C3B : 64726163;
-1C3C : 4D43203A;
-1C3D : 72203844;
-1C3E : 726F7065;
-1C3F : 75207374;
-1C40 : 6173756E;
-1C41 : 20656C62;
-1C42 : 64726163;
-1C43 : 78302820;
-1C44 : 0A297825;
-1C45 : 63647300;
-1C46 : 3A647261;
-1C47 : 4D434120;
-1C48 : 20313444;
-1C49 : 6F727265;
-1C4A : 30252072;
-1C4B : 000A7832;
-1C4C : 61636473;
-1C4D : 203A6472;
-1C4E : 35444D43;
-1C4F : 72652038;
-1C50 : 20726F72;
-1C51 : 78323025;
-1C52 : 6473000A;
-1C53 : 64726163;
-1C54 : 4D43203A;
-1C55 : 65203144;
-1C56 : 726F7272;
-1C57 : 32302520;
-1C58 : 73000A78;
-1C59 : 72616364;
-1C5A : 43203A64;
-1C5B : 3631444D;
-1C5C : 72726520;
-1C5D : 2520726F;
-1C5E : 0A783230;
-1C5F : 44534300;
-1C60 : 63647300;
-1C61 : 3A647261;
-1C62 : 69777320;
-1C63 : 65686374;
-1C64 : 6F742064;
-1C65 : 67696820;
-1C66 : 70732068;
-1C67 : 0A646565;
-1C68 : 44494300;
-1C69 : 63647300;
-1C6A : 3A647261;
-1C6B : 20732520;
-1C6C : 64726163;
-1C6D : 756F6620;
-1C6E : 202C646E;
-1C6F : 61706163;
-1C70 : 79746963;
-1C71 : 20752520;
-1C72 : 74636573;
-1C73 : 0A73726F;
-1C74 : 6B6E7500;
-1C75 : 6E776F6E;
-1C76 : 2F445300;
-1C77 : 00434D4D;
-1C78 : 43484453;
-1C79 : 5844532F;
-1C7A : 4D652F43;
-1C7B : 0000434D;
-1C7C : 000071D1;
-1C7D : 000071D9;
-1C7E : 000071E0;
-1C7F : 00005000;
-1C80 : 00C00800;
-1C81 : 400050D0;
-1C82 : 00006000;
-1C83 : 00801000;
-1C84 : 40000000;
-1C85 : 00008000;
-1C86 : 00C04000;
-1C87 : 400010D0;
-1C88 : FFFFFFFF;
-1C89 : 00000000;
-1C8A : 00000000;
-1C8B : 38434241;
-1C8C : 41003030;
-1C8D : 30384342;
-1C8E : 6F282000;
-1C8F : 6E696C6E;
-1C90 : 000A2965;
-1C91 : 666F2820;
-1C92 : 6E696C66;
-1C93 : 000A2965;
-1C94 : 61636473;
-1C95 : 203A6472;
-1C96 : 76206F6E;
-1C97 : 6D756C6F;
-1C98 : 6F662065;
-1C99 : 0A646E75;
-1C9A : 63647300;
-1C9B : 3A647261;
-1C9C : 6C6F7620;
-1C9D : 20656D75;
-1C9E : 6E756F66;
-1C9F : 6C202C64;
-1CA0 : 6C656261;
-1CA1 : 73252220;
-1CA2 : 76202C22;
-1CA3 : 64696C6F;
-1CA4 : 38302520;
-1CA5 : 73000A78;
-1CA6 : 72616364;
-1CA7 : 25203A64;
-1CA8 : 75252F75;
-1CA9 : 756C6320;
-1CAA : 72657473;
-1CAB : 72662073;
-1CAC : 202C6565;
-1CAD : 73756C63;
-1CAE : 73726574;
-1CAF : 25203D20;
-1CB0 : 79622075;
-1CB1 : 0A736574;
-1CB2 : 43424100;
-1CB3 : 7375622D;
-1CB4 : 736F6820;
-1CB5 : 00203A74;
-1CB6 : 322E2D25;
-1CB7 : 00632573;
-1CB8 : 73257325;
-1CB9 : 63626100;
-1CBA : 6B736964;
-1CBB : 2D25203A;
-1CBC : 3D207333;
-1CBD : 0A732520;
-1CBE : 63626100;
-1CBF : 6B736964;
-1CC0 : 2D25203A;
-1CC1 : 21207333;
-1CC2 : 6C696620;
-1CC3 : 6F742065;
-1CC4 : 7266206F;
-1CC5 : 656D6761;
-1CC6 : 6465746E;
-1CC7 : 6977202C;
-1CC8 : 62206C6C;
-1CC9 : 6C732065;
-1CCA : 000A776F;
-1CCB : 6362612F;
-1CCC : 6B736964;
-1CCD : 612F002F;
-1CCE : 69646362;
-1CCF : 002E6B73;
-1CD0 : 00007574;
-1CD1 : 0000732C;
-1CD2 : 00007336;
-1CD3 : 00007334;
-1CD4 : 00000000;
-1CD5 : 05002D00;
-1CD6 : 00100228;
-1CD7 : 00006F6D;
-1CD8 : 0A002C02;
-1CD9 : 00100250;
-1CDA : 0000666D;
-1CDB : 0F8A2E02;
-1CDC : 001A024D;
-1CDD : 00006673;
-1CDE : EEE02405;
-1CDF : 014010EE;
-1CE0 : 00006468;
-1CE1 : 459076EB;
-1CE2 : 54414658;
-1CE3 : 00202020;
-1CE4 : 33544146;
-1CE5 : 20202032;
-1CE6 : 3C3A2A00;
-1CE7 : 3F227C3E;
-1CE8 : 2C2B007F;
-1CE9 : 5D5B3D3B;
-1CEA : 05030100;
-1CEB : 100E0907;
-1CEC : 18161412;
-1CED : 01001E1C;
-1CEE : 03030202;
-1CEF : 04040303;
-1CF0 : 04040404;
-1CF1 : 05050404;
-1CF2 : 05050505;
-1CF3 : 05050505;
-1CF4 : 05050505;
-1CF5 : 06060505;
-1CF6 : 06060606;
-1CF7 : 06060606;
-1CF8 : 06060606;
-1CF9 : 06060606;
-1CFA : 06060606;
-1CFB : 06060606;
-1CFC : 06060606;
-1CFD : 07070606;
-1CFE : 07070707;
-1CFF : 07070707;
-1D00 : 07070707;
-1D01 : 07070707;
-1D02 : 07070707;
-1D03 : 07070707;
-1D04 : 07070707;
-1D05 : 07070707;
-1D06 : 07070707;
-1D07 : 07070707;
-1D08 : 07070707;
-1D09 : 07070707;
-1D0A : 07070707;
-1D0B : 07070707;
-1D0C : 07070707;
-1D0D : 08080707;
-1D0E : 08080808;
-1D0F : 08080808;
-1D10 : 08080808;
-1D11 : 08080808;
-1D12 : 08080808;
-1D13 : 08080808;
-1D14 : 08080808;
-1D15 : 08080808;
-1D16 : 08080808;
-1D17 : 08080808;
-1D18 : 08080808;
-1D19 : 08080808;
-1D1A : 08080808;
-1D1B : 08080808;
-1D1C : 08080808;
-1D1D : 08080808;
-1D1E : 08080808;
-1D1F : 08080808;
-1D20 : 08080808;
-1D21 : 08080808;
-1D22 : 08080808;
-1D23 : 08080808;
-1D24 : 08080808;
-1D25 : 08080808;
-1D26 : 08080808;
-1D27 : 08080808;
-1D28 : 08080808;
-1D29 : 08080808;
-1D2A : 08080808;
-1D2B : 08080808;
-1D2C : 08080808;
-1D2D : 2D230808;
-1D2E : 00202B30;
-1D2F : 004C6C68;
-1D30 : 45676665;
-1D31 : 30004746;
-1D32 : 34333231;
-1D33 : 38373635;
-1D34 : 43424139;
-1D35 : 00464544;
-1D36 : 33323130;
-1D37 : 37363534;
-1D38 : 62613938;
-1D39 : 66656463;
-1D3A : 00000000;
-1D3B : 00006884;
-1D3C : 0000689A;
-1D3D : 00006858;
-1D3E : 00006858;
-1D3F : 00006858;
-1D40 : 00006858;
-1D41 : 0000689A;
-1D42 : 00006858;
-1D43 : 00006858;
-1D44 : 00006858;
-1D45 : 00006858;
-1D46 : 00006A2A;
-1D47 : 000068EA;
-1D48 : 000069BC;
-1D49 : 00006858;
-1D4A : 00006858;
-1D4B : 00006A66;
-1D4C : 00006858;
-1D4D : 000068EA;
-1D4E : 00006858;
-1D4F : 00006858;
-1D50 : 000069C8;
-1D51 : 00000101;
-1D52 : 00000000;
-1D53 : 00000000;
-1D54 : 00000000;
-1D55 : 00000000;
-1D56 : 00000000;
-1D57 : 00000000;
-1D58 : 00000000;
-1D59 : 00000000;
-1D5A : 00000000;
-1D5B : 00000000;
-1D5C : 00000000;
-1D5D : 6362612F;
-1D5E : 6B736964;
-1D5F : 3030382E;
-1D60 : 0000002F;
-1D61 : 00000000;
-1D62 : 00000000;
-1D63 : 00000000;
-1D64 : 00000000;
-1D65 : 00000105;
-1D66 : 00007FBF;
-1D67 : 00000000;
-1D68 : D3030300;
-1D69 : 0000283A;
-1D6A : 00000000;
-1D6B : 0000285E;
-1D6C : 00000000;
-1D6D : 00000000;
-1D6E : 00000000;
-1D6F : 0000284C;
-1D70 : 00000000;
-1D71 : 00000000;
-1D72 : 00000000;
-1D73 : 00000000;
-1D74 : 00000000;
-1D75 : 00000000;
-1D76 : 00000000;
-1D77 : 00000000;
-1D78 : 00000000;
-1D79 : 00000000;
-1D7A : 00000000;
-1D7B : 00000000;
-1D7C : 00000000;
-1D7D : 00000000;
-1D7E : 00000000;
-1D7F : 00000000;
-1D80 : 00000000;
-1D81 : 00000000;
-1D82 : 00000000;
-1D83 : 00000000;
-1D84 : 00000000;
-1D85 : 00000000;
-1D86 : 00000000;
-1D87 : 00000000;
-1D88 : 00000000;
-1D89 : 00000000;
-[1D8A..1FFF] : 00;
+0058 : FFF04293;
+0059 : 0602800B;
+005A : 00000193;
+005B : 0001A18B;
+005C : 10000513;
+005D : 16000593;
+005E : 00052023;
+005F : 00052223;
+0060 : 00052423;
+0061 : 00052623;
+0062 : 00052823;
+0063 : 00052A23;
+0064 : 00052C23;
+0065 : 00052E23;
+0066 : 02050513;
+0067 : FCB56EE3;
+0068 : 10802023;
+0069 : 0040006F;
+006A : C6061141;
+006B : C226C422;
+006C : 428322D5;
+006D : 86631420;
+006E : 70970002;
+006F : 80E74000;
+0070 : 547D6640;
+0071 : 0680008B;
+0072 : 0810878B;
+0073 : 14304303;
+0074 : 00030663;
+0075 : 40001097;
+0076 : 2C8080E7;
+0077 : 40002097;
+0078 : 688080E7;
+0079 : 0000B7C5;
+007A : 00000697;
+007B : EB468693;
+007C : 897D4690;
+007D : 8E49053E;
+007E : 8682C290;
+007F : 00000697;
+0080 : EA068693;
+0081 : 897D46D0;
+0082 : 8E49051E;
+0083 : 8682C290;
+0084 : 00B567B3;
+0085 : 8B8D8FD1;
+0086 : 04079263;
+0087 : 00C50733;
+0088 : 8A7186AA;
+0089 : 40C007B3;
+008A : 25078067;
+008B : 02000613;
+008C : CEDC4DDC;
+008D : CE9C4D9C;
+008E : CADC49DC;
+008F : CA9C499C;
+0090 : C6DC45DC;
+0091 : C69C459C;
+0092 : C2DC41DC;
+0093 : C29C419C;
+0094 : 96B295B2;
+0095 : FCE6ECE3;
+0096 : 00018082;
+0097 : 00C50733;
+0098 : 00050693;
+0099 : 0005C783;
+009A : 00F68023;
+009B : 06850585;
+009C : FEE6EAE3;
+009D : 00008082;
+009E : C4221141;
+009F : C04AC226;
+00A0 : 842AC606;
+00A1 : 492544A1;
+00A2 : 01C45793;
+00A3 : 05700513;
+00A4 : 64630412;
+00A5 : 051300F9;
+00A6 : 953E0300;
+00A7 : 2A5914FD;
+00A8 : 40B2F4E5;
+00A9 : 44924422;
+00AA : 01414902;
+00AB : 11018082;
+00AC : CE06C84A;
+00AD : CA26CC22;
+00AE : C452C64E;
+00AF : 2A73892A;
+00B0 : 65053430;
+00B1 : 85450493;
+00B2 : 85450513;
+00B3 : 00158413;
+00B4 : 854A2249;
+00B5 : 98792ABD;
+00B6 : 00848513;
+00B7 : 09932A9D;
+00B8 : 854EFFC4;
+00B9 : 85133F51;
+00BA : 22A500F4;
+00BB : FFE45783;
+00BC : FFC45303;
+00BD : 9293491D;
+00BE : 85330107;
+00BF : 3FAD0062;
+00C0 : 01448513;
+00C1 : 855222B9;
+00C2 : 45293F85;
+00C3 : 44812225;
+00C4 : 02000A13;
+00C5 : 440149A1;
+00C6 : 00940533;
+00C7 : 3FA935F1;
+00C8 : 04634529;
+00C9 : 05130124;
+00CA : 04050200;
+00CB : 15E32221;
+00CC : 04A1FF34;
+00CD : FF4491E3;
+00CE : 90802083;
+00CF : 0010F393;
+00D0 : FE038CE3;
+00D1 : C01026F3;
+00D2 : 1908B5B7;
+00D3 : 0FF58613;
+00D4 : C0102773;
+00D5 : 40D70833;
+00D6 : FF067CE3;
+00D7 : 878B58FD;
+00D8 : 4E050608;
+00D9 : 81C02623;
+00DA : 0293BFF5;
+00DB : A7031040;
+00DC : 03130002;
+00DD : A0230017;
+00DE : 80820062;
+00DF : 11416505;
+00E0 : 87A50513;
+00E1 : 3725C606;
+00E2 : 11416505;
+00E3 : 88550513;
+00E4 : 3F31C606;
+00E5 : C6061141;
+00E6 : C0102773;
+00E7 : 10E02423;
+00E8 : FD100093;
+00E9 : 0610078B;
+00EA : 2423429D;
+00EB : 537D8050;
+00EC : 0660038B;
+00ED : 0203F513;
+00EE : 4599DD65;
+00EF : 0005A637;
+00F0 : 80B02423;
+00F1 : E0B60693;
+00F2 : 22236805;
+00F3 : 051390D0;
+00F4 : 20418998;
+00F5 : 90802883;
+00F6 : 0018FE13;
+00F7 : FE0E0CE3;
+00F8 : 8F374E95;
+00F9 : 24234000;
+00FA : 051381D0;
+00FB : 20959D3F;
+00FC : C0102FF3;
+00FD : 1908B737;
+00FE : 0FF70793;
+00FF : C01020F3;
+0100 : 41F082B3;
+0101 : FE57FCE3;
+0102 : 202D4529;
+0103 : 90802303;
+0104 : 00137393;
+0105 : FE038CE3;
+0106 : 014140B2;
+0107 : 40001317;
+0108 : BF430067;
+0109 : 95334785;
+010A : 429300A7;
+010B : 050BFFF5;
+010C : 80820655;
+010D : 90802783;
+010E : 0107F293;
+010F : FE029CE3;
+0110 : 15634329;
+0111 : 43B50065;
+0112 : 90700023;
+0113 : 90A00023;
+0114 : 46A98082;
+0115 : 47834635;
+0116 : E3910005;
+0117 : 05058082;
+0118 : 90802703;
+0119 : 01077293;
+011A : FE029CE3;
+011B : 00D79463;
+011C : 90C00023;
+011D : 90F00023;
+011E : 2703BFF9;
+011F : 02B700C0;
+0120 : 20233B00;
+0121 : 833398A0;
+0122 : 03B300E2;
+0123 : 053700B3;
+0124 : 22230D00;
+0125 : 8E499870;
+0126 : 98C02423;
+0127 : 02938082;
+0128 : A70310C0;
+0129 : 06930002;
+012A : A0230017;
+012B : C31D00D2;
+012C : 05934305;
+012D : 08631580;
+012E : 27730267;
+012F : 4194C010;
+0130 : 02000513;
+0131 : FDF00313;
+0132 : 40D70633;
+0133 : 078BC1D0;
+0134 : 80820665;
+0135 : 05376621;
+0136 : 06134000;
+0137 : 4581FC06;
+0138 : 00050513;
+0139 : 2873BF59;
+013A : 2E03C010;
+013B : 8F371000;
+013C : 0F934000;
+013D : 0EB3FC0F;
+013E : A02341C8;
+013F : 67B101D5;
+0140 : 99F02023;
+0141 : 9B078293;
+0142 : 98502423;
+0143 : 11018082;
+0144 : CA26CE06;
+0145 : C84ACC22;
+0146 : C452C64E;
+0147 : 5903C256;
+0148 : 0793B880;
+0149 : AA831280;
+014A : 10930007;
+014B : D9130109;
+014C : 02930100;
+014D : 44811280;
+014E : 000A8663;
+014F : 010AD483;
+0150 : 009974B3;
+0151 : 0FF97313;
+0152 : 08030A63;
+0153 : B9104383;
+0154 : B9004583;
+0155 : F6134695;
+0156 : F5930FF3;
+0157 : EC630FF5;
+0158 : 40850676;
+0159 : 04C0E363;
+015A : 10061463;
+015B : 060A8863;
+015C : 004AA703;
+015D : A783CB1D;
+015E : 8293000A;
+015F : A0230017;
+0160 : 8023005A;
+0161 : C30300B7;
+0162 : C38301DA;
+0163 : 76B3014A;
+0164 : 8EA30073;
+0165 : 0AA300DA;
+0166 : A503B8D0;
+0167 : 0813004A;
+0168 : A223FFF5;
+0169 : 1B63010A;
+016A : 48850208;
+016B : 00CA8833;
+016C : 00C899B3;
+016D : 00B80B23;
+016E : 0099FA33;
+016F : 020A0063;
+0170 : 00860E13;
+0171 : 002E1E93;
+0172 : 01DA8F33;
+0173 : 000F2F83;
+0174 : 9F828556;
+0175 : 451DA021;
+0176 : 10A60263;
+0177 : 10097593;
+0178 : A603C1A9;
+0179 : 076300CA;
+017A : 04131406;
+017B : A623FFF6;
+017C : 0163008A;
+017D : C3031404;
+017E : C38301DA;
+017F : 76B3015A;
+0180 : 8EA30073;
+0181 : 0AA300DA;
+0182 : A503B8D0;
+0183 : 0813008A;
+0184 : A4230015;
+0185 : 4883010A;
+0186 : 8E230005;
+0187 : 0A23011A;
+0188 : 7993B910;
+0189 : 8B632009;
+018A : CE031209;
+018B : 4A0301DA;
+018C : F493B950;
+018D : 0AA32004;
+018E : 7593B9C0;
+018F : C4910FFA;
+0190 : 03CAAE83;
+0191 : 85564605;
+0192 : AF039E82;
+0193 : D60300CA;
+0194 : AA83010A;
+0195 : 3FB3004A;
+0196 : 959301E0;
+0197 : E433008F;
+0198 : 30B300C5;
+0199 : E7330150;
+019A : 62930080;
+019B : A8DD0827;
+019C : 03F5F893;
+019D : 4000FE37;
+019E : 00289A13;
+019F : E20E0E93;
+01A0 : 014E8F33;
+01A1 : 000F2A83;
+01A2 : 0F100823;
+01A3 : 0152A023;
+01A4 : 08200693;
+01A5 : 020A8463;
+01A6 : 00CAAF83;
+01A7 : 010AD703;
+01A8 : 004AA783;
+01A9 : 01F03433;
+01AA : 00841093;
+01AB : 00E0E2B3;
+01AC : 00F03333;
+01AD : 005363B3;
+01AE : 0823E693;
+01AF : B8D01523;
+01B0 : 84634501;
+01B1 : A503000A;
+01B2 : 2A2301CA;
+01B3 : 87E3B8A0;
+01B4 : D483F00A;
+01B5 : 74B3010A;
+01B6 : BDC10099;
+01B7 : 0F000613;
+01B8 : 04000813;
+01B9 : 00064A83;
+01BA : 0002A023;
+01BB : 01060023;
+01BC : 08200893;
+01BD : B9101523;
+01BE : 4000F9B7;
+01BF : B8002A23;
+01C0 : 89934401;
+01C1 : 0A13E209;
+01C2 : 8E331000;
+01C3 : 25030089;
+01C4 : CD01000E;
+01C5 : 01055E83;
+01C6 : 080EFF13;
+01C7 : 000F0763;
+01C8 : 04052F83;
+01C9 : 85D6461D;
+01CA : 04119F82;
+01CB : FD441FE3;
+01CC : B56D4A81;
+01CD : 01FAC703;
+01CE : B9404083;
+01CF : 1004F293;
+01D0 : 00EA8E23;
+01D1 : B8E00A23;
+01D2 : 0FF0F593;
+01D3 : EC028BE3;
+01D4 : 038AA783;
+01D5 : 85564601;
+01D6 : B5E19782;
+01D7 : 08200293;
+01D8 : EE0A95E3;
+01D9 : 01029793;
+01DA : 0127E933;
+01DB : B9202423;
+01DC : 446240F2;
+01DD : 494244D2;
+01DE : 4A2249B2;
+01DF : 61054A92;
+01E0 : C25D8082;
+01E1 : 0FF5F713;
+01E2 : 01071793;
+01E3 : 00E7E2B3;
+01E4 : 00829313;
+01E5 : 00157693;
+01E6 : 005363B3;
+01E7 : EAC987AA;
+01E8 : 71634805;
+01E9 : F89308C8;
+01EA : 9F630027;
+01EB : 4EFD0808;
+01EC : 08CEF663;
+01ED : FE060F13;
+01EE : FE0F7F93;
+01EF : 02078F13;
+01F0 : 01EF8733;
+01F1 : 0F13A019;
+01F2 : A023020F;
+01F3 : A2230077;
+01F4 : A4230077;
+01F5 : A6230077;
+01F6 : A8230077;
+01F7 : AA230077;
+01F8 : AC230077;
+01F9 : AE230077;
+01FA : 86FA0077;
+01FB : 1CE387FA;
+01FC : 8A7DFDE7;
+01FD : F363428D;
+01FE : 031302C2;
+01FF : 7893FFC6;
+0200 : 8E93FFC3;
+0201 : 8E330047;
+0202 : A01101D8;
+0203 : A0230E91;
+0204 : 87F60076;
+0205 : 9BE386F6;
+0206 : 8A0DFFCE;
+0207 : 00267393;
+0208 : 02039063;
+0209 : E2118A05;
+020A : 80238082;
+020B : 808200B7;
+020C : 00150793;
+020D : 00750023;
+020E : B79D167D;
+020F : BF5D86BE;
+0210 : 00B79023;
+0211 : BFF90789;
+0212 : 00779023;
+0213 : 07891679;
+0214 : 0000BFB9;
+0215 : 4F525245;
+0216 : 00203A52;
+0217 : 20746120;
+0218 : 20007830;
+0219 : 00783028;
+021A : 61420A29;
+021B : 64612064;
+021C : 73657264;
+021D : 30203A73;
+021E : 696D0078;
+021F : 696C6173;
+0220 : 64656E67;
+0221 : 766E6900;
+0222 : 64696C61;
+0223 : 736E6920;
+0224 : 63757274;
+0225 : 6E6F6974;
+0226 : 2A0A0A00;
+0227 : 48202A2A;
+0228 : 6F6C6C65;
+0229 : 6F57202C;
+022A : 21646C72;
+022B : 2A2A2A20;
+022C : 58414D0A;
+022D : 66203038;
+022E : 776D7269;
+022F : 20657261;
+0230 : 706D6F63;
+0231 : 64656C69;
+0232 : 3A6E6F20;
+0233 : 63654420;
+0234 : 20323220;
+0235 : 31323032;
+0236 : 3A303020;
+0237 : 303A3632;
+0238 : 00000A31;
+0239 : 00000101;
+023A : 00000000;
+023B : 00000000;
+023C : 00000000;
+023D : 00000000;
+023E : 00000000;
+023F : 00000000;
+0240 : 00000000;
+0241 : 00000000;
+0242 : 00000000;
+0243 : 00000000;
+0244 : 00000000;
+0245 : 00000000;
+0246 : 00000000;
+0247 : 00000000;
+0248 : 00000000;
+0249 : 00000105;
+024A : 00007FBF;
+024B : 00000000;
+024C : D3030300;
+024D : 4000300C;
+024E : 00000000;
+024F : 40003030;
+0250 : 00000000;
+0251 : 00000000;
+0252 : 00000000;
+0253 : 4000301E;
+0254 : 00000000;
+0255 : 00000000;
+0256 : 00000000;
+0257 : 00000000;
+0258 : 00000000;
+0259 : 00000000;
+025A : 00000000;
+025B : 00000000;
+025C : 00000000;
+025D : 00000000;
+025E : 00000000;
+025F : 00000000;
+0260 : 00000000;
+0261 : 00000000;
+0262 : 00000000;
+0263 : 00000000;
+0264 : 00000000;
+0265 : 00000000;
+0266 : 00000000;
+0267 : 00000000;
+0268 : 00000000;
+0269 : 00000000;
+026A : 00000000;
+026B : 00000000;
+026C : 00000000;
+026D : 00000000;
+026E : 6362612F;
+026F : 6B736964;
+0270 : 3030382E;
+0271 : 002F;
+[0272..1FFF] : 00;
 END;

+ 101 - 0
rv32/compiler.h

@@ -0,0 +1,101 @@
+#ifndef COMPILER_H
+#define COMPILER_H
+
+#ifndef __ASSEMBLY__
+
+#include <stdlib.h>
+#include <string.h>
+#include <inttypes.h>
+#include <stdarg.h>
+#include <stdbool.h>
+
+/* Use builtin memcpy and memset optimizations */
+#define memset(s,c,n)	__builtin_memset(s,c,n)
+#define memcpy(d,s,n)	__builtin_memcpy(d,s,n)
+#define memmove(d,s,n)	__builtin_memmove(d,s,n)
+extern void *__memcpy_aligned(void * __restrict,
+			      const void * __restrict, size_t);
+extern void *__memcpy_bytewise(volatile void * __restrict,
+			       const volatile void * __restrict, size_t);
+
+#define likely(x)	__builtin_expect(!!(x), 1)
+#define unlikely(x)	__builtin_expect(!!(x), 0)
+
+/* Handy composite pointer types */
+typedef union xptr {
+    uint32_t *l;
+    uint16_t *w;
+    uint8_t  *b;
+    void     *v;
+    size_t    a;
+} xptr_t;
+typedef union xcptr {
+    const uint32_t *l;
+    const uint16_t *w;
+    const uint8_t  *b;
+    const void     *v;
+    size_t          a;
+} xcptr_t;
+
+/* The container_of construct: if p is a pointer to member m of
+   container class c, then return a pointer to the container of which
+   *p is a member. */
+#ifndef container_of
+# define container_of(p, c, m) ((c *)((char *)(p) - offsetof(c,m)))
+#endif
+
+#define offset_diff(c, m1, m2) ((ptrdiff_t)offsetof(c,m2) - \
+				(ptrdiff_t)offsetof(c,m1))
+
+#define min(a,b) (((a) < (b)) ? (a) : (b))
+#define max(a,b) (((a) > (b)) ? (a) : (b))
+
+#define ARRAY_SIZE (sizeof(a)/sizeof(a[0]))
+
+#define alignof(a) __alignof__(a)
+
+#define no_return void __attribute__((noreturn))
+
+#define ___section(s,a,...) __attribute__((__section__(s)))
+
+#define __hot		__attribute__((__hot__))
+#define __cold		__attribute__((__cold__))
+#define __aligned(x)	__attribute__((__aligned__(x)))
+#define __unused	__attribute__((__unused__))
+#define __must_inline	__attribute__((__always_inline__))
+#define __noinline	__attribute__ ((__noinline__))
+#define __constfunc	__attribute__((__const__)
+#define __purefunc	__attribute__((__pure__))
+
+#define __fmt_printf(fstr,farg)	__attribute__((__format__(__printf__,fstr,farg)))
+
+#define __is_constant(expr)	__builtin_constant_p(expr)
+
+#else /* __ASSEMBLY__ */
+
+#define ___section(s,a,...)	.pushsection s, a, ## __VA_ARGS__
+
+#endif /* __ASSEMBLY__ */
+
+#define __text_hot	___section(".text.hot","ax")
+#define __rodata_hot	___section(".rodata.hot","a")
+#define __data_hot	___section(".data.hot","aw")
+#define __rwtext	___section(".rwtext","awx")
+#define __sdata		___section(".sdata","aw")
+#define __string_hot	___section(".rodata.hot.str","aMS")
+#define __sbss		___section(".sbss.hot","aw",@nobits)
+#define __bss_hot	___section(".bss.hot","aw",@nobits)
+#define __dram_text	___section(".dram.text","ax")
+#define __dram_rodata	___section(".dram.rodata","a")
+#define __dram_string	___section(".dram.rodata.str","aMS")
+#define __dram_data	___section(".dram.data","aw")
+#define __dram_bss	___section(".dram.bss","aw",@nobits)
+#define __dram_noinit	___section(".dram.noinit","aw",@nobits)
+
+#ifndef __ASSEMBLY__
+
+#define hotstr(x) ({ static __string_hot const char _str[] = (x); _str; })
+
+#endif /* __ASSEMBLY__ */
+
+#endif /* COMPILER_H */

+ 11 - 17
rv32/console.c

@@ -4,27 +4,16 @@
 #include <stdio.h>
 #include <stdarg.h>
 
+#include "fw.h"
 #include "console.h"
 #include "io.h"
 
-void con_set_baudrate(uint32_t b)
+void __cold __con_set_baudrate(uint32_t baud)
 {
-    uint32_t bauddiv;
-
-    /*
-     * Produce a CON_BAUD_BITS binary fraction. The +1 produces better
-     * rounding behavior: see Hacker's Delight.
-     */
-    bauddiv = (b * ((1ULL << (32+CON_BAUD_BITS))/CON_BAUD_BASE+1)) >> 32;
-
-    /*
-     * Not really a divisor, but a fractional multiplier. The -1
-     * is simply a technicality of the implementation.
-     */
-    CON_BAUDDIV = bauddiv - 1;
+    __con_set_baudrate_inline(baud);
 }
 
-void con_putc(char c)
+static __always_inline void __con_putc(char c)
 {
     /* Wait for FIFO space */
     while (CON_STATUS & (1 << 4))
@@ -35,10 +24,15 @@ void con_putc(char c)
     CON_DATA = c;
 }
 
-void con_puts(const char *str)
+void __hot con_putc(char c)
+{
+    __con_putc(c);
+}
+
+void __hot con_puts(const char *str)
 {
     while (*str)
-	con_putc(*str++);
+	__con_putc(*str++);
 }
 
 void con_vprintf(const char *fmt, va_list ap)

+ 30 - 5
rv32/console.h

@@ -1,19 +1,44 @@
 #ifndef CONSOLE_H
 #define CONSOLE_H
 
-#include <stdarg.h>
+#include "compiler.h"
 #include "io.h"
 
-void con_set_baudrate(uint32_t);
+void __con_set_baudrate(uint32_t);
 void con_putc(char c);
 void con_puts(const char *);
-void con_vprintf(const char *, va_list);
-void __attribute__((format(printf,1,2))) con_printf(const char *, ...);
+void __fmt_printf(1,0) con_vprintf(const char *, va_list);
+void __fmt_printf(1,2) con_printf(const char *, ...);
 
-static inline void con_flush(void)
+static __always_inline void con_flush(void)
 {
     while (!(CON_STATUS & 1))
 	/* wait */;
 }
 
+static __always_inline void __con_set_baudrate_inline(uint32_t baud)
+{
+    uint32_t bauddiv;
+
+    /*
+     * Produce a CON_BAUD_BITS binary fraction. The +1 produces better
+     * rounding behavior: see Hacker's Delight.
+     */
+    bauddiv = (baud * ((1ULL << (32+CON_BAUD_BITS))/CON_BAUD_BASE+1)) >> 32;
+
+    /*
+     * Not really a divisor, but a fractional multiplier. The -1
+     * is simply a technicality of the implementation.
+     */
+    CON_BAUDDIV = bauddiv - 1;
+}
+
+static __always_inline void con_set_baudrate(uint32_t baud)
+{
+    if (__is_constant(baud))
+	__con_set_baudrate_inline(baud);
+    else
+	__con_set_baudrate(baud);
+}
+
 #endif /* CONSOLE_H */

+ 1 - 52
rv32/fw.h

@@ -1,61 +1,10 @@
 #ifndef FW_H
 #define FW_H
 
-#include <stdarg.h>
-#include <stddef.h>
-#include <stdint.h>
-#include <stdbool.h>
+#include "compiler.h"
 #include "picorv32.h"
 #include "irq.h"
 
-/* Use builtin memcpy and memset optimizations */
-#define memset(s,c,n)	__builtin_memset(s,c,n)
-#define memcpy(d,s,n)	__builtin_memcpy(d,s,n)
-#define memmove(d,s,n)	__builtin_memmove(d,s,n)
-extern void *
-__memcpy_aligned(void * __restrict, const void * __restrict, size_t);
-
-#define likely(x)	__builtin_expect(!!(x), 1)
-#define unlikely(x)	__builtin_expect(!!(x), 0)
-
-/* Handy composite pointer types */
-typedef union xptr {
-    uint32_t *l;
-    uint16_t *w;
-    uint8_t  *b;
-    void     *v;
-    size_t    a;
-} xptr_t;
-typedef union xcptr {
-    const uint32_t *l;
-    const uint16_t *w;
-    const uint8_t  *b;
-    const void     *v;
-    size_t          a;
-} xcptr_t;
-
-/* The container_of construct: if p is a pointer to member m of
-   container class c, then return a pointer to the container of which
-   *p is a member. */
-#ifndef container_of
-# define container_of(p, c, m) ((c *)((char *)(p) - offsetof(c,m)))
-#endif
-
-#define offset_diff(c, m1, m2) ((ptrdiff_t)offsetof(c,m2) - (ptrdiff_t)offsetof(c,m1))
-
-#define min(a,b) (((a) < (b)) ? (a) : (b))
-#define max(a,b) (((a) > (b)) ? (a) : (b))
-
-#define ARRAY_SIZE (sizeof(a)/sizeof(a[0]))
-
-#define no_return void __attribute__((noreturn))
-
-#define __dram_text	__attribute__((section(".dram.text")))
-#define __dram_rodata	__attribute__((section(".dram.rodata")))
-#define __dram_data	__attribute__((section(".dram.data")))
-#define __dram_bss	__attribute__((section(".dram.bss")))
-#define __dram_noinit	__attribute__((section(".dram.noinit")))
-
 extern const size_t __rom_offset;
 
 extern no_return _die(void);

+ 1 - 1
rv32/head.S

@@ -74,7 +74,7 @@ __start:
 	.type __start, @function
 	.size __start, . - __start
 
-	.pushsection ".sbss","a"
+	__sbss
 	.balign 4
 	.globl time_zero
 time_zero:

+ 8 - 8
rv32/io.h

@@ -8,17 +8,17 @@
 #include "picorv32.h"
 #include "irq.h"
 
-static inline void pause(void)
+static __always_inline void pause(void)
 {
     /* Placeholder for anything that might want to be done while waiting */
 }
 
-static inline void set_leds(unsigned int leds)
+static __always_inline void set_leds(unsigned int leds)
 {
     SYS_LED = leds;
 }
 
-static inline void set_led(unsigned int led, bool on)
+static __always_inline void set_led(unsigned int led, bool on)
 {
     unsigned int leds = SYS_LED;
     unsigned int mask = 1 << led;
@@ -34,7 +34,7 @@ enum leds {
     LED_ABCBUS
 };
 
-static inline void __attribute__((noreturn)) reset(unsigned int type)
+static __always_inline no_return reset(unsigned int type)
 {
     p_maskirq(~0, 0);		/* Block all interrupts */
     for (;;)
@@ -43,7 +43,7 @@ static inline void __attribute__((noreturn)) reset(unsigned int type)
 
 extern const uint32_t time_zero;
 
-static inline uint32_t rdtime(void)
+static __always_inline uint32_t rdtime(void)
 {
     uint32_t t;
 
@@ -51,7 +51,7 @@ static inline uint32_t rdtime(void)
     return t;
 }
 
-static inline uint64_t rdtimeq(void)
+static __always_inline uint64_t rdtimeq(void)
 {
     uint32_t l, h1, h0;
 
@@ -61,7 +61,7 @@ static inline uint64_t rdtimeq(void)
     return ((uint64_t)(((int32_t)l < 0) ? h1 : h0) << 32) + l;
 }
 
-static inline void udelay(uint32_t us)
+static __always_inline void udelay(uint32_t us)
 {
     uint32_t cycles = us * (CPU_HZ / 1000000);
     uint32_t start = rdtime();
@@ -75,7 +75,7 @@ static inline void i2c_set_speed(unsigned int khz)
     I2C_DIVISOR = ((CPU_HZ/4000)-1)/khz;
 }
 
-static inline void wait_romcopy_done(void)
+static __always_inline void wait_romcopy_done(void)
 {
     while (~irqmask() & (1 << ROMCOPY_IRQ))
 	pause();

+ 1 - 2
rv32/ioregs.h

@@ -1,6 +1,7 @@
 #ifndef IODEV_H
 #define IODEV_H
 
+#include "compiler.h"
 #include "iodevs.h"
 
 /* Address for I/O device d, subregister r, offset o */
@@ -20,8 +21,6 @@
 
 #else
 
-#include <stdint.h>
-
 /* Writable registers */
 #define IODEVV(d,r)   (*(volatile void     *)IODEVA(d,r,0))
 #define IODEVB(d,r)   (*(volatile uint8_t  *)IODEVA(d,r,0))

+ 10 - 6
rv32/irq.h

@@ -1,17 +1,18 @@
 #ifndef IRQ_H
 #define IRQ_H
 
-#include <stddef.h>
-
+#include "compiler.h"
 #include "picorv32.h"
 
 typedef void (*irqhandler_t)(unsigned int vector, size_t pc);
 extern irqhandler_t __irq_handler_table[];
 
-#define IRQHANDLER(x) \
-  void irqhandler_ ##x (unsigned int, size_t);			     \
-  void irqhandler_ ##x (unsigned int vector __attribute__((unused)), \
-			size_t pc __attribute__((unused)))
+#define IRQHANDLER_DECL(x) \
+  void __hot __text_hot irqhandler_ ##x (unsigned int vector __unused,	\
+					 size_t pc __unused)
+#define IRQHANDLER(x)	\
+  IRQHANDLER_DECL(x);	\
+  IRQHANDLER_DECL(x)
 
 typedef unsigned int irqmask_t;
 
@@ -62,4 +63,7 @@ static inline irqmask_t wait_for_irq(void)
     return p_waitirq(mask, mask);
 }
 
+size_t rdxreg(unsigned int reg);
+void wrxreg(unsigned int reg, size_t val);
+
 #endif /* IRQ_H */

+ 46 - 10
rv32/irqasm.S

@@ -1,3 +1,4 @@
+#include "compiler.h"
 #include "picorv32.h"
 #include "iodevs.h"
 
@@ -62,16 +63,11 @@ _irq:
 	addi s1,s1,1*4
 5:
 #endif
-	// __irq_handler_table must be in the zero page
-	// However, prevent the linker from incorrectly relaxing
-	// this instruction.
-	.option push
-	.option norelax
-	lw t0,%lo(__irq_handler_table)(s1)
-	.option pop
-	srli a0,s1,2
-	mv   a1,s10
-	jalr t0
+	srli a0,s1,2	// Vector number
+	mv   a1,s10	// PC (including the rvc flag)
+	.option norelax	// ld will mess up trying to relax this instruction
+	jalr s1,%lo(__irq_handler_table) // Must be in zero page
+	.option relax
 	srli s11,s11,1
 	addi s1,s1,4*1
 	bnez s11,.Lirq_loop
@@ -81,3 +77,43 @@ _irq:
 	.type _irq, @function
 	.size _irq, . - _irq
 	.option pop
+
+	// Debug functions to read and write x-registers from interrupt
+	// mode by register number
+	__text_hot
+	.globl	rdxreg
+	.balign 4
+rdxreg:
+	la a3,_xreg_smc
+	lw a2,8(a3)		// addqxi a0,zero,0
+	andi a0,a0,31
+	slli a0,a0,15		// rs1
+	or a2,a2,a0
+	sw a2,(a3)
+	jr a3
+	.type rdxreg, @function
+	.size rdxreg, . - rdxreg
+
+	.globl	wrxreg
+	.balign 4
+wrxreg:
+	la a3,_xreg_smc
+	lw a2,12(a3)		// addxqi zero,a1,0
+	andi a0,a0,31
+	slli a0,a0,7		// rd
+	or a2,a2,a0
+	sw a2,(a3)
+	jr a3
+	.type wrxreg, @function
+	.size wrxreg, . - wrxreg
+
+	__rwtext
+	.balign 4
+	.option norvc
+_xreg_smc:
+	nop
+	ret
+	addqxi a0,zero,0
+	addxqi zero,a1,0
+	.type _xreg_smc, @function
+	.size _xreg_smc, . - _xreg_smc

+ 17 - 0
rv32/irqtable.S

@@ -0,0 +1,17 @@
+#include "compiler.h"
+#include "picorv32.h"
+#include "iodevs.h"
+
+	__rwtext
+	.balign	4
+	.option	norvc
+	.globl	__irq_handler_table
+__irq_handler_table:
+
+#define IRQENTRY(name,irqn,irqbase,irqcount)	\
+	j	irqhandler_ ## name
+
+#include "irqtable.h"
+
+	.size __irq_handler_table, . - __irq_handler_table
+	.type __irq_handler_table, @function

+ 1 - 1
rv32/main.c

@@ -6,7 +6,7 @@
 
 volatile bool dont_gc = false;	/* Keep things from linker gc */
 
-void main(void)
+void __hot main(void)
 {
     init();
 

+ 102 - 31
rv32/max80.ld

@@ -3,6 +3,8 @@
  * Linker script for MAX80 firmware
  */
 
+#define __ASSEMBLY__
+#define __LDSCRIPT__
 #include "sys.h"
 
 OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv",
@@ -19,6 +21,56 @@ MEMORY
 
 SECTIONS
 {
+	/* Debugging sections */
+  /* Stabs debugging sections.  */
+  .stab          0 : { *(.stab) }
+  .stabstr       0 : { *(.stabstr) }
+  .stab.excl     0 : { *(.stab.excl) }
+  .stab.exclstr  0 : { *(.stab.exclstr) }
+  .stab.index    0 : { *(.stab.index) }
+  .stab.indexstr 0 : { *(.stab.indexstr) }
+  .comment       0 : { *(.comment) }
+  .gnu.build.attributes : { *(.gnu.build.attributes .gnu.build.attributes.*) }
+  /* DWARF debug sections.
+     Symbols in the DWARF debugging sections are relative to the beginning
+     of the section so we begin them at 0.  */
+  /* DWARF 1.  */
+  .debug          0 : { *(.debug) }
+  .line           0 : { *(.line) }
+  /* GNU DWARF 1 extensions.  */
+  .debug_srcinfo  0 : { *(.debug_srcinfo) }
+  .debug_sfnames  0 : { *(.debug_sfnames) }
+  /* DWARF 1.1 and DWARF 2.  */
+  .debug_aranges  0 : { *(.debug_aranges) }
+  .debug_pubnames 0 : { *(.debug_pubnames) }
+  /* DWARF 2.  */
+  .debug_info     0 : { *(.debug_info .gnu.linkonce.wi.*) }
+  .debug_abbrev   0 : { *(.debug_abbrev) }
+  .debug_line     0 : { *(.debug_line .debug_line.* .debug_line_end) }
+  .debug_frame    0 : { *(.debug_frame) }
+  .debug_str      0 : { *(.debug_str) }
+  .debug_loc      0 : { *(.debug_loc) }
+  .debug_macinfo  0 : { *(.debug_macinfo) }
+  /* SGI/MIPS DWARF 2 extensions.  */
+  .debug_weaknames 0 : { *(.debug_weaknames) }
+  .debug_funcnames 0 : { *(.debug_funcnames) }
+  .debug_typenames 0 : { *(.debug_typenames) }
+  .debug_varnames  0 : { *(.debug_varnames) }
+  /* DWARF 3.  */
+  .debug_pubtypes 0 : { *(.debug_pubtypes) }
+  .debug_ranges   0 : { *(.debug_ranges) }
+  /* DWARF 5.  */
+  .debug_addr     0 : { *(.debug_addr) }
+  .debug_line_str 0 : { *(.debug_line_str) }
+  .debug_loclists 0 : { *(.debug_loclists) }
+  .debug_macro    0 : { *(.debug_macro) }
+  .debug_names    0 : { *(.debug_names) }
+  .debug_rnglists 0 : { *(.debug_rnglists) }
+  .debug_str_offsets 0 : { *(.debug_str_offsets) }
+  .debug_sup      0 : { *(.debug_sup) }
+  .gnu.attributes 0 : { KEEP (*(.gnu.attributes)) }
+  /DISCARD/ : { *(.note.GNU-stack) *(.gnu_debuglink) *(.gnu.lto_*) }
+
 	/*
 	 * Sections we do not need. This program cannot exit, so
 	 * fini/destructors are never needed. Exception handling
@@ -64,18 +116,27 @@ SECTIONS
 		KEEP (*(SORT_NONE(.init.irq)))
 	}
 
+	/* .rwtext is in the zero page */
+	.rwtext : ALIGN(4) {
+		PROVIDE (__rwtext_start = .);
+		*(.rwtext*)
+		PROVIDE (__rwtext_end = .);
+	}
+
 	/*
 	 * Put the short data sections in the zero page.
 	 * This means the initialized sections aren't contiguous, but
 	 * all memory is intialized during FPGA load anyway.
 	 */
-	.sdata : ALIGN(4) {
-		__SDATA_BEGIN__ = .;
-		*(.srodata.cst16) *(.srodata.cst8) *(.srodata.cst4)
-		*(.srodata.cst2) *(.srodata .srodata.*)
+	. = ALIGN(4);
+	__SDATA_BEGIN__ = .;
+	.srodata : {
+		*(.srodata*)
+	}
+	.sdata : {
 		*(.sdata .sdata.* .gnu.linkonce.s.*)
 	}
-	.sdata2         : {
+	.sdata2 : {
 		*(.sdata2 .sdata2.* .gnu.linkonce.s2.*)
 	}
 
@@ -87,13 +148,15 @@ SECTIONS
 		*(.sbss .sbss.* .gnu.linkonce.sb.*)
 		*(.scommon)
 	}
-	.sbss2 (NOLOAD) : { *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) }
+	.sbss2 (NOLOAD) : {
+	       *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*)
+	}
 
 	HIDDEN($assert_zero_page = ASSERT((. <= 2048), "zero page overflow"));
 
 	.bss (NOLOAD) : {
 		*(.dynbss)
-		*(.bss .bss.* .gnu.linkonce.b.*)
+		*(.bss.*hot* .gnu.linkonce.b.*)
 		*(COMMON)
 	}
 	. = ALIGN(32);
@@ -113,23 +176,20 @@ SECTIONS
 	}
 
 	.text.hot : ALIGN(4) {
-		*(.text.hot .text.hot.*)
-	}
-
-	.text : ALIGN(4) {
 		*(.text.startup .text.startup.*)
-		*(SORT(.text.sorted.*))
-		*(.text .stub .text.* .gnu.linkonce.t.*)
-	        *(.text.unlikely .text.*_unlikely .text.unlikely.*)
-		/* .gnu.warning sections are handled specially by elf.em.  */
-		*(.gnu.warning)
+		*(.text.hot .text.hot.*)
+		*(.gnu.linkonce.t.*)
 	}
-
 	PROVIDE (__etext = .);
 	PROVIDE (_etext = .);
+
 	. = ALIGN(4);
-	.rodata         : { *(.rodata .rodata.* .gnu.linkonce.r.*) }
-	.rodata1        : { *(.rodata1) }
+	.str.hot : ALIGN(4) {
+		INPUT_SECTION_FLAGS (SHF_MERGE & SHF_STRINGS) *(*.hot*)
+	}
+	.rodata.hot         : {
+		*(.rodata.hot* .gnu.linkonce.r.*)
+	}
 
 	/* Thread Local Storage sections  */
 	.tdata          : {
@@ -142,14 +202,6 @@ SECTIONS
 		KEEP (*(.preinit_array))
 		PROVIDE_HIDDEN (__preinit_array_end = .);
 	}
-	.init_array    : {
-		PROVIDE_HIDDEN (__init_array_start = .);
-		KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*)
-		SORT_BY_INIT_PRIORITY(.ctors.*)))
-		KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
-		PROVIDE_HIDDEN (__init_array_end = .);
-	}
-
 	/* Are these necessary/supportable? */
 	  .jcr          : { KEEP (*(.jcr)) }
 	.data.rel.ro	: {
@@ -188,15 +240,30 @@ SECTIONS
 	} >DRAM
 
 	.dram.text : ALIGN(4) {
+		*(SORT(.text.sorted.*))
+		*(.text .stub .text.*)
+	        *(.text.*unlikely*)
 		*(.dram.text*)
 	} >DRAM
 
 	.dram.rodata : ALIGN(4) {
-		*(.dram.rodata*)
+		*(.rodata* .dram.rodata*)
+	} >DRAM
+
+	.dram.str : ALIGN(4) {
+		INPUT_SECTION_FLAGS (SHF_MERGE & SHF_STRINGS) *(*)
+	}
+
+	.dram.init_array : ALIGN(4) {
+		PROVIDE_HIDDEN (__init_array_start = .);
+		KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*)
+		SORT_BY_INIT_PRIORITY(.ctors.*)))
+		KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors))
+		PROVIDE_HIDDEN (__init_array_end = .);
 	} >DRAM
 
 	.dram.data : ALIGN(4) {
-		*(.dram.data*)
+		*(.dram.data* .data*)
 	} >DRAM
 
 	. = ALIGN(8);
@@ -217,7 +284,7 @@ SECTIONS
 
 	__dram_bss_start = .;
 	.dram.bss (NOLOAD) : ALIGN(8) {
-		*(.dram.bss*)
+		*(.dram.bss* .bss*)
 	} >DRAM
 	. = ALIGN(8);
 	__dram_bss_end = .;
@@ -230,8 +297,12 @@ SECTIONS
 
 	/* No need to zero the heap */
 	.heap (NOLOAD) : ALIGN(16) {
-		*(.heap)
+		*(.heap*)
 	} >DRAM
 
 	__dram_end = .;
+
+	/* Catch missing sections */
+	.junk : {
+	}
 }

+ 14 - 13
rv32/memcpy.S

@@ -1,16 +1,16 @@
-	.section ".text.memcpy","ax"
+	.section ".text.hot.memcpy","ax"
 
 	.balign 4
 	.globl	memcpy
 memcpy:
 #if 0
-	j	__memcpy_misaligned
+	j	__memcpy_bytewise
 #else
 	or	a5, a0, a1
 	or	a5, a5, a2
 	andi	a5, a5, 3
 	.option	norvc
-	bnez	a5, __memcpy_misaligned
+	bnez	a5, __memcpy_bytewise
 	.option rvc
 #endif
 
@@ -22,19 +22,19 @@ memcpy:
 	.globl __memcpy_aligned
 __memcpy_aligned:
 	add	a4, a0, a2
-	mv	a3, a0
+	mv	a3, a0		// a0 is also return value
 
 	andi	a2, a2, 7*4
 	.option	norelax
-	lui	a5, %hi(.L_case0)
-	sub	a5, a5, a2
+	sub	a5, zero, a2
 	jr	a5, %lo(.L_case0)
 	.option	relax
 
 	.balign	4
 .L_aligned_loop:
-	add	a1, a1, a2
+	.option norvc
 	li	a2, 32
+	.option rvc
 
 	c.lw	a5, 28(a1)
 	c.sw	a5, 28(a3)
@@ -60,6 +60,7 @@ __memcpy_aligned:
 	c.lw	a5,  0(a1)
 	c.sw	a5,  0(a3)
 .L_case0:
+	add	a1, a1, a2
 	add	a3, a3, a2
 	bltu	a3, a4, .L_aligned_loop
 
@@ -69,23 +70,23 @@ __memcpy_aligned:
 	.type	__memcpy_aligned, @function
 	.size	__memcpy_aligned, . - __memcpy_aligned
 
+	// This can be used by I/O devices that need bytewise accesses
 	.balign 4
-__memcpy_misaligned:
+__memcpy_bytewise:
 	.option norvc
 	add	a4, a0, a2
 	mv	a3, a0
 	.option	rvc
 
-	// This could be optimized if it ever matters...
 	.balign 4
-.L_misaligned_loop:
+.L_bytewise_loop:
 	lbu	a5, 0(a1)
 	sb	a5, 0(a3)
 	addi	a1, a1, 1
 	addi	a3, a3, 1
-	bltu	a3, a4, .L_misaligned_loop
+	bltu	a3, a4, .L_bytewise_loop
 
 	ret
 
-	.type	__memcpy_misaligned, @function
-	.size	__memcpy_misaligned, . - __memcpy_misaligned
+	.type	__memcpy_bytewise, @function
+	.size	__memcpy_bytewise, . - __memcpy_bytewise

+ 1 - 4
rv32/memset.c

@@ -2,12 +2,9 @@
  * Simple string operations using longword operations.
  * The ones in newlib-nano are bytewise...
  */
-#include <stddef.h>
-#include <stdint.h>
-#include <string.h>
 #include "fw.h"
 
-void *memset(void *s, int c, size_t n)
+void * __hot memset(void *s, int c, size_t n)
 {
     xptr_t q;
     uint32_t cc;

+ 2 - 0
rv32/picorv32.h

@@ -3,6 +3,8 @@
 
 #ifndef __ASSEMBLY__
 
+#include "compiler.h"
+
 static inline void p_retirq(void)
 {
     asm volatile(".insn r 0x0b, 0, 2, zero, zero, zero");

+ 15 - 12
rv32/romcopy.c

@@ -45,20 +45,20 @@ enum romcmd {
     ROM_FAST_READ_DUAL			= 0x3b
 };
 
-void romcopy_download(void *dst, size_t offset, size_t len)
+void __hot romcopy_download(void *dst, size_t offset, size_t len)
 {
     ROMCOPY_RAMADDR = (size_t)dst;
     ROMCOPY_ROMCMD  = __rom_offset + offset + (ROM_FAST_READ_DUAL << 24);
     ROMCOPY_DATALEN = len | ROMCOPY_SPI_CMDLEN(5) | ROMCOPY_SPI_DUAL;
 }
 
-void romcopy_bzero(void *dst, size_t len)
+void __hot romcopy_bzero(void *dst, size_t len)
 {
     ROMCOPY_RAMADDR = (size_t)dst;
     ROMCOPY_DATALEN = len | ROMCOPY_ZERO_BUFFER;
 }
 
-uint32_t romcopy_time[2];
+uint32_t __bss_hot romcopy_time[2];
 IRQHANDLER(romcopy)
 {
     static unsigned int romcopy_state;
@@ -80,11 +80,13 @@ IRQHANDLER(romcopy)
     }
 }
 
-/* Base32 without I O Z S */
-static const char base32[33] = "ABCDEFGHJKLMNPQRTUVWXY0123456789";
-char serial_str[14];
+/*
+ * Read unique serial number programmed into ROM. Convert the serial
+ * number to 12 characters in base36 (technically a wraparound that is
+ * never going to matter.) It's more fun than plain hex... :)
+ */
+char serial_str[16];
 
-/* Read unique serial number programmed into ROM */
 uint64_t rom_get_serial(void)
 {
     union {
@@ -107,13 +109,14 @@ uint64_t rom_get_serial(void)
 
     o.l[0] = ROMCOPY_INPUT;
 
+    serial_str[12] = '\0';
     uint64_t v = o.q;
-    char *p = serial_str;
-    for (int i = 0; i < 14; i++) {
-	*p++ = base32[v & 31];
-	v >>= 5;
+    for (int i = 11; i >= 0; i--) {
+	unsigned int d = v % 36;
+	v /= 36;
+
+	serial_str[i] = d + '0' + ('A'-'0'-10)*(d >= 10);
     }
-    *p = '\0';
 
     if ( 1 )
 	con_printf("ROM serial: %08x-%08x (%s)\n", o.l[1], o.l[0], serial_str);

+ 16 - 0
rv32/spurious_irq.c

@@ -0,0 +1,16 @@
+#include "compiler.h"
+#include "fw.h"
+#include "picorv32.h"
+#include "irq.h"
+
+/* Spurious interrupt; just mask it */
+IRQHANDLER(spurious)
+{
+    mask_irq(vector);
+}
+
+#define IRQENTRY(name,irqn,irqbase,irqcount)	\
+  IRQHANDLER_DECL(name) \
+    __attribute__((weak,alias("irqhandler_spurious")));
+
+#include "irqtable.h"

+ 1 - 0
rv32/sys.h

@@ -9,6 +9,7 @@
  * derived constants.
  */
 
+#include "compiler.h"
 #include "iodevs.h"
 
 #define SRAM_SIZE      (0x1 << SRAM_BITS)

+ 58 - 15
rv32/system.c

@@ -8,7 +8,7 @@
 #define DEBUG     0
 #define MINITESTS 1
 
-volatile uint32_t timer_irq_count;
+volatile __sbss uint32_t timer_irq_count;
 IRQHANDLER(sysclock)
 {
     uint32_t count = timer_irq_count;
@@ -23,9 +23,45 @@ IRQHANDLER(sysclock)
     }
 }
 
-static no_return killed(const char *how, size_t pc)
+static void __hot con_print_hex(unsigned int n)
 {
-    con_printf("ERROR: %s at 0x%08x\n", how, pc);
+    for (int i = 0; i < 8; i++) {
+	unsigned int c = n >> 28;
+	n <<= 4;
+	con_putc(c + ((c >= 10) ? 'a'-10 : '0'));
+    }
+}
+
+/* Don't mark no_return or gcc moves it to SDRAM */
+static void __hot __text_hot killed(const char *how, size_t pc)
+{
+    /* Cannot use con_printf() here */
+    const uint16_t *pcp;
+    size_t mtval;
+
+    asm volatile("csrr %0,mtval" : "=r" (mtval));
+
+    /* Try to move back to the previous instruction (if not a jump...) */
+    pc += -4 + (pc & 1);
+    pcp = (const uint16_t *)pc;
+
+    con_puts(hotstr("ERROR: "));
+    con_puts(how);
+    con_puts(hotstr(" at 0x"));
+    con_print_hex(pc);
+    con_puts(hotstr(" (0x"));
+    con_print_hex((pcp[1] << 16) + pcp[0]);
+    con_puts(hotstr(")\nBad address: 0x"));
+    con_print_hex(mtval);
+    con_putc('\n');
+
+    for (int i = 0; i < 32; i += 8) {
+	for (int j = 0; j < 8; j++) {
+	    uint32_t v = rdxreg(i+j);
+	    con_print_hex(v);
+	    con_putc((j == 7) ? '\n' : ' ');
+	}
+    }
 
     con_flush();
     udelay(5000000);
@@ -34,20 +70,20 @@ static no_return killed(const char *how, size_t pc)
 
 IRQHANDLER(buserr)
 {
-    killed("misaligned", pc);
+    killed(hotstr("misaligned"), pc);
 }
 
 IRQHANDLER(ebreak)
 {
-    killed("invalid instruction", pc);
+    killed(hotstr("invalid instruction"), pc);
 }
 
-volatile uint32_t __dram_bss test_dram[8];
+static void __cold __noinline late_init(void);
 
-uint32_t timer_irq_start;
-void init(void)
+uint32_t __sbss timer_irq_start;
+void __hot init(void)
 {
-    static const char hello[] =
+    static __string_hot const char hello[] =
 	"\n\n*** Hello, World! ***\n"
 	"MAX80 "
 #ifdef TEST
@@ -62,24 +98,31 @@ void init(void)
 		(1U << BUSERR_IRQ)|(1U << SYSCLOCK_IRQ));
 
     set_leds(7);
+    wait_romcopy_done();
+    set_leds(6);
+
     con_set_baudrate(115200);
     con_puts(hello);
     con_flush();
 
-    /* Enable internal timer */
-    unmask_irq(SYSCLOCK_IRQ);
-
-    set_leds(6);
-    wait_romcopy_done();
     set_leds(5);
-
 #if 1 // DEBUG
     con_puts("Waiting 5 s for testing...");
     udelay(5000000);
     con_putc('\n');
+    con_flush();
 #endif
 
+    late_init();
+}
+
+volatile uint32_t __dram_bss test_dram[8];
+
+static void __cold __noinline late_init(void)
+{
     /* This needs to be done as early as possible!!! */
+    con_puts("Running abc_init_memmap: ");
+    con_flush();
     abc_init_memmap();
 
     if (SYS_MAGIC != SYS_MAGIC_MAX80) {

+ 5 - 17
tools/iodevs.pl

@@ -85,8 +85,7 @@ sub generate_irqtbl($)
     my $nirq = 0;
     my @irqtbl = ();
 
-    print $out "#include \"picorv32.h\"\n";
-    print $out "#include \"irq.h\"\n\n";
+    print $out "/* This is a generated file */\n\n";
 
     foreach my $sysirq (@sysirqs) {
 	push(@irqtbl, [$sysirq, 1]);
@@ -107,24 +106,13 @@ sub generate_irqtbl($)
 	$nirq += $dcount*$icount;
     }
 
-    print $out "static IRQHANDLER(spurious)\n";
-    print $out "{\n";
-    print $out "\tmask_irq(vector);\n";
-    print $out "}\n\n";
-
-    foreach my $irq (@irqtbl) {
-	printf $out "IRQHANDLER(%s) __attribute__((weak,alias(\"irqhandler_spurious\")));\n", $irq->[0];
-
-    }
-    print $out "\nirqhandler_t __attribute__((section(\".sdata\")))\n";
-    printf $out "__irq_handler_table[%d] = {\n", $nirq;
+    my $dirq = 0;
     foreach my $irq (@irqtbl) {
 	for (my $i = 0; $i < $irq->[1]; $i++) {
-	    printf $out "\tirqhandler_%s,\n", $irq->[0];
+	    printf $out "IRQENTRY(%s,%d,%d,%d)\n",
+		$irq->[0], $dirq++, $i, $irq->[1];
 	}
     }
-    print $out "};\n\n";
-
 }
 
 sub generate_verilog($)
@@ -280,7 +268,7 @@ open(my $out, '>', $outfile) or die;
 
 if ($mode eq 'h') {
     generate_h($out);
-} elsif ($mode eq 'c') {
+} elsif ($mode eq 'irqh') {
     generate_irqtbl($out);
 } elsif ($mode eq 'v') {
     generate_verilog($out);