Prechádzať zdrojové kódy

Make downloader work; use PLL for SDRAM clock offset

Make the downloader work -- apparently it is necessary to set
DQM after write, even though the burst should have ended (weird!)

Use a separate PLL tap to produce the phase-shifted SDRAM clock; this
should give better control.
H. Peter Anvin 3 rokov pred
rodič
commit
42f4ad6376

+ 1 - 1
fpga/Makefile

@@ -52,7 +52,7 @@ $(outdir)/%.pow.rpt: $(outdir)/%.sta.rpt
 	$(QPOW) $*
 
 # XXX: do all .sof -> conversions using quartus_cpf
-$(outdir)/%.jic:  %jic.cof $(outdir)/%.sof
+$(outdir)/%.jic:  %jic.cof $(outdir)/%.sof ../fw/testdata.hex
 	$(QCPF) --convert $<
 
 # Clean out SignalTap

+ 3 - 3
fpga/ip/ddufifo.v

@@ -98,7 +98,7 @@ module ddufifo (
 	defparam
 		dcfifo_mixed_widths_component.intended_device_family = "Cyclone IV E",
 		dcfifo_mixed_widths_component.lpm_numwords = 4096,
-		dcfifo_mixed_widths_component.lpm_showahead = "ON",
+		dcfifo_mixed_widths_component.lpm_showahead = "OFF",
 		dcfifo_mixed_widths_component.lpm_type = "dcfifo_mixed_widths",
 		dcfifo_mixed_widths_component.lpm_width = 2,
 		dcfifo_mixed_widths_component.lpm_widthu = 12,
@@ -129,7 +129,7 @@ endmodule
 // Retrieval info: PRIVATE: Full NUMERIC "1"
 // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
 // Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
-// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
 // Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
 // Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
 // Retrieval info: PRIVATE: Optimize NUMERIC "0"
@@ -153,7 +153,7 @@ endmodule
 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
 // Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"
-// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
 // Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo_mixed_widths"
 // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "2"
 // Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"

+ 42 - 14
fpga/ip/pll.v

@@ -48,6 +48,7 @@ module pll (
 	c1,
 	c2,
 	c3,
+	c4,
 	locked,
 	phasedone);
 
@@ -61,6 +62,7 @@ module pll (
 	output	  c1;
 	output	  c2;
 	output	  c3;
+	output	  c4;
 	output	  locked;
 	output	  phasedone;
 `ifndef ALTERA_RESERVED_QIS
@@ -75,9 +77,10 @@ module pll (
 `endif
 
 	wire [4:0] sub_wire0;
-	wire  sub_wire5;
 	wire  sub_wire6;
-	wire [0:0] sub_wire9 = 1'h0;
+	wire  sub_wire7;
+	wire [0:0] sub_wire10 = 1'h0;
+	wire [4:4] sub_wire5 = sub_wire0[4:4];
 	wire [3:3] sub_wire4 = sub_wire0[3:3];
 	wire [2:2] sub_wire3 = sub_wire0[2:2];
 	wire [1:1] sub_wire2 = sub_wire0[1:1];
@@ -86,21 +89,22 @@ module pll (
 	wire  c1 = sub_wire2;
 	wire  c2 = sub_wire3;
 	wire  c3 = sub_wire4;
-	wire  locked = sub_wire5;
-	wire  phasedone = sub_wire6;
-	wire  sub_wire7 = inclk0;
-	wire [1:0] sub_wire8 = {sub_wire9, sub_wire7};
+	wire  c4 = sub_wire5;
+	wire  locked = sub_wire6;
+	wire  phasedone = sub_wire7;
+	wire  sub_wire8 = inclk0;
+	wire [1:0] sub_wire9 = {sub_wire10, sub_wire8};
 
 	altpll	altpll_component (
 				.areset (areset),
-				.inclk (sub_wire8),
+				.inclk (sub_wire9),
 				.phasecounterselect (phasecounterselect),
 				.phasestep (phasestep),
 				.phaseupdown (phaseupdown),
 				.scanclk (scanclk),
 				.clk (sub_wire0),
-				.locked (sub_wire5),
-				.phasedone (sub_wire6),
+				.locked (sub_wire6),
+				.phasedone (sub_wire7),
 				.activeclock (),
 				.clkbad (),
 				.clkena ({6{1'b1}}),
@@ -134,7 +138,7 @@ module pll (
 		altpll_component.clk0_divide_by = 2,
 		altpll_component.clk0_duty_cycle = 50,
 		altpll_component.clk0_multiply_by = 7,
-		altpll_component.clk0_phase_shift = "0",
+		altpll_component.clk0_phase_shift = "744",
 		altpll_component.clk1_divide_by = 4,
 		altpll_component.clk1_duty_cycle = 50,
 		altpll_component.clk1_multiply_by = 7,
@@ -147,6 +151,10 @@ module pll (
 		altpll_component.clk3_duty_cycle = 50,
 		altpll_component.clk3_multiply_by = 14,
 		altpll_component.clk3_phase_shift = "0",
+		altpll_component.clk4_divide_by = 2,
+		altpll_component.clk4_duty_cycle = 50,
+		altpll_component.clk4_multiply_by = 7,
+		altpll_component.clk4_phase_shift = "0",
 		altpll_component.compensate_clock = "CLK0",
 		altpll_component.inclk0_input_frequency = 20833,
 		altpll_component.intended_device_family = "Cyclone IV E",
@@ -183,7 +191,7 @@ module pll (
 		altpll_component.port_clk1 = "PORT_USED",
 		altpll_component.port_clk2 = "PORT_USED",
 		altpll_component.port_clk3 = "PORT_USED",
-		altpll_component.port_clk4 = "PORT_UNUSED",
+		altpll_component.port_clk4 = "PORT_USED",
 		altpll_component.port_clk5 = "PORT_UNUSED",
 		altpll_component.port_clkena0 = "PORT_UNUSED",
 		altpll_component.port_clkena1 = "PORT_UNUSED",
@@ -227,14 +235,17 @@ endmodule
 // Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "4"
 // Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
 // Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "5"
+// Retrieval info: PRIVATE: DIV_FACTOR4 NUMERIC "2"
 // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
 // Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
 // Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
 // Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
+// Retrieval info: PRIVATE: DUTY_CYCLE4 STRING "50.00000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "168.000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "84.000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "48.000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "134.399994"
+// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE4 STRING "168.000000"
 // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
 // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
 // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
@@ -258,6 +269,7 @@ endmodule
 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "ps"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT4 STRING "ps"
 // Retrieval info: PRIVATE: MANUAL_PHASE_SHIFT_STEP_EDIT STRING "1.00000000"
 // Retrieval info: PRIVATE: MANUAL_PHASE_SHIFT_STEP_UNIT STRING "ps"
 // Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
@@ -265,34 +277,41 @@ endmodule
 // Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
 // Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
 // Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0"
+// Retrieval info: PRIVATE: MIRROR_CLK4 STRING "0"
 // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "7"
 // Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "7"
 // Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1"
 // Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "14"
+// Retrieval info: PRIVATE: MULT_FACTOR4 NUMERIC "7"
 // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
 // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "168.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "84.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "48.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "133.00000000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ4 STRING "168.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "0"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE4 STRING "1"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT4 STRING "MHz"
 // Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
 // Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "1"
-// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "45.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT4 STRING "0.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "1"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "ps"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT4 STRING "ps"
 // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
 // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
 // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
@@ -318,6 +337,7 @@ endmodule
 // Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
 // Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
 // Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
+// Retrieval info: PRIVATE: STICKY_CLK4 STRING "1"
 // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
 // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
 // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
@@ -325,10 +345,12 @@ endmodule
 // Retrieval info: PRIVATE: USE_CLK1 STRING "1"
 // Retrieval info: PRIVATE: USE_CLK2 STRING "1"
 // Retrieval info: PRIVATE: USE_CLK3 STRING "1"
+// Retrieval info: PRIVATE: USE_CLK4 STRING "1"
 // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
 // Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
 // Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
 // Retrieval info: PRIVATE: USE_CLKENA3 STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA4 STRING "0"
 // Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
 // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
@@ -336,7 +358,7 @@ endmodule
 // Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
 // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
 // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "7"
-// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "744"
 // Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "4"
 // Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
 // Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "7"
@@ -349,6 +371,10 @@ endmodule
 // Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
 // Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "14"
 // Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: CLK4_DIVIDE_BY NUMERIC "2"
+// Retrieval info: CONSTANT: CLK4_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK4_MULTIPLY_BY NUMERIC "7"
+// Retrieval info: CONSTANT: CLK4_PHASE_SHIFT STRING "0"
 // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
 // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20833"
 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
@@ -384,7 +410,7 @@ endmodule
 // Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
 // Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
 // Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_USED"
 // Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
 // Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
 // Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
@@ -407,6 +433,7 @@ endmodule
 // Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
 // Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
 // Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
+// Retrieval info: USED_PORT: c4 0 0 0 0 OUTPUT_CLK_EXT VCC "c4"
 // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
 // Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
 // Retrieval info: USED_PORT: phasecounterselect 0 0 3 0 INPUT GND "phasecounterselect[2..0]"
@@ -425,6 +452,7 @@ endmodule
 // Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
 // Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
 // Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
+// Retrieval info: CONNECT: c4 0 0 0 0 @clk 0 0 1 4
 // Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
 // Retrieval info: CONNECT: phasedone 0 0 0 0 @phasedone 0 0 0 0
 // Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE

+ 2 - 2
fpga/max80.qsf

@@ -210,7 +210,6 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to abc_xinpstb_n
 set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to altera_reserved_tdi
 set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to altera_reserved_tms
 
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
 set_global_assignment -name SYSTEMVERILOG_FILE tty.sv
 set_global_assignment -name VERILOG_FILE ip/fastmem_ip.v
 set_global_assignment -name SYSTEMVERILOG_FILE fast_mem.sv
@@ -233,4 +232,5 @@ set_global_assignment -name SYSTEMVERILOG_FILE max80.sv
 set_global_assignment -name SOURCE_FILE max80.pins
 set_global_assignment -name SOURCE_TCL_SCRIPT_FILE scripts/pins.tcl
 set_global_assignment -name VERILOG_FILE ip/fifo.v
-set_global_assignment -name VERILOG_FILE ip/ddufifo.v
+set_global_assignment -name VERILOG_FILE ip/ddufifo.v
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

+ 5 - 2
fpga/max80.sv

@@ -124,6 +124,7 @@ module max80 (
 
    // Clocks
    wire	    sdram_clk;		// SDRAM clock
+   wire	    sdram_out_clk;	// SDRAM clock, phase shifted
    wire	    sys_clk;		// System clock
    wire	    vid_clk;		// Video pixel clock
    wire	    vid_hdmiclk;	// D:o in the HDMI clock domain
@@ -135,10 +136,11 @@ module max80 (
    pll pll (
 	    .areset ( reset_cmd_q ),
 	    .inclk0 ( clock_48 ),
-	    .c0 ( sdram_clk ),		// SDRAM clock  (168 MHz)
+	    .c0 ( sdram_out_clk ),	// SDRAM external clock (168 MHz)
 	    .c1 ( sys_clk ),		// System clock (84 MHz)
 	    .c2 ( vid_clk ),		// Video pixel clock (48 MHz)
 	    .c3 ( flash_clk ),		// Serial flash ROM clock (134 MHz)
+	    .c4 ( sdram_clk ),		// SDRAM internal clock (168 MHz)
 	    .locked ( pll_locked[0] ),
 	    .phasestep ( 1'b0 ),
 	    .phasecounterselect ( 3'b0 ),
@@ -404,7 +406,8 @@ module max80 (
 
    sdram sdram (
 		.rst_n    ( rst_n & ~iodev[12] ),
-		.clk      ( sdram_clk ), // Input clock
+		.clk      ( sdram_clk ), // Internal clock
+		.out_clk  ( sdram_out_clk ), // External clock (phase shifted)
 
 		.sr_clk   ( sr_clk ),    // Output clock buffer
 		.sr_cke   ( sr_cke ),

+ 6 - 0
fpga/max80jic.cof

@@ -13,6 +13,12 @@
 			<sof_filename>output_files/max80.sof</sof_filename> 
 		</bit0>
 	</sof_data>
+	<hex_block>
+		<hex_filename>../fw/testdata.hex</hex_filename>
+		<hex_addressing>relative</hex_addressing>
+		<hex_offset>1048576</hex_offset>
+		<hex_little_endian>0</hex_little_endian>
+	</hex_block>
 	<version>10</version>
 	<create_cvp_file>0</create_cvp_file>
 	<create_hps_iocsr>0</create_hps_iocsr>

Rozdielové dáta súboru neboli zobrazené, pretože súbor je príliš veľký
+ 3166 - 3229
fpga/output_files/max80.jam


BIN
fpga/output_files/max80.jbc


BIN
fpga/output_files/max80.jic


+ 2 - 1
fpga/output_files/max80.map

@@ -1,5 +1,6 @@
 BLOCK		START ADDRESS		END ADDRESS
 
+testdata.hex		0x00100000		0x0017FFFF
 Page_0		0x00000000		0x0007CB87
 
 
@@ -10,7 +11,7 @@ Quad-Serial configuration device dummy clock cycle: 8
 
 Notes:
 
-- Data checksum for this conversion is 0xF76DCE1D
+- Data checksum for this conversion is 0xF376AD53
 
 - All the addresses in this file are byte addresses
 

BIN
fpga/output_files/max80.pof


BIN
fpga/output_files/max80.sof


+ 37 - 16
fpga/sdram.sv

@@ -70,6 +70,7 @@ module sdram
 	      // Reset and clock
 	      input 		rst_n,
 	      input 		clk,
+	      input 		out_clk, // Phase shifted external clock
 
 	      // SDRAM hardware interface
 	      output 		sr_clk, // SDRAM clock output buffer
@@ -110,7 +111,7 @@ module sdram
 	      // Port 2
 	      input [24:1] 	a2,
 	      input [15:0] 	wd2,
-	      input [1:0]	wrq2,
+	      input [1:0] 	wrq2,
 	      output reg 	wacc2 // Data accepted, advance data & addr
 	      );
 
@@ -168,11 +169,15 @@ module sdram
    // Use a DDIO buffer for best performance
    // For EP4CE15 only could use a secondary PLL here, but it
    // isn't clear it buys us a whole lot.
+   //
+   // This buffer is driven by a separate PLL output, so that
+   // the phase shift between the clock and the outputs/inputs
+   // can be tuned.
    ddio_out sr_clk_out (
 			.aclr ( 1'b0 ),
 			.datain_h ( 1'b1 ),
 			.datain_l ( 1'b0 ),
-			.outclock ( clk ),
+			.outclock ( out_clk ),
 			.dataout ( sr_clk )
 			);
 
@@ -364,7 +369,9 @@ module sdram
 		 // is started opportunistically if nothing is
 		 // pending and the refresh counter is no less than
 		 // half expired.
-		 dram_d <= 16'hbbbb;
+		 dram_a  <= 13'h1bb;
+		 dram_ba <= 2'bxx;
+		 dram_d  <= 16'hbbbb;
 		 
 		 casez ( {rrq0|wrq0, rrq1|wrq1, wrq2[0], rfsh_prio} )
 		   5'b1????:
@@ -515,34 +522,48 @@ module sdram
 		 // Streamable write from flash ROM
 		 dram_d      <= wd2;
 		 dram_a[10]  <= 1'b0;  // No auto precharge/precharge one bank
-		 dram_a[8:0] <= a2[9:1];
-		 dram_dqm    <= 2'b00; // No byte enables
+		 dram_a[8:0] <= { a2[9:2], 1'b0 };
 
 		 case (op_cycle)
+		   0: begin
+		      wacc2 <= 1'b1;
+		   end
 		   1: begin
+		      wacc2 <= 1'b1;
+		   end
+		   2: begin
+		      dram_cmd  <= cmd_wr;
+		      wacc2     <= 1'b1;
 		      wrq2_more <= wrq2[1];
-		      wacc2 <= 1'b1; // Advance here because sample delay
 		   end
-		   2, 3, 4: begin
-		      dram_cmd    <= ~op_cycle[0] ? cmd_wr : cmd_nop;
-		      wacc2       <= 1'b1;
+		   3: begin
+		      wacc2    <= 1'b1;
 		   end
-		   5: begin
-		      dram_cmd    <= cmd_nop;
-		      if (wrq2_more &
-			  ~(rrq0|wrq0|rrq1|wrq1|(|rfsh_prio)|(&a2[9:2])))
+		   4: begin
+		      dram_cmd  <= cmd_wr;
+		      if (~&dram_a[3:2] |
+			  (1'b0 & wrq2_more &
+			  ~(rrq0|wrq0|rrq1|wrq1|(|rfsh_prio)|(&dram_a[8:2]))))
 			begin
 			   // Burst can continue
-			   wrq2_more   <= wrq2[1];
 			   wacc2       <= 1'b1;
-			   op_cycle    <= 2;
+			   op_cycle    <= 1;
 			end
-		   end // case: 5
+		   end // case: 4
+		   6: begin
+		      dram_dqm    <= 2'b11; // This shouldn't be necessary?!
+		   end
 		   7: begin
 		      // tWR completed
 		      dram_cmd    <= cmd_pre;
+		      dram_dqm    <= 2'b11; // This shouldn't be necessary?!
+		   end
+		   8: begin
+		      dram_dqm    <= 2'b11; // This shouldn't be necessary?!
 		   end
 		   9: begin
+		      // tRP will be complete in the next cycle
+		      dram_dqm    <= 2'b11; // This shouldn't be necessary?!
 		      state <= st_idle;
 		   end
 		 endcase // case (op_cycle)

+ 38 - 22
fpga/spirom.sv

@@ -9,20 +9,21 @@
 //
 
 module spirom (
-	       input rst_n,
-	       input rom_clk,
-	       input ram_clk,
+	       input 		 rst_n,
+	       input 		 rom_clk,
+	       input 		 ram_clk,
 
-	       output spi_sck,
-	       inout [1:0] spi_io,
-	       output reg spi_cs_n,
+	       output 		 spi_sck,
+	       inout [1:0] 	 spi_io,
+	       output reg 	 spi_cs_n,
 
-	       output [15:0] wd,	// Data to RAM
-	       output reg [24:1] waddr,	// RAM address
-	       output [1:0] wrq,	// Write request (min 8/16 bytes)
-	       input wacc,		// Data accepted (ready for next data)
+	       output [15:0] 	 wd, // Data to RAM
+	       (* syn_preserve = 1 *)	// Don't merge into FIFO
+	       output  [24:1] waddr, // RAM address
+	       output reg [1:0]  wrq, // Write request (min 8/16 bytes)
+	       input 		 wacc, // Data accepted (ready for next data)
 
-	       output reg done
+	       output reg 	 done
 	       );
 
    //
@@ -35,13 +36,15 @@ module spirom (
    reg [1:0] 		  spi_in_q;
    reg 			  spi_in_req;
    wire [11:0] 		  wrusedw;
-   wire [8:0] 		  rdusedw;
+   (* syn_preserve = 1 *) wire [8:0] 		  rdusedw;
 
    //
    // FIFO
    //
    wire [15:0] 		  fifo_out;
 
+   (* syn_preserve = 1 *) wire rdempty;
+   
    ddufifo spirom_fifo (
 			.aclr ( ~rst_n ),
 
@@ -54,7 +57,7 @@ module spirom (
 			.rdclk ( ram_clk ),
 			.q ( fifo_out ),
 			.rdreq ( wacc ),
-			.rdempty ( ),
+			.rdempty ( rdempty ),
 			.rdusedw ( rdusedw )
 			);
 
@@ -74,22 +77,35 @@ module spirom (
    assign wd[11:10] = fifo_out[13:12];
    assign wd[ 9: 8] = fifo_out[15:14];
 
-   assign wrq[0] = rdusedw >= 9'd4; // 4*2 = 8 bytes min available
-   assign wrq[1] = rdusedw >= 9'd8; // 4*2 = 8 bytes min available
+   always @(negedge rst_n or posedge ram_clk)
+     if (~rst_n)
+       begin
+	  wrq <= 2'b00;
+       end
+     else
+       begin
+	  wrq[0] <= rdusedw >= 9'd16;  //  4*2 =  8 bytes min available
+	  wrq[1] <= rdusedw >= 9'd32; // 12*2 = 24 bytes min available
+       end
+
+   reg [24:1] waddr_q;
+   reg 	      wacc_q;
+
+   assign waddr = waddr_q;
 
    always @(negedge rst_n or posedge ram_clk)
      if (~rst_n)
        begin
-	  waddr  <= ramstart >> 1;
-	  done   <= 1'b0;
+	  waddr_q  <= ramstart >> 1;
+	  wacc_q   <= 1'b0;
+	  done     <= 1'b0;
        end
      else
        begin
-	  if ( wacc )
-	    begin
-	       waddr <= waddr + 1'b1;
-	       done  <= waddr == (((ramstart + datalen) >> 1) - 1'b1);
-	    end
+	  wacc_q   <= wacc;
+	  waddr_q  <= waddr_q + wacc_q;
+	  done  <= done |
+		   (wacc_q & (waddr_q == (((ramstart + datalen) >> 1) - 1'b1)));
        end // else: !if(~rst_n)
 
    reg [5:0]  spi_cmd_ctr;

+ 1 - 0
fw/.gitignore

@@ -4,5 +4,6 @@
 *.elf
 *.bin
 *.ver
+*.hex
 *.build/
 tools/gnu/

+ 8 - 1
fw/Makefile

@@ -1,3 +1,4 @@
+MAKEFLAGS += -R -r
 export PATH := $(CURDIR)/tools/gnu/bin:$(PATH)
 
 CROSS	  = riscv32-unknown-elf-
@@ -24,7 +25,7 @@ gendeps   = -MD -MF .$(@F).d
 # Don't delete intermediate files
 .SECONDARY:
 
-all: boot.mif
+all: boot.mif testdata.hex
 
 # Generate MIF file for 2Kx32 RAM
 boot_depth  := 2048
@@ -63,6 +64,12 @@ boot.elf: head.o die.o hello.o console.o
 %.s: %.S
 	$(CC) $(SFLAGS) $(SFLAGS_$<) $(gendeps) -E -o $@ $<
 
+testdata.bin: testdata.pl
+	$(PERL) $< > $@
+
+testdata.hex: testdata.bin
+	$(OBJCOPY) -I binary -O ihex $< $@
+
 clean:
 	rm -f *.o *.i *.s *.elf *.bin .*.d
 

+ 1078 - 1057
fw/boot.mif

@@ -5,7 +5,7 @@ ADDRESS_RADIX = HEX;
 DATA_RADIX = HEX;
 CONTENT BEGIN
 000 : 00002137;
-001 : 2F40006F;
+001 : 3300006F;
 002 : 00000000;
 003 : 00000000;
 004 : C0067139;
@@ -18,7 +18,7 @@ CONTENT BEGIN
 00B : DC42DA3E;
 00C : 850BDE46;
 00D : 00EF0000;
-00E : 408233E0;
+00E : 408237A0;
 00F : 43224292;
 010 : 4E4243B2;
 011 : 4F624ED2;
@@ -36,1070 +36,1091 @@ CONTENT BEGIN
 01D : D05AD256;
 01E : CC62CE5E;
 01F : C86ACA66;
-020 : 2695C66E;
+020 : 2645C66E;
 021 : C0000023;
 022 : C8002783;
 023 : 2473DFF5;
 024 : 6085C010;
-025 : FCC08513;
-026 : 05932641;
-027 : 55B30540;
-028 : 628502B4;
-029 : EF028513;
-02A : 26554481;
-02B : 000205B7;
-02C : 40000637;
-02D : 000206B7;
-02E : 00249713;
-02F : 00C70333;
-030 : 00032383;
-031 : 00938363;
-032 : 048515FD;
-033 : FED496E3;
-034 : 06376805;
-035 : 05130002;
-036 : 4937F0C8;
-037 : 2E85000A;
-038 : 40000437;
-039 : 0B936A85;
-03A : 6A410FF9;
-03B : 400809B7;
-03C : 0B138C22;
-03D : 88E20204;
-03E : 0008A583;
-03F : 85130C11;
-040 : 26B1F20A;
-041 : FF6C19E3;
-042 : 2CF54529;
-043 : C0102CF3;
-044 : C0102D73;
-045 : 419D0DB3;
-046 : FFBBFCE3;
-047 : 19E39452;
-048 : CE37FD34;
-049 : 0537DEAD;
-04A : 0E934000;
-04B : 0F37EEFE;
-04C : 8FAA4008;
-04D : 01DFA023;
-04E : 1CE30511;
-04F : 2B73FFE5;
-050 : 8793C010;
-051 : 43948601;
-052 : 06376085;
-053 : 05B74200;
-054 : 85134000;
-055 : 2CE5F280;
-056 : 02D00293;
-057 : 273746A1;
-058 : D33745C1;
-059 : 083778DA;
-05A : 08B70200;
-05B : 84230080;
-05C : A8238651;
-05D : 4A8586D1;
-05E : 84934981;
-05F : 89138601;
-060 : 8A138681;
-061 : 05378701;
-062 : 5CFD4000;
-063 : BA170B93;
-064 : ECB30C13;
-065 : FFF80D93;
-066 : 00188D13;
-067 : 00A98433;
-068 : 85224581;
-069 : 00042023;
-06A : 55FD2AD5;
-06B : 20238522;
-06C : 22ED0194;
-06D : FFF9C593;
-06E : 8522C00C;
-06F : 85CE22C5;
+025 : 02008513;
+026 : 83132E75;
+027 : 25838601;
+028 : 63850003;
+029 : F2C38513;
+02A : 00158713;
+02B : 00E32023;
+02C : 05932EE9;
+02D : 55B30540;
+02E : 648502B4;
+02F : F4048513;
+030 : 40000D37;
+031 : 40080A37;
+032 : 660526C9;
+033 : 89ABD6B7;
+034 : 1D951837;
+035 : 11160C93;
+036 : 000205B7;
+037 : DEF68913;
+038 : C8480993;
+039 : 000D2883;
+03A : 01988363;
+03B : 8AB315FD;
+03C : 0B33032C;
+03D : 0D11033D;
+03E : 032CBC33;
+03F : 016A8BB3;
+040 : 018B8CB3;
+041 : FF4D10E3;
+042 : 06376D85;
+043 : 85130002;
+044 : 2EA5F5CD;
+045 : 000A4E37;
+046 : 40000437;
+047 : 09136A85;
+048 : 6A410FFE;
+049 : 400809B7;
+04A : 0B1384A2;
+04B : 8EA60204;
+04C : 0004D583;
+04D : 002ED603;
+04E : 85130491;
+04F : 26B1F70A;
+050 : FF6497E3;
+051 : 2CF54529;
+052 : C0102F73;
+053 : C0102FF3;
+054 : 41EF8533;
+055 : FEA97CE3;
+056 : 17E39452;
+057 : 2B73FD34;
+058 : 8793C010;
+059 : 43948641;
+05A : 06376085;
+05B : 05B74200;
+05C : 85134000;
+05D : 2E11F7C0;
+05E : 02D00313;
+05F : 25B743A1;
+060 : D63745C1;
+061 : 08B778DA;
+062 : 0D370200;
+063 : 84930080;
+064 : 86238641;
+065 : AA238661;
+066 : 4A858671;
+067 : 89134981;
+068 : 8A1386C1;
+069 : 0FB78741;
+06A : 5CFD4000;
+06B : BA158B93;
+06C : ECB60C13;
+06D : FFF88D93;
+06E : 84330D05;
+06F : 458101F9;
 070 : 20238522;
-071 : 2AD90134;
-072 : 03798E33;
-073 : 05B38522;
-074 : C00C018E;
-075 : 0EB722E1;
-076 : 8F130004;
-077 : FFB3FFFE;
-078 : 053701EA;
-079 : 05934000;
-07A : 986302D0;
-07B : 4783000F;
-07C : 00230009;
-07D : 0023C4F0;
-07E : A08300B9;
-07F : 0A850004;
-080 : F9B39986;
-081 : 9BE301B9;
-082 : 6285F9AA;
-083 : F6028513;
-084 : DCB72421;
-085 : 462178DA;
-086 : 45C126B7;
-087 : 00040737;
-088 : 02000337;
-089 : 008003B7;
-08A : 00CA2023;
-08B : ECBC8C13;
-08C : 49814A05;
-08D : BA168B93;
-08E : 40000D37;
-08F : FFF70C93;
-090 : 02D00D93;
-091 : FFF30413;
-092 : 00138A93;
-093 : 03798833;
-094 : 01A98533;
-095 : 018805B3;
-096 : 78B32291;
-097 : 9863019A;
-098 : 4E030008;
-099 : 00230009;
-09A : 0023C5C0;
-09B : AE8301B9;
-09C : 0A050004;
-09D : 41D98F33;
-09E : 008F79B3;
-09F : FD5A18E3;
-0A0 : C0102973;
-0A1 : 85136FD5;
-0A2 : 0B33820F;
-0A3 : 55B34169;
-0A4 : 678502AB;
-0A5 : F8C78513;
-0A6 : 408C2A5D;
-0A7 : 8633428D;
-0A8 : 76B302B2;
-0A9 : 5B930086;
-0AA : CC330176;
-0AB : 7D130176;
-0AC : 6713FF8C;
-0AD : C098004D;
-0AE : C01024F3;
-0AF : 32116CB7;
-0B0 : 1FFC8D93;
-0B1 : C01020F3;
-0B2 : 40908333;
-0B3 : FE6DFCE3;
-0B4 : 05136405;
-0B5 : 2289FB44;
-0B6 : C01023F3;
-0B7 : 00803AB7;
-0B8 : C7FA8813;
-0B9 : C01028F3;
-0BA : 40788E33;
-0BB : FFC87CE3;
-0BC : 20234E85;
-0BD : BFF5FDD0;
-0BE : 00001197;
-0BF : 5C418193;
-0C0 : 86818513;
-0C1 : 8A018613;
-0C2 : 45818E09;
-0C3 : 05132A7D;
-0C4 : C5190000;
-0C5 : 00000513;
-0C6 : 00000097;
-0C7 : 000000E7;
-0C8 : 450222B1;
-0C9 : 4601004C;
-0CA : A0A93B25;
-0CB : C4221141;
-0CC : 8841C783;
-0CD : EF91C606;
-0CE : 00000793;
-0CF : 6505CB81;
-0D0 : 0B050513;
-0D1 : 00000097;
-0D2 : 000000E7;
-0D3 : 82234785;
-0D4 : 40B288F1;
-0D5 : 01414422;
-0D6 : 07938082;
-0D7 : CB910000;
-0D8 : 85936505;
-0D9 : 05138881;
-0DA : 03170B05;
-0DB : 00670000;
-0DC : 80820000;
-0DD : 01407737;
-0DE : 02934789;
-0DF : F693F3F7;
-0E0 : 00230FF7;
-0E1 : C793C0D0;
-0E2 : 26730077;
-0E3 : 2373C010;
-0E4 : 03B3C010;
-0E5 : FCE340C3;
-0E6 : B7D5FE72;
-0E7 : 03634110;
-0E8 : 114104B6;
-0E9 : 8413C422;
-0EA : 401C8701;
-0EB : CF89C606;
-0EC : 85AA86AE;
-0ED : 05136505;
-0EE : 2851ECC5;
-0EF : 00042283;
-0F0 : FFF28313;
-0F1 : 00642023;
-0F2 : 86C18593;
-0F3 : 07134190;
-0F4 : 06930580;
-0F5 : C1940016;
-0F6 : 84234422;
-0F7 : 40B286E1;
-0F8 : 80820141;
-0F9 : D7378082;
-0FA : 478D3216;
-0FB : 94870313;
-0FC : 02A782B3;
-0FD : 02653533;
-0FE : 00A283B3;
-0FF : FFF38593;
-100 : C4B02223;
-101 : 47A98082;
-102 : 00F51563;
-103 : 002342B5;
-104 : 0023C450;
-105 : 8082C4A0;
-106 : C4221141;
-107 : 842AC606;
-108 : 00044503;
-109 : 40B2E509;
-10A : 01414422;
-10B : 04058082;
-10C : B7FD3FD9;
-10D : 862A7175;
-10E : 850A86AE;
-10F : 08000593;
-110 : 28EDC706;
-111 : 3FC9850A;
-112 : 614940BA;
-113 : 71398082;
-114 : 104CD22E;
-115 : D432CE06;
-116 : D83AD636;
-117 : DC42DA3E;
-118 : C62EDE46;
-119 : 40F23FC1;
-11A : 80826121;
-11B : C4221141;
-11C : 6405C226;
-11D : 87936485;
-11E : 04130B44;
-11F : 8C1D0B44;
-120 : C606C04A;
-121 : 84938409;
-122 : 49010B44;
-123 : 02891563;
-124 : 64056485;
-125 : 0B448793;
-126 : 0B840413;
-127 : 84098C1D;
-128 : 0B448493;
-129 : 1D634901;
-12A : 40B20089;
-12B : 44924422;
-12C : 01414902;
-12D : 409C8082;
-12E : 04910905;
-12F : B7F99782;
-130 : 0905409C;
-131 : 97820491;
-132 : 832ABFF9;
-133 : 0023C611;
-134 : 167D00B3;
-135 : FE650305;
-136 : 71198082;
-137 : DAA6DCA2;
-138 : D8CADE86;
-139 : 843284AA;
-13A : 00065C63;
-13B : 08B00793;
-13C : 557DC11C;
-13D : 546650F6;
-13E : 594654D6;
-13F : 80826109;
-140 : 20800793;
-141 : 00F11A23;
-142 : CC2EC42E;
-143 : 47818636;
-144 : C01986BA;
-145 : FFF40793;
-146 : 002C597D;
-147 : C83E8526;
-148 : 1B23CE3E;
-149 : 22210121;
-14A : 01255563;
-14B : 08B00793;
-14C : D069C09C;
-14D : 802347A2;
-14E : BF6D0007;
-14F : 86B28736;
-150 : 85AA862E;
-151 : 8641A503;
-152 : 1101BF49;
-153 : A903C84A;
-154 : CC220085;
-155 : C452C64E;
-156 : CE06C256;
-157 : C05ACA26;
-158 : 0005AA83;
-159 : 8A32842E;
-15A : E76389B6;
-15B : D7830726;
-15C : F71300C5;
-15D : CF394807;
-15E : 448D4858;
-15F : 84B3498C;
-160 : 470902E4;
-161 : 40BA8AB3;
-162 : C4B38B2A;
-163 : 871302E4;
-164 : 97560016;
-165 : 00E4F363;
-166 : F79384BA;
-167 : C7A54007;
-168 : 855A85A6;
-169 : 892A2F81;
-16A : 480CC925;
-16B : 25B58656;
-16C : 00C45783;
-16D : B7F7F793;
-16E : 0807E793;
-16F : 00F41623;
-170 : 01242823;
-171 : 9956C844;
-172 : 415484B3;
-173 : 01242023;
-174 : 894EC404;
-175 : 0129F363;
-176 : 4008894E;
-177 : 85D2864A;
-178 : 441C2D81;
-179 : 87B34501;
-17A : C41C4127;
-17B : 97CA401C;
-17C : 40F2C01C;
-17D : 44D24462;
-17E : 49B24942;
-17F : 4A924A22;
-180 : 61054B02;
-181 : 86268082;
-182 : 00EF855A;
-183 : 892A7BE0;
-184 : 480CF945;
-185 : 2581855A;
-186 : 202347B1;
-187 : 578300FB;
-188 : 557D00C4;
-189 : 0407E793;
-18A : 00F41623;
-18B : D783B7D9;
-18C : 717100C5;
-18D : D326D522;
-18E : CD52D14A;
-18F : CF4ED706;
-190 : C95ACB56;
-191 : C562C75E;
-192 : C16AC366;
-193 : F793DEEE;
-194 : 8A2A0807;
-195 : 84B2892E;
-196 : C3B18436;
-197 : E3A1499C;
-198 : 04000593;
-199 : 20232D41;
-19A : 282300A9;
-19B : E50500A9;
-19C : 202347B1;
-19D : 557D00FA;
-19E : 542A50BA;
-19F : 590A549A;
-1A0 : 4A6A49FA;
-1A1 : 4B4A4ADA;
-1A2 : 4C2A4BBA;
-1A3 : 4D0A4C9A;
-1A4 : 614D5DF6;
-1A5 : 07938082;
-1A6 : 2A230400;
-1A7 : 079300F9;
-1A8 : 04A30200;
-1A9 : 079302F1;
-1AA : D2020300;
-1AB : 02F10523;
-1AC : 0C93C622;
-1AD : 6B050250;
-1AE : 6D056B85;
-1AF : 00000A93;
-1B0 : 47838426;
-1B1 : C3990004;
-1B2 : 09979E63;
-1B3 : 40940DB3;
-1B4 : 00940D63;
-1B5 : 862686EE;
-1B6 : 855285CA;
-1B7 : 57FD35BD;
-1B8 : 18F50B63;
-1B9 : 97EE5792;
-1BA : 4783D23E;
-1BB : 84630004;
-1BC : 57FD1807;
-1BD : 00140493;
-1BE : CE02C802;
-1BF : CC02CA3E;
-1C0 : 040109A3;
-1C1 : 4D85D482;
-1C2 : 0004C583;
-1C3 : 05134615;
-1C4 : 21FD014B;
-1C5 : 841347C2;
-1C6 : E5390014;
-1C7 : 0107F713;
-1C8 : 0713C709;
-1C9 : 09A30200;
-1CA : F71304E1;
-1CB : C7090087;
-1CC : 02B00713;
-1CD : 04E109A3;
-1CE : 0004C683;
-1CF : 02A00713;
-1D0 : 02E68D63;
-1D1 : 842647F2;
-1D2 : 46254681;
-1D3 : 47034529;
-1D4 : 05930004;
-1D5 : 07130014;
-1D6 : 7563FD07;
-1D7 : C69506E6;
-1D8 : A025CE3E;
-1D9 : BFB10405;
-1DA : 014B0713;
-1DB : 95338D19;
-1DC : 8FC900AD;
-1DD : 84A2C83E;
-1DE : 4732BF41;
-1DF : 00470693;
-1E0 : C6364318;
-1E1 : 02074963;
-1E2 : 4703CE3A;
-1E3 : 07930004;
-1E4 : 106302E0;
-1E5 : 470306F7;
-1E6 : 07930014;
-1E7 : 1B6302A0;
-1E8 : 47B202F7;
-1E9 : 87130409;
-1EA : 439C0047;
-1EB : C163C63A;
-1EC : CA3E0207;
-1ED : 0733A83D;
-1EE : E79340E0;
-1EF : CE3A0027;
-1F0 : B7E1C83E;
-1F1 : 02A787B3;
-1F2 : 4685842E;
-1F3 : B74197BA;
-1F4 : B7C557FD;
-1F5 : CA020405;
-1F6 : 47814681;
-1F7 : 45294625;
-1F8 : 00044703;
-1F9 : 00140593;
-1FA : FD070713;
-1FB : 04E67D63;
-1FC : 4583F2E9;
-1FD : 460D0004;
-1FE : 01CB8513;
-1FF : CD012111;
-200 : 01CB8793;
-201 : 47C28D1D;
-202 : 04000713;
-203 : 00A71733;
-204 : 04058FD9;
-205 : 4583C83E;
-206 : 46190004;
-207 : 020D0513;
-208 : 00140493;
-209 : 02B10423;
-20A : CD392EE1;
-20B : 020A9763;
-20C : 47B24742;
-20D : 10077713;
-20E : 0791CF09;
-20F : 5792C63E;
-210 : D23E97CE;
-211 : 87B3BDB5;
-212 : 842E02A7;
-213 : 97BA4685;
-214 : 079DBF41;
-215 : 07A19BE1;
-216 : 0078B7D5;
-217 : 54A00693;
-218 : 080C864A;
-219 : 00978552;
-21A : 00E70000;
-21B : 57FD0000;
-21C : 16E389AA;
-21D : 5783FCF5;
-21E : 557D00C9;
-21F : 0407F793;
-220 : DE079CE3;
-221 : BBCD5512;
-222 : 06930078;
-223 : 864A54A0;
-224 : 8552080C;
-225 : BFE12A11;
-226 : CC527179;
-227 : 8A3A499C;
-228 : D4224598;
-229 : CE4ED04A;
-22A : D606CA56;
-22B : C85AD226;
-22C : 89AAC65E;
-22D : 8932842E;
-22E : D3638AB6;
-22F : 87BA00E7;
-230 : 00F92023;
-231 : 04344703;
-232 : 0785C701;
-233 : 00F92023;
-234 : F793401C;
-235 : C7910207;
-236 : 00092783;
-237 : 20230789;
-238 : 400400F9;
-239 : E8918899;
-23A : 01940B13;
-23B : 445C5BFD;
-23C : 00092703;
-23D : CC638F99;
-23E : 478304F4;
-23F : 36B30434;
-240 : 401C00F0;
-241 : 0207F793;
-242 : 0613EBA5;
-243 : 85D60434;
-244 : 9A02854E;
-245 : 036357FD;
-246 : 401C04F5;
-247 : 44814711;
-248 : 99638B99;
-249 : 444400E7;
-24A : 00092783;
-24B : D3638C9D;
-24C : 44810004;
-24D : 4818441C;
-24E : 00F75463;
-24F : 94BE8F99;
-250 : 04694901;
-251 : 98635B7D;
-252 : 45010524;
-253 : 4685A809;
-254 : 85D6865A;
-255 : 9A02854E;
-256 : 01751E63;
-257 : 50B2557D;
-258 : 54925422;
-259 : 49F25902;
-25A : 4AD24A62;
-25B : 4BB24B42;
-25C : 80826145;
-25D : BFA50485;
-25E : 00D40733;
-25F : 03000613;
-260 : 04C701A3;
-261 : 04544703;
-262 : 00168793;
-263 : 068997A2;
-264 : 04E781A3;
-265 : 4685BF9D;
-266 : 85D68622;
-267 : 9A02854E;
-268 : FB650EE3;
-269 : B7450905;
-26A : D4227179;
-26B : D04AD226;
-26C : D606CE4E;
-26D : CA56CC52;
-26E : C883C85A;
-26F : 07930185;
-270 : 84AA0780;
-271 : 8932842E;
-272 : EE6389B6;
-273 : 07930117;
-274 : 86930620;
-275 : ED630435;
-276 : 89630117;
-277 : 07931A08;
-278 : 8B630580;
-279 : 0A9316F8;
-27A : 01230424;
-27B : A8050514;
-27C : F9D88793;
-27D : 0FF7F793;
-27E : 66E34655;
-27F : 6605FEF6;
-280 : 0613078A;
-281 : 97B20506;
-282 : 8782439C;
-283 : 8A93431C;
-284 : 86930425;
-285 : 439C0047;
-286 : 8123C314;
-287 : 478504F5;
-288 : 419CAA49;
-289 : F6134308;
-28A : 05930807;
-28B : C2050045;
-28C : C30C411C;
-28D : D8636805;
-28E : 07130007;
-28F : 07B302D0;
-290 : 01A340F0;
-291 : 081304E4;
-292 : 47290288;
-293 : F613A099;
-294 : 411C0407;
-295 : DE79C30C;
-296 : 87C107C2;
-297 : 4190BFE1;
-298 : 7513431C;
-299 : 85930806;
-29A : C5010047;
-29B : 439CC30C;
-29C : 7613A039;
-29D : C30C0406;
-29E : D783DA7D;
-29F : 68050007;
-2A0 : 06F00713;
-2A1 : 02880813;
-2A2 : 0CE88C63;
-2A3 : 01A34729;
-2A4 : 40500404;
-2A5 : 4563C410;
-2A6 : 400C0006;
-2A7 : C00C99ED;
-2A8 : 8AB6E399;
-2A9 : 8AB6CE19;
-2AA : 02E7F633;
-2AB : 96421AFD;
-2AC : 00064603;
-2AD : 00CA8023;
-2AE : D7B3863E;
-2AF : 75E302E7;
-2B0 : 47A1FEE6;
-2B1 : 00F71E63;
-2B2 : 8B85401C;
-2B3 : 4058CB91;
-2B4 : C763481C;
-2B5 : 079300E7;
-2B6 : 8FA30300;
-2B7 : 1AFDFEFA;
-2B8 : 415686B3;
-2B9 : 874EC814;
-2BA : 007086CA;
-2BB : 852685A2;
-2BC : 5A7D3365;
-2BD : 0D451363;
-2BE : 50B2557D;
-2BF : 54925422;
-2C0 : 49F25902;
-2C1 : 4AD24A62;
-2C2 : 61454B42;
-2C3 : 419C8082;
-2C4 : 0207E793;
-2C5 : 6805C19C;
-2C6 : 07800893;
-2C7 : 03C80813;
-2C8 : 051402A3;
-2C9 : 430C4010;
-2CA : 08067513;
-2CB : 0591419C;
-2CC : 7513E511;
-2CD : C1190406;
-2CE : 83C107C2;
-2CF : 7713C30C;
-2D0 : C7010016;
-2D1 : 02066613;
-2D2 : 4741C010;
-2D3 : 4010F3A9;
-2D4 : FDF67613;
-2D5 : BF25C010;
-2D6 : 08136805;
-2D7 : B7C90288;
-2D8 : B7354721;
-2D9 : 431C4190;
-2DA : 781349CC;
-2DB : 85130806;
-2DC : 06630047;
-2DD : C3080008;
-2DE : C38C439C;
-2DF : C308A801;
-2E0 : 04067613;
-2E1 : DA75439C;
-2E2 : 00B79023;
-2E3 : 00042823;
-2E4 : BF918AB6;
-2E5 : 41D0431C;
-2E6 : 86934581;
-2E7 : C3140047;
-2E8 : 0007AA83;
-2E9 : 28A98556;
-2EA : 0533C501;
-2EB : C0484155;
-2EC : C81C405C;
-2ED : 040401A3;
-2EE : 4814B73D;
-2EF : 85CA8656;
-2F0 : 99828526;
-2F1 : F3450AE3;
-2F2 : 8B89401C;
-2F3 : 47B2E78D;
-2F4 : 54E34448;
-2F5 : 853EF2F5;
-2F6 : 4685B70D;
-2F7 : 85CA8656;
-2F8 : 99828526;
-2F9 : F1650AE3;
-2FA : 445C0A05;
-2FB : 8F994732;
-2FC : FEFA45E3;
-2FD : 4A01BFE9;
-2FE : 01940A93;
-2FF : B7F55B7D;
-300 : 0FF5F593;
-301 : 1463962A;
-302 : 450100C5;
-303 : 47838082;
-304 : 8DE30005;
-305 : 0505FEB7;
-306 : 832AB7FD;
-307 : 8383CA09;
-308 : 00230005;
-309 : 167D0073;
-30A : 05850305;
-30B : 8082FA6D;
-30C : 832AC215;
-30D : 67634685;
-30E : 56FD00B5;
-30F : FFF60713;
-310 : 95BA933A;
-311 : 00058383;
-312 : 00730023;
-313 : 9336167D;
-314 : FA6D95B6;
-315 : CDD18082;
-316 : FFC5A783;
-317 : CC221101;
-318 : 8413CE06;
-319 : D363FFC5;
-31A : 943E0007;
-31B : 2ADDC62A;
-31C : 8741A783;
-31D : EB894532;
-31E : 00042223;
-31F : 8681AA23;
-320 : 40F24462;
-321 : A2C56105;
-322 : 00F47D63;
-323 : 06B34010;
-324 : 966300C4;
-325 : 439400D7;
-326 : 96B243DC;
-327 : C05CC014;
-328 : 873EBFF1;
-329 : C39943DC;
-32A : FEF47DE3;
-32B : 06334314;
-32C : 1F6300D7;
-32D : 40100086;
-32E : C31496B2;
-32F : 00D70633;
-330 : FCC790E3;
-331 : 43DC4390;
-332 : C31496B2;
-333 : BF4DC35C;
-334 : 00C47563;
-335 : C11C47B1;
-336 : 4010B765;
-337 : 00C406B3;
-338 : 00D79663;
-339 : 43DC4394;
-33A : C01496B2;
-33B : C340C05C;
-33C : 8082BF41;
-33D : CA261101;
-33E : 00358493;
-33F : C84A98F1;
-340 : CC22CE06;
-341 : 04A1C64E;
-342 : 892A47B1;
-343 : 04F4F263;
-344 : E16344B1;
-345 : 854A04B4;
-346 : 871322B1;
-347 : 43188741;
-348 : 87418693;
-349 : E031843A;
-34A : 87818413;
-34B : E789401C;
-34C : 854A4581;
-34D : C0082219;
-34E : 854A85A6;
-34F : 59FD28FD;
-350 : 07351863;
-351 : 202347B1;
-352 : 854A00F9;
-353 : A0312A29;
-354 : FC04D1E3;
-355 : 202347B1;
-356 : 450100F9;
-357 : 446240F2;
-358 : 494244D2;
-359 : 610549B2;
-35A : 401C8082;
-35B : CE638F85;
-35C : 462D0207;
-35D : 00F67663;
-35E : 943EC01C;
-35F : A029C004;
-360 : 1263405C;
-361 : C29C0287;
-362 : 28F1854A;
-363 : 00B40513;
-364 : 00440793;
-365 : 07339961;
-366 : 01E340F5;
-367 : 943AFCF5;
-368 : C01C8F89;
-369 : C35CBF65;
-36A : 8722B7C5;
-36B : BFA54040;
-36C : 00350413;
-36D : 03E39871;
-36E : 05B3FC85;
-36F : 854A40A4;
-370 : 1DE328AD;
-371 : BFBDFB35;
-372 : CC221101;
-373 : CA26CE06;
-374 : C64EC84A;
-375 : 8432C452;
-376 : 4462E991;
-377 : 44D240F2;
-378 : 49B24942;
-379 : 85B24A22;
-37A : B7296105;
-37B : 35A5EE01;
-37C : 40F24481;
-37D : 49424462;
-37E : 4A2249B2;
-37F : 44D28526;
-380 : 80826105;
-381 : 892E8A2A;
-382 : 89AA2085;
-383 : 00856763;
-384 : 00155793;
-385 : EEE384CA;
-386 : 85A2FC87;
-387 : 3DD98552;
-388 : D96184AA;
-389 : F3638622;
-38A : 864E0089;
-38B : 852685CA;
-38C : 85CA33ED;
-38D : 35058552;
-38E : 1141BF6D;
-38F : C226C422;
-390 : 852E842A;
-391 : AE23C606;
-392 : 280D8601;
-393 : 166357FD;
-394 : A78300F5;
-395 : C39187C1;
-396 : 40B2C01C;
-397 : 44924422;
-398 : 80820141;
-399 : 80828082;
-39A : FFC5A783;
-39B : FFC78513;
-39C : 0007D563;
-39D : 419C95AA;
-39E : 8082953E;
-39F : 88018793;
-3A0 : 1141439C;
-3A1 : 86AAC606;
-3A2 : 88018713;
-3A3 : 0893E38D;
-3A4 : 45010D60;
-3A5 : 00000073;
-3A6 : 196357FD;
-3A7 : 202500F5;
-3A8 : C11C47B1;
-3A9 : 40B2557D;
-3AA : 80820141;
-3AB : 431CC308;
-3AC : 0D600893;
-3AD : 853696BE;
-3AE : 00000073;
-3AF : FED511E3;
-3B0 : 853EC308;
-3B1 : A503B7CD;
-3B2 : 80828641;
-3B3 : 70250A0D;
-3B4 : 72203A20;
-3B5 : 20646165;
-3B6 : 78383025;
-3B7 : 70786520;
-3B8 : 65746365;
-3B9 : 30252064;
-3BA : 0A0D7838;
-3BB : 00000000;
-3BC : 41524453;
-3BD : 6F64204D;
-3BE : 6F6C6E77;
-3BF : 74206461;
-3C0 : 206B6F6F;
-3C1 : 75207525;
-3C2 : 00000A73;
-3C3 : 252F7525;
-3C4 : 6F772075;
-3C5 : 20736472;
-3C6 : 0A0A4B4F;
-3C7 : 00000000;
-3C8 : 38302520;
-3C9 : 00000078;
-3CA : 74736554;
-3CB : 20676E69;
-3CC : 41524453;
-3CD : 7266204D;
-3CE : 30206D6F;
-3CF : 38302578;
-3D0 : 6F742078;
-3D1 : 25783020;
-3D2 : 2C783830;
-3D3 : 72747320;
-3D4 : 20656469;
-3D5 : 30257830;
-3D6 : 2E2E7838;
-3D7 : 000A0D2E;
-3D8 : 65520A0D;
-3D9 : 6E696461;
-3DA : 61622067;
-3DB : 74206B63;
-3DC : 6863206F;
-3DD : 206B6365;
-3DE : 20726F66;
-3DF : 61696C61;
-3E0 : 2E736573;
-3E1 : 0A0D2E2E;
-3E2 : 00000000;
-3E3 : 5244530D;
-3E4 : 74204D41;
-3E5 : 20747365;
-3E6 : 706D6F63;
-3E7 : 6574656C;
-3E8 : 6974202C;
-3E9 : 3D20656D;
-3EA : 20752520;
-3EB : 0A0D736D;
-3EC : 00000000;
-3ED : 202A2A2A;
-3EE : 6E696F44;
-3EF : 65722067;
-3F0 : 20746573;
-3F1 : 0D2A2A2A;
-3F2 : 00000A0A;
-3F3 : 2A0A0A0D;
-3F4 : 48202A2A;
-3F5 : 6F6C6C65;
-3F6 : 6F57202C;
-3F7 : 21646C72;
-3F8 : 2A2A2A20;
-3F9 : 69460A0D;
-3FA : 61776D72;
-3FB : 63206572;
-3FC : 69706D6F;
-3FD : 2064656C;
-3FE : 203A6E6F;
-3FF : 2074634F;
-400 : 32203320;
-401 : 20313230;
-402 : 313A3631;
-403 : 30303A31;
-404 : 000A0A0D;
-405 : 2B302D23;
-406 : 00000020;
-407 : 004C6C68;
-408 : 45676665;
-409 : 00004746;
-40A : 33323130;
-40B : 37363534;
-40C : 42413938;
-40D : 46454443;
-40E : 00000000;
-40F : 33323130;
-410 : 37363534;
-411 : 62613938;
-412 : 66656463;
-413 : 00000000;
-414 : 00000A0C;
-415 : 00000A22;
-416 : 000009E6;
-417 : 000009E6;
-418 : 000009E6;
-419 : 000009E6;
-41A : 00000A22;
-41B : 000009E6;
-41C : 000009E6;
-41D : 000009E6;
-41E : 000009E6;
-41F : 00000B64;
-420 : 00000A5E;
-421 : 00000B0E;
-422 : 000009E6;
-423 : 000009E6;
-424 : 00000B94;
-425 : 000009E6;
-426 : 00000A5E;
-427 : 000009E6;
-428 : 000009E6;
-429 : 00000B16;
-42A : 00000000;
-42B : 00000000;
-42C : 00000000;
-42D : 0000035A;
-42E : 0000032C;
-42F : 00000000;
-430 : 00000000;
-431 : 00000000;
-432 : 00000000;
-433 : 00000000;
-434 : 00000000;
-435 : 00000000;
-436 : 00000000;
-437 : 00000000;
-438 : 00000000;
-439 : 00000000;
-43A : 00000000;
-43B : 00000000;
-43C : 00000000;
-43D : 00000000;
-43E : 00000000;
+071 : 2C090004;
+072 : 852255FD;
+073 : 01942023;
+074 : C5932421;
+075 : C00CFFF9;
+076 : 2AFD8522;
+077 : 852285CE;
+078 : 01342023;
+079 : 86B32AD5;
+07A : 85220379;
+07B : 018685B3;
+07C : 22DDC00C;
+07D : 00040E37;
+07E : FFFE0E93;
+07F : 01DAFF33;
+080 : 40000FB7;
+081 : 02D00513;
+082 : 000F1863;
+083 : 00094783;
+084 : C4F00023;
+085 : 00A90023;
+086 : 0004A083;
+087 : 99860A85;
+088 : 01B9F9B3;
+089 : F9AA9BE3;
+08A : 85136285;
+08B : 241DFB42;
+08C : 27374321;
+08D : D3B745C1;
+08E : 0CB778DA;
+08F : 05B70004;
+090 : 06370200;
+091 : 20230080;
+092 : 4981006A;
+093 : 0B934A05;
+094 : 8C13BA17;
+095 : 0D37ECB3;
+096 : 1CFD4000;
+097 : 02D00D93;
+098 : FFF58413;
+099 : 00160A93;
+09A : 03798833;
+09B : 01A98533;
+09C : 018805B3;
+09D : 78B32295;
+09E : 9863019A;
+09F : 46830008;
+0A0 : 00230009;
+0A1 : 0023C4D0;
+0A2 : AE0301B9;
+0A3 : 0A050004;
+0A4 : 41C98EB3;
+0A5 : 008EF9B3;
+0A6 : FD5A18E3;
+0A7 : C0102973;
+0A8 : 0F936F55;
+0A9 : 0B33820F;
+0AA : 55B34169;
+0AB : 650503FB;
+0AC : FE050513;
+0AD : 40080DB7;
+0AE : A2832AC9;
+0AF : 478D0004;
+0B0 : 400005B7;
+0B1 : 02578333;
+0B2 : 00837733;
+0B3 : 01735B93;
+0B4 : 017743B3;
+0B5 : FF83FC13;
+0B6 : 004C6D13;
+0B7 : 01A4A023;
+0B8 : DEADC4B7;
+0B9 : EEF48C93;
+0BA : A02380AE;
+0BB : 05910190;
+0BC : FFB59CE3;
+0BD : C0102473;
+0BE : 1406F637;
+0BF : 3FF60A93;
+0C0 : C0102873;
+0C1 : 408808B3;
+0C2 : FF1AFCE3;
+0C3 : 85136685;
+0C4 : 22890086;
+0C5 : C0102E73;
+0C6 : 00803EB7;
+0C7 : C7FE8993;
+0C8 : C0102A73;
+0C9 : 41CA0933;
+0CA : FF29FCE3;
+0CB : 20234B05;
+0CC : BFF5FD60;
+0CD : 00001197;
+0CE : 5D818193;
+0CF : 86C18513;
+0D0 : 8A418613;
+0D1 : 45818E09;
+0D2 : 05132A7D;
+0D3 : C5190000;
+0D4 : 00000513;
+0D5 : 00000097;
+0D6 : 000000E7;
+0D7 : 450222B1;
+0D8 : 4601004C;
+0D9 : A0A939F5;
+0DA : C4221141;
+0DB : 8881C783;
+0DC : EF91C606;
+0DD : 00000793;
+0DE : 6505CB81;
+0DF : 10050513;
+0E0 : 00000097;
+0E1 : 000000E7;
+0E2 : 84234785;
+0E3 : 40B288F1;
+0E4 : 01414422;
+0E5 : 07938082;
+0E6 : CB910000;
+0E7 : 85936505;
+0E8 : 051388C1;
+0E9 : 03171005;
+0EA : 00670000;
+0EB : 80820000;
+0EC : 01407737;
+0ED : 02934789;
+0EE : F693F3F7;
+0EF : 00230FF7;
+0F0 : C793C0D0;
+0F1 : 26730077;
+0F2 : 2373C010;
+0F3 : 03B3C010;
+0F4 : FCE340C3;
+0F5 : B7D5FE72;
+0F6 : 03634110;
+0F7 : 114104B6;
+0F8 : 8413C422;
+0F9 : 401C8741;
+0FA : CF89C606;
+0FB : 85AA86AE;
+0FC : 05136505;
+0FD : 2851F085;
+0FE : 00042283;
+0FF : FFF28313;
+100 : 00642023;
+101 : 87018593;
+102 : 07134190;
+103 : 06930580;
+104 : C1940016;
+105 : 86234422;
+106 : 40B286E1;
+107 : 80820141;
+108 : D7378082;
+109 : 478D3216;
+10A : 94870313;
+10B : 02A782B3;
+10C : 02653533;
+10D : 00A283B3;
+10E : FFF38593;
+10F : C4B02223;
+110 : 47A98082;
+111 : 00F51563;
+112 : 002342B5;
+113 : 0023C450;
+114 : 8082C4A0;
+115 : C4221141;
+116 : 842AC606;
+117 : 00044503;
+118 : 40B2E509;
+119 : 01414422;
+11A : 04058082;
+11B : B7FD3FD9;
+11C : 862A7175;
+11D : 850A86AE;
+11E : 08000593;
+11F : 28EDC706;
+120 : 3FC9850A;
+121 : 614940BA;
+122 : 71398082;
+123 : 104CD22E;
+124 : D432CE06;
+125 : D83AD636;
+126 : DC42DA3E;
+127 : C62EDE46;
+128 : 40F23FC1;
+129 : 80826121;
+12A : C4221141;
+12B : 6405C226;
+12C : 87936485;
+12D : 04131044;
+12E : 8C1D1044;
+12F : C606C04A;
+130 : 84938409;
+131 : 49011044;
+132 : 02891563;
+133 : 64056485;
+134 : 10448793;
+135 : 10840413;
+136 : 84098C1D;
+137 : 10448493;
+138 : 1D634901;
+139 : 40B20089;
+13A : 44924422;
+13B : 01414902;
+13C : 409C8082;
+13D : 04910905;
+13E : B7F99782;
+13F : 0905409C;
+140 : 97820491;
+141 : 832ABFF9;
+142 : 0023C611;
+143 : 167D00B3;
+144 : FE650305;
+145 : 71198082;
+146 : DAA6DCA2;
+147 : D8CADE86;
+148 : 843284AA;
+149 : 00065C63;
+14A : 08B00793;
+14B : 557DC11C;
+14C : 546650F6;
+14D : 594654D6;
+14E : 80826109;
+14F : 20800793;
+150 : 00F11A23;
+151 : CC2EC42E;
+152 : 47818636;
+153 : C01986BA;
+154 : FFF40793;
+155 : 002C597D;
+156 : C83E8526;
+157 : 1B23CE3E;
+158 : 22210121;
+159 : 01255563;
+15A : 08B00793;
+15B : D069C09C;
+15C : 802347A2;
+15D : BF6D0007;
+15E : 86B28736;
+15F : 85AA862E;
+160 : 8681A503;
+161 : 1101BF49;
+162 : A903C84A;
+163 : CC220085;
+164 : C452C64E;
+165 : CE06C256;
+166 : C05ACA26;
+167 : 0005AA83;
+168 : 8A32842E;
+169 : E76389B6;
+16A : D7830726;
+16B : F71300C5;
+16C : CF394807;
+16D : 448D4858;
+16E : 84B3498C;
+16F : 470902E4;
+170 : 40BA8AB3;
+171 : C4B38B2A;
+172 : 871302E4;
+173 : 97560016;
+174 : 00E4F363;
+175 : F79384BA;
+176 : C7A54007;
+177 : 855A85A6;
+178 : 892A2F81;
+179 : 480CC925;
+17A : 25B58656;
+17B : 00C45783;
+17C : B7F7F793;
+17D : 0807E793;
+17E : 00F41623;
+17F : 01242823;
+180 : 9956C844;
+181 : 415484B3;
+182 : 01242023;
+183 : 894EC404;
+184 : 0129F363;
+185 : 4008894E;
+186 : 85D2864A;
+187 : 441C2D81;
+188 : 87B34501;
+189 : C41C4127;
+18A : 97CA401C;
+18B : 40F2C01C;
+18C : 44D24462;
+18D : 49B24942;
+18E : 4A924A22;
+18F : 61054B02;
+190 : 86268082;
+191 : 00EF855A;
+192 : 892A7BE0;
+193 : 480CF945;
+194 : 2581855A;
+195 : 202347B1;
+196 : 578300FB;
+197 : 557D00C4;
+198 : 0407E793;
+199 : 00F41623;
+19A : D783B7D9;
+19B : 717100C5;
+19C : D326D522;
+19D : CD52D14A;
+19E : CF4ED706;
+19F : C95ACB56;
+1A0 : C562C75E;
+1A1 : C16AC366;
+1A2 : F793DEEE;
+1A3 : 8A2A0807;
+1A4 : 84B2892E;
+1A5 : C3B18436;
+1A6 : E3A1499C;
+1A7 : 04000593;
+1A8 : 20232D41;
+1A9 : 282300A9;
+1AA : E50500A9;
+1AB : 202347B1;
+1AC : 557D00FA;
+1AD : 542A50BA;
+1AE : 590A549A;
+1AF : 4A6A49FA;
+1B0 : 4B4A4ADA;
+1B1 : 4C2A4BBA;
+1B2 : 4D0A4C9A;
+1B3 : 614D5DF6;
+1B4 : 07938082;
+1B5 : 2A230400;
+1B6 : 079300F9;
+1B7 : 04A30200;
+1B8 : 079302F1;
+1B9 : D2020300;
+1BA : 02F10523;
+1BB : 0C93C622;
+1BC : 6B050250;
+1BD : 6D056B85;
+1BE : 00000A93;
+1BF : 47838426;
+1C0 : C3990004;
+1C1 : 09979E63;
+1C2 : 40940DB3;
+1C3 : 00940D63;
+1C4 : 862686EE;
+1C5 : 855285CA;
+1C6 : 57FD35BD;
+1C7 : 18F50B63;
+1C8 : 97EE5792;
+1C9 : 4783D23E;
+1CA : 84630004;
+1CB : 57FD1807;
+1CC : 00140493;
+1CD : CE02C802;
+1CE : CC02CA3E;
+1CF : 040109A3;
+1D0 : 4D85D482;
+1D1 : 0004C583;
+1D2 : 05134615;
+1D3 : 21FD068B;
+1D4 : 841347C2;
+1D5 : E5390014;
+1D6 : 0107F713;
+1D7 : 0713C709;
+1D8 : 09A30200;
+1D9 : F71304E1;
+1DA : C7090087;
+1DB : 02B00713;
+1DC : 04E109A3;
+1DD : 0004C683;
+1DE : 02A00713;
+1DF : 02E68D63;
+1E0 : 842647F2;
+1E1 : 46254681;
+1E2 : 47034529;
+1E3 : 05930004;
+1E4 : 07130014;
+1E5 : 7563FD07;
+1E6 : C69506E6;
+1E7 : A025CE3E;
+1E8 : BFB10405;
+1E9 : 068B0713;
+1EA : 95338D19;
+1EB : 8FC900AD;
+1EC : 84A2C83E;
+1ED : 4732BF41;
+1EE : 00470693;
+1EF : C6364318;
+1F0 : 02074963;
+1F1 : 4703CE3A;
+1F2 : 07930004;
+1F3 : 106302E0;
+1F4 : 470306F7;
+1F5 : 07930014;
+1F6 : 1B6302A0;
+1F7 : 47B202F7;
+1F8 : 87130409;
+1F9 : 439C0047;
+1FA : C163C63A;
+1FB : CA3E0207;
+1FC : 0733A83D;
+1FD : E79340E0;
+1FE : CE3A0027;
+1FF : B7E1C83E;
+200 : 02A787B3;
+201 : 4685842E;
+202 : B74197BA;
+203 : B7C557FD;
+204 : CA020405;
+205 : 47814681;
+206 : 45294625;
+207 : 00044703;
+208 : 00140593;
+209 : FD070713;
+20A : 04E67D63;
+20B : 4583F2E9;
+20C : 460D0004;
+20D : 070B8513;
+20E : CD012111;
+20F : 070B8793;
+210 : 47C28D1D;
+211 : 04000713;
+212 : 00A71733;
+213 : 04058FD9;
+214 : 4583C83E;
+215 : 46190004;
+216 : 074D0513;
+217 : 00140493;
+218 : 02B10423;
+219 : CD392EE1;
+21A : 020A9763;
+21B : 47B24742;
+21C : 10077713;
+21D : 0791CF09;
+21E : 5792C63E;
+21F : D23E97CE;
+220 : 87B3BDB5;
+221 : 842E02A7;
+222 : 97BA4685;
+223 : 079DBF41;
+224 : 07A19BE1;
+225 : 0078B7D5;
+226 : 58600693;
+227 : 080C864A;
+228 : 00978552;
+229 : 00E70000;
+22A : 57FD0000;
+22B : 16E389AA;
+22C : 5783FCF5;
+22D : 557D00C9;
+22E : 0407F793;
+22F : DE079CE3;
+230 : BBCD5512;
+231 : 06930078;
+232 : 864A5860;
+233 : 8552080C;
+234 : BFE12A11;
+235 : CC527179;
+236 : 8A3A499C;
+237 : D4224598;
+238 : CE4ED04A;
+239 : D606CA56;
+23A : C85AD226;
+23B : 89AAC65E;
+23C : 8932842E;
+23D : D3638AB6;
+23E : 87BA00E7;
+23F : 00F92023;
+240 : 04344703;
+241 : 0785C701;
+242 : 00F92023;
+243 : F793401C;
+244 : C7910207;
+245 : 00092783;
+246 : 20230789;
+247 : 400400F9;
+248 : E8918899;
+249 : 01940B13;
+24A : 445C5BFD;
+24B : 00092703;
+24C : CC638F99;
+24D : 478304F4;
+24E : 36B30434;
+24F : 401C00F0;
+250 : 0207F793;
+251 : 0613EBA5;
+252 : 85D60434;
+253 : 9A02854E;
+254 : 036357FD;
+255 : 401C04F5;
+256 : 44814711;
+257 : 99638B99;
+258 : 444400E7;
+259 : 00092783;
+25A : D3638C9D;
+25B : 44810004;
+25C : 4818441C;
+25D : 00F75463;
+25E : 94BE8F99;
+25F : 04694901;
+260 : 98635B7D;
+261 : 45010524;
+262 : 4685A809;
+263 : 85D6865A;
+264 : 9A02854E;
+265 : 01751E63;
+266 : 50B2557D;
+267 : 54925422;
+268 : 49F25902;
+269 : 4AD24A62;
+26A : 4BB24B42;
+26B : 80826145;
+26C : BFA50485;
+26D : 00D40733;
+26E : 03000613;
+26F : 04C701A3;
+270 : 04544703;
+271 : 00168793;
+272 : 068997A2;
+273 : 04E781A3;
+274 : 4685BF9D;
+275 : 85D68622;
+276 : 9A02854E;
+277 : FB650EE3;
+278 : B7450905;
+279 : D4227179;
+27A : D04AD226;
+27B : D606CE4E;
+27C : CA56CC52;
+27D : C883C85A;
+27E : 07930185;
+27F : 84AA0780;
+280 : 8932842E;
+281 : EE6389B6;
+282 : 07930117;
+283 : 86930620;
+284 : ED630435;
+285 : 89630117;
+286 : 07931A08;
+287 : 8B630580;
+288 : 0A9316F8;
+289 : 01230424;
+28A : A8050514;
+28B : F9D88793;
+28C : 0FF7F793;
+28D : 66E34655;
+28E : 6605FEF6;
+28F : 0613078A;
+290 : 97B20A46;
+291 : 8782439C;
+292 : 8A93431C;
+293 : 86930425;
+294 : 439C0047;
+295 : 8123C314;
+296 : 478504F5;
+297 : 419CAA49;
+298 : F6134308;
+299 : 05930807;
+29A : C2050045;
+29B : C30C411C;
+29C : D8636805;
+29D : 07130007;
+29E : 07B302D0;
+29F : 01A340F0;
+2A0 : 081304E4;
+2A1 : 472907C8;
+2A2 : F613A099;
+2A3 : 411C0407;
+2A4 : DE79C30C;
+2A5 : 87C107C2;
+2A6 : 4190BFE1;
+2A7 : 7513431C;
+2A8 : 85930806;
+2A9 : C5010047;
+2AA : 439CC30C;
+2AB : 7613A039;
+2AC : C30C0406;
+2AD : D783DA7D;
+2AE : 68050007;
+2AF : 06F00713;
+2B0 : 07C80813;
+2B1 : 0CE88C63;
+2B2 : 01A34729;
+2B3 : 40500404;
+2B4 : 4563C410;
+2B5 : 400C0006;
+2B6 : C00C99ED;
+2B7 : 8AB6E399;
+2B8 : 8AB6CE19;
+2B9 : 02E7F633;
+2BA : 96421AFD;
+2BB : 00064603;
+2BC : 00CA8023;
+2BD : D7B3863E;
+2BE : 75E302E7;
+2BF : 47A1FEE6;
+2C0 : 00F71E63;
+2C1 : 8B85401C;
+2C2 : 4058CB91;
+2C3 : C763481C;
+2C4 : 079300E7;
+2C5 : 8FA30300;
+2C6 : 1AFDFEFA;
+2C7 : 415686B3;
+2C8 : 874EC814;
+2C9 : 007086CA;
+2CA : 852685A2;
+2CB : 5A7D3365;
+2CC : 0D451363;
+2CD : 50B2557D;
+2CE : 54925422;
+2CF : 49F25902;
+2D0 : 4AD24A62;
+2D1 : 61454B42;
+2D2 : 419C8082;
+2D3 : 0207E793;
+2D4 : 6805C19C;
+2D5 : 07800893;
+2D6 : 09080813;
+2D7 : 051402A3;
+2D8 : 430C4010;
+2D9 : 08067513;
+2DA : 0591419C;
+2DB : 7513E511;
+2DC : C1190406;
+2DD : 83C107C2;
+2DE : 7713C30C;
+2DF : C7010016;
+2E0 : 02066613;
+2E1 : 4741C010;
+2E2 : 4010F3A9;
+2E3 : FDF67613;
+2E4 : BF25C010;
+2E5 : 08136805;
+2E6 : B7C907C8;
+2E7 : B7354721;
+2E8 : 431C4190;
+2E9 : 781349CC;
+2EA : 85130806;
+2EB : 06630047;
+2EC : C3080008;
+2ED : C38C439C;
+2EE : C308A801;
+2EF : 04067613;
+2F0 : DA75439C;
+2F1 : 00B79023;
+2F2 : 00042823;
+2F3 : BF918AB6;
+2F4 : 41D0431C;
+2F5 : 86934581;
+2F6 : C3140047;
+2F7 : 0007AA83;
+2F8 : 28A98556;
+2F9 : 0533C501;
+2FA : C0484155;
+2FB : C81C405C;
+2FC : 040401A3;
+2FD : 4814B73D;
+2FE : 85CA8656;
+2FF : 99828526;
+300 : F3450AE3;
+301 : 8B89401C;
+302 : 47B2E78D;
+303 : 54E34448;
+304 : 853EF2F5;
+305 : 4685B70D;
+306 : 85CA8656;
+307 : 99828526;
+308 : F1650AE3;
+309 : 445C0A05;
+30A : 8F994732;
+30B : FEFA45E3;
+30C : 4A01BFE9;
+30D : 01940A93;
+30E : B7F55B7D;
+30F : 0FF5F593;
+310 : 1463962A;
+311 : 450100C5;
+312 : 47838082;
+313 : 8DE30005;
+314 : 0505FEB7;
+315 : 832AB7FD;
+316 : 8383CA09;
+317 : 00230005;
+318 : 167D0073;
+319 : 05850305;
+31A : 8082FA6D;
+31B : 832AC215;
+31C : 67634685;
+31D : 56FD00B5;
+31E : FFF60713;
+31F : 95BA933A;
+320 : 00058383;
+321 : 00730023;
+322 : 9336167D;
+323 : FA6D95B6;
+324 : CDD18082;
+325 : FFC5A783;
+326 : CC221101;
+327 : 8413CE06;
+328 : D363FFC5;
+329 : 943E0007;
+32A : 2ADDC62A;
+32B : 8781A783;
+32C : EB894532;
+32D : 00042223;
+32E : 8681AC23;
+32F : 40F24462;
+330 : A2C56105;
+331 : 00F47D63;
+332 : 06B34010;
+333 : 966300C4;
+334 : 439400D7;
+335 : 96B243DC;
+336 : C05CC014;
+337 : 873EBFF1;
+338 : C39943DC;
+339 : FEF47DE3;
+33A : 06334314;
+33B : 1F6300D7;
+33C : 40100086;
+33D : C31496B2;
+33E : 00D70633;
+33F : FCC790E3;
+340 : 43DC4390;
+341 : C31496B2;
+342 : BF4DC35C;
+343 : 00C47563;
+344 : C11C47B1;
+345 : 4010B765;
+346 : 00C406B3;
+347 : 00D79663;
+348 : 43DC4394;
+349 : C01496B2;
+34A : C340C05C;
+34B : 8082BF41;
+34C : CA261101;
+34D : 00358493;
+34E : C84A98F1;
+34F : CC22CE06;
+350 : 04A1C64E;
+351 : 892A47B1;
+352 : 04F4F263;
+353 : E16344B1;
+354 : 854A04B4;
+355 : 871322B1;
+356 : 43188781;
+357 : 87818693;
+358 : E031843A;
+359 : 87C18413;
+35A : E789401C;
+35B : 854A4581;
+35C : C0082219;
+35D : 854A85A6;
+35E : 59FD28FD;
+35F : 07351863;
+360 : 202347B1;
+361 : 854A00F9;
+362 : A0312A29;
+363 : FC04D1E3;
+364 : 202347B1;
+365 : 450100F9;
+366 : 446240F2;
+367 : 494244D2;
+368 : 610549B2;
+369 : 401C8082;
+36A : CE638F85;
+36B : 462D0207;
+36C : 00F67663;
+36D : 943EC01C;
+36E : A029C004;
+36F : 1263405C;
+370 : C29C0287;
+371 : 28F1854A;
+372 : 00B40513;
+373 : 00440793;
+374 : 07339961;
+375 : 01E340F5;
+376 : 943AFCF5;
+377 : C01C8F89;
+378 : C35CBF65;
+379 : 8722B7C5;
+37A : BFA54040;
+37B : 00350413;
+37C : 03E39871;
+37D : 05B3FC85;
+37E : 854A40A4;
+37F : 1DE328AD;
+380 : BFBDFB35;
+381 : CC221101;
+382 : CA26CE06;
+383 : C64EC84A;
+384 : 8432C452;
+385 : 4462E991;
+386 : 44D240F2;
+387 : 49B24942;
+388 : 85B24A22;
+389 : B7296105;
+38A : 35A5EE01;
+38B : 40F24481;
+38C : 49424462;
+38D : 4A2249B2;
+38E : 44D28526;
+38F : 80826105;
+390 : 892E8A2A;
+391 : 89AA2085;
+392 : 00856763;
+393 : 00155793;
+394 : EEE384CA;
+395 : 85A2FC87;
+396 : 3DD98552;
+397 : D96184AA;
+398 : F3638622;
+399 : 864E0089;
+39A : 852685CA;
+39B : 85CA33ED;
+39C : 35058552;
+39D : 1141BF6D;
+39E : C226C422;
+39F : 852E842A;
+3A0 : A023C606;
+3A1 : 280D8801;
+3A2 : 166357FD;
+3A3 : A78300F5;
+3A4 : C3918801;
+3A5 : 40B2C01C;
+3A6 : 44924422;
+3A7 : 80820141;
+3A8 : 80828082;
+3A9 : FFC5A783;
+3AA : FFC78513;
+3AB : 0007D563;
+3AC : 419C95AA;
+3AD : 8082953E;
+3AE : 88418793;
+3AF : 1141439C;
+3B0 : 86AAC606;
+3B1 : 88418713;
+3B2 : 0893E38D;
+3B3 : 45010D60;
+3B4 : 00000073;
+3B5 : 196357FD;
+3B6 : 202500F5;
+3B7 : C11C47B1;
+3B8 : 40B2557D;
+3B9 : 80820141;
+3BA : 431CC308;
+3BB : 0D600893;
+3BC : 853696BE;
+3BD : 00000073;
+3BE : FED511E3;
+3BF : 853EC308;
+3C0 : A503B7CD;
+3C1 : 80828681;
+3C2 : 70250A0D;
+3C3 : 72203A20;
+3C4 : 20646165;
+3C5 : 78383025;
+3C6 : 70786520;
+3C7 : 65746365;
+3C8 : 30252064;
+3C9 : 0A0D7838;
+3CA : 00000000;
+3CB : 73696854;
+3CC : 20736920;
+3CD : 706F6F6C;
+3CE : 7525203A;
+3CF : 0000000A;
+3D0 : 41524453;
+3D1 : 6F64204D;
+3D2 : 6F6C6E77;
+3D3 : 74206461;
+3D4 : 206B6F6F;
+3D5 : 75207525;
+3D6 : 00000A73;
+3D7 : 252F7525;
+3D8 : 6F772075;
+3D9 : 20736472;
+3DA : 0A0A4B4F;
+3DB : 00000000;
+3DC : 34302520;
+3DD : 30252E78;
+3DE : 00007834;
+3DF : 74736554;
+3E0 : 20676E69;
+3E1 : 41524453;
+3E2 : 7266204D;
+3E3 : 30206D6F;
+3E4 : 38302578;
+3E5 : 6F742078;
+3E6 : 25783020;
+3E7 : 2C783830;
+3E8 : 72747320;
+3E9 : 20656469;
+3EA : 30257830;
+3EB : 2E2E7838;
+3EC : 000A0D2E;
+3ED : 65520A0D;
+3EE : 6E696461;
+3EF : 61622067;
+3F0 : 74206B63;
+3F1 : 6863206F;
+3F2 : 206B6365;
+3F3 : 20726F66;
+3F4 : 61696C61;
+3F5 : 2E736573;
+3F6 : 0A0D2E2E;
+3F7 : 00000000;
+3F8 : 5244530D;
+3F9 : 74204D41;
+3FA : 20747365;
+3FB : 706D6F63;
+3FC : 6574656C;
+3FD : 6974202C;
+3FE : 3D20656D;
+3FF : 20752520;
+400 : 0A0D736D;
+401 : 00000000;
+402 : 202A2A2A;
+403 : 6E696F44;
+404 : 65722067;
+405 : 20746573;
+406 : 0D2A2A2A;
+407 : 00000A0A;
+408 : 2A0A0A0D;
+409 : 48202A2A;
+40A : 6F6C6C65;
+40B : 6F57202C;
+40C : 21646C72;
+40D : 2A2A2A20;
+40E : 69460A0D;
+40F : 61776D72;
+410 : 63206572;
+411 : 69706D6F;
+412 : 2064656C;
+413 : 203A6E6F;
+414 : 2074634F;
+415 : 32203420;
+416 : 20313230;
+417 : 323A3030;
+418 : 33333A37;
+419 : 000A0A0D;
+41A : 2B302D23;
+41B : 00000020;
+41C : 004C6C68;
+41D : 45676665;
+41E : 00004746;
+41F : 33323130;
+420 : 37363534;
+421 : 42413938;
+422 : 46454443;
+423 : 00000000;
+424 : 33323130;
+425 : 37363534;
+426 : 62613938;
+427 : 66656463;
+428 : 00000000;
+429 : 00000A48;
+42A : 00000A5E;
+42B : 00000A22;
+42C : 00000A22;
+42D : 00000A22;
+42E : 00000A22;
+42F : 00000A5E;
+430 : 00000A22;
+431 : 00000A22;
+432 : 00000A22;
+433 : 00000A22;
+434 : 00000BA0;
+435 : 00000A9A;
+436 : 00000B4A;
+437 : 00000A22;
+438 : 00000A22;
+439 : 00000BD0;
+43A : 00000A22;
+43B : 00000A9A;
+43C : 00000A22;
+43D : 00000A22;
+43E : 00000B52;
 43F : 00000000;
 440 : 00000000;
-441 : 00000000;
-442 : 00000000;
+441 : 00000396;
+442 : 00000368;
 443 : 00000000;
 444 : 00000000;
 445 : 00000000;
 446 : 00000000;
-447 : 00000004;
-448 : 000010BC;
-[449..7FF] : 00;
+447 : 00000000;
+448 : 00000000;
+449 : 00000000;
+44A : 00000000;
+44B : 00000000;
+44C : 00000000;
+44D : 00000000;
+44E : 00000000;
+44F : 00000000;
+450 : 00000000;
+451 : 00000000;
+452 : 00000000;
+453 : 00000000;
+454 : 00000000;
+455 : 00000000;
+456 : 00000000;
+457 : 00000000;
+458 : 00000000;
+459 : 00000000;
+45A : 00000000;
+45B : 00000001;
+45C : 00000004;
+45D : 0000110C;
+[45E..7FF] : 00;
 END;

+ 19 - 7
fw/hello.c

@@ -101,8 +101,11 @@ void main(void)
     static const char hello[] = /* "\f\033[2J\033[H" */
 	"\r\n\n*** Hello, World! ***\r\n"
 	"Firmware compiled on: " __DATE__ " " __TIME__ "\r\n\n";
+
+    /* The data section is not reinitialized on reset */
+    static unsigned int loops = 1;
+
     uint8_t led = 0;
-    unsigned int loops;
     uint32_t done;
 
     con_set_baudrate(115200);
@@ -115,32 +118,41 @@ void main(void)
     
     con_puts(hello);
 
+    con_printf("This is loop: %u\n", loops++);
     con_printf("SDRAM download took %u us\n", done/(CPU_CLK_HZ/1000000));
 
     volatile uint32_t *p = (uint32_t *)SDRAM_ADDR;
     const unsigned int words = 128*1024;
     unsigned int ok = words;
+    uint32_t val = 0x00001111;
     for (unsigned int w = 0; w < words; w++) {
-	if (*p++ != w)
+	if (*p++ != val)
 	    ok--;
+	val = (val * 0x89abcdef) +
+	    (uint32_t)((val * 0x89abcdefULL) >> 32) +
+	    (w * 0x76543210);
     }
     con_printf("%u/%u words OK\n\n", ok, words);
 
     for (unsigned int o = 0; o < (512*1024); o += (64*1024)) {
+	volatile uint16_t *hp = (uint16_t *)(SDRAM_ADDR + o);
 	p = (uint32_t *)(SDRAM_ADDR + o);
-	for (unsigned int w = 0; w < 8; w++)
-	    con_printf(" %08x", *p++);
+	for (unsigned int w = 0; w < 8; w++) {
+	    uint16_t l = *hp++;
+	    uint16_t h = *hp++;
+	    con_printf(" %04x.%04x", l, h);
+	}
 	con_putc('\n');
 	udelay(8000);
     }
 
+    test_sdram();
+    
     p = (uint32_t *)SDRAM_ADDR;
     for (unsigned int w = 0; w < words; w++)
 	*p++ = 0xdeadbeef;
 
-    test_sdram();
-    
-    udelay(10000000);
+    udelay(4000000);
     con_puts("*** Doing reset ***\r\n\n");
     udelay(100000);
     while ( 1 )

+ 0 - 32777
fw/testdata.hex

@@ -1,32777 +0,0 @@
-:1000000000000000010000000200000003000000EA
-:1000100004000000050000000600000007000000CA
-:1000200008000000090000000A0000000B000000AA
-:100030000C0000000D0000000E0000000F0000008A
-:10004000100000001100000012000000130000006A
-:10005000140000001500000016000000170000004A
-:1000600018000000190000001A0000001B0000002A
-:100070001C0000001D0000001E0000001F0000000A
-:1000800020000000210000002200000023000000EA
-:1000900024000000250000002600000027000000CA
-:1000A00028000000290000002A0000002B000000AA
-:1000B0002C0000002D0000002E0000002F0000008A
-:1000C000300000003100000032000000330000006A
-:1000D000340000003500000036000000370000004A
-:1000E00038000000390000003A0000003B0000002A
-:1000F0003C0000003D0000003E0000003F0000000A
-:1001000040000000410000004200000043000000E9
-:1001100044000000450000004600000047000000C9
-:1001200048000000490000004A0000004B000000A9
-:100130004C0000004D0000004E0000004F00000089
-:100140005000000051000000520000005300000069
-:100150005400000055000000560000005700000049
-:1001600058000000590000005A0000005B00000029
-:100170005C0000005D0000005E0000005F00000009
-:1001800060000000610000006200000063000000E9
-:1001900064000000650000006600000067000000C9
-:1001A00068000000690000006A0000006B000000A9
-:1001B0006C0000006D0000006E0000006F00000089
-:1001C0007000000071000000720000007300000069
-:1001D0007400000075000000760000007700000049
-:1001E00078000000790000007A0000007B00000029
-:1001F0007C0000007D0000007E0000007F00000009
-:1002000080000000810000008200000083000000E8
-:1002100084000000850000008600000087000000C8
-:1002200088000000890000008A0000008B000000A8
-:100230008C0000008D0000008E0000008F00000088
-:100240009000000091000000920000009300000068
-:100250009400000095000000960000009700000048
-:1002600098000000990000009A0000009B00000028
-:100270009C0000009D0000009E0000009F00000008
-:10028000A0000000A1000000A2000000A3000000E8
-:10029000A4000000A5000000A6000000A7000000C8
-:1002A000A8000000A9000000AA000000AB000000A8
-:1002B000AC000000AD000000AE000000AF00000088
-:1002C000B0000000B1000000B2000000B300000068
-:1002D000B4000000B5000000B6000000B700000048
-:1002E000B8000000B9000000BA000000BB00000028
-:1002F000BC000000BD000000BE000000BF00000008
-:10030000C0000000C1000000C2000000C3000000E7
-:10031000C4000000C5000000C6000000C7000000C7
-:10032000C8000000C9000000CA000000CB000000A7
-:10033000CC000000CD000000CE000000CF00000087
-:10034000D0000000D1000000D2000000D300000067
-:10035000D4000000D5000000D6000000D700000047
-:10036000D8000000D9000000DA000000DB00000027
-:10037000DC000000DD000000DE000000DF00000007
-:10038000E0000000E1000000E2000000E3000000E7
-:10039000E4000000E5000000E6000000E7000000C7
-:1003A000E8000000E9000000EA000000EB000000A7
-:1003B000EC000000ED000000EE000000EF00000087
-:1003C000F0000000F1000000F2000000F300000067
-:1003D000F4000000F5000000F6000000F700000047
-:1003E000F8000000F9000000FA000000FB00000027
-:1003F000FC000000FD000000FE000000FF00000007
-:1004000000010000010100000201000003010000E2
-:1004100004010000050100000601000007010000C2
-:1004200008010000090100000A0100000B010000A2
-:100430000C0100000D0100000E0100000F01000082
-:100440001001000011010000120100001301000062
-:100450001401000015010000160100001701000042
-:1004600018010000190100001A0100001B01000022
-:100470001C0100001D0100001E0100001F01000002
-:1004800020010000210100002201000023010000E2
-:1004900024010000250100002601000027010000C2
-:1004A00028010000290100002A0100002B010000A2
-:1004B0002C0100002D0100002E0100002F01000082
-:1004C0003001000031010000320100003301000062
-:1004D0003401000035010000360100003701000042
-:1004E00038010000390100003A0100003B01000022
-:1004F0003C0100003D0100003E0100003F01000002
-:1005000040010000410100004201000043010000E1
-:1005100044010000450100004601000047010000C1
-:1005200048010000490100004A0100004B010000A1
-:100530004C0100004D0100004E0100004F01000081
-:100540005001000051010000520100005301000061
-:100550005401000055010000560100005701000041
-:1005600058010000590100005A0100005B01000021
-:100570005C0100005D0100005E0100005F01000001
-:1005800060010000610100006201000063010000E1
-:1005900064010000650100006601000067010000C1
-:1005A00068010000690100006A0100006B010000A1
-:1005B0006C0100006D0100006E0100006F01000081
-:1005C0007001000071010000720100007301000061
-:1005D0007401000075010000760100007701000041
-:1005E00078010000790100007A0100007B01000021
-:1005F0007C0100007D0100007E0100007F01000001
-:1006000080010000810100008201000083010000E0
-:1006100084010000850100008601000087010000C0
-:1006200088010000890100008A0100008B010000A0
-:100630008C0100008D0100008E0100008F01000080
-:100640009001000091010000920100009301000060
-:100650009401000095010000960100009701000040
-:1006600098010000990100009A0100009B01000020
-:100670009C0100009D0100009E0100009F01000000
-:10068000A0010000A1010000A2010000A3010000E0
-:10069000A4010000A5010000A6010000A7010000C0
-:1006A000A8010000A9010000AA010000AB010000A0
-:1006B000AC010000AD010000AE010000AF01000080
-:1006C000B0010000B1010000B2010000B301000060
-:1006D000B4010000B5010000B6010000B701000040
-:1006E000B8010000B9010000BA010000BB01000020
-:1006F000BC010000BD010000BE010000BF01000000
-:10070000C0010000C1010000C2010000C3010000DF
-:10071000C4010000C5010000C6010000C7010000BF
-:10072000C8010000C9010000CA010000CB0100009F
-:10073000CC010000CD010000CE010000CF0100007F
-:10074000D0010000D1010000D2010000D30100005F
-:10075000D4010000D5010000D6010000D70100003F
-:10076000D8010000D9010000DA010000DB0100001F
-:10077000DC010000DD010000DE010000DF010000FF
-:10078000E0010000E1010000E2010000E3010000DF
-:10079000E4010000E5010000E6010000E7010000BF
-:1007A000E8010000E9010000EA010000EB0100009F
-:1007B000EC010000ED010000EE010000EF0100007F
-:1007C000F0010000F1010000F2010000F30100005F
-:1007D000F4010000F5010000F6010000F70100003F
-:1007E000F8010000F9010000FA010000FB0100001F
-:1007F000FC010000FD010000FE010000FF010000FF
-:1008000000020000010200000202000003020000DA
-:1008100004020000050200000602000007020000BA
-:1008200008020000090200000A0200000B0200009A
-:100830000C0200000D0200000E0200000F0200007A
-:10084000100200001102000012020000130200005A
-:10085000140200001502000016020000170200003A
-:1008600018020000190200001A0200001B0200001A
-:100870001C0200001D0200001E0200001F020000FA
-:1008800020020000210200002202000023020000DA
-:1008900024020000250200002602000027020000BA
-:1008A00028020000290200002A0200002B0200009A
-:1008B0002C0200002D0200002E0200002F0200007A
-:1008C000300200003102000032020000330200005A
-:1008D000340200003502000036020000370200003A
-:1008E00038020000390200003A0200003B0200001A
-:1008F0003C0200003D0200003E0200003F020000FA
-:1009000040020000410200004202000043020000D9
-:1009100044020000450200004602000047020000B9
-:1009200048020000490200004A0200004B02000099
-:100930004C0200004D0200004E0200004F02000079
-:100940005002000051020000520200005302000059
-:100950005402000055020000560200005702000039
-:1009600058020000590200005A0200005B02000019
-:100970005C0200005D0200005E0200005F020000F9
-:1009800060020000610200006202000063020000D9
-:1009900064020000650200006602000067020000B9
-:1009A00068020000690200006A0200006B02000099
-:1009B0006C0200006D0200006E0200006F02000079
-:1009C0007002000071020000720200007302000059
-:1009D0007402000075020000760200007702000039
-:1009E00078020000790200007A0200007B02000019
-:1009F0007C0200007D0200007E0200007F020000F9
-:100A000080020000810200008202000083020000D8
-:100A100084020000850200008602000087020000B8
-:100A200088020000890200008A0200008B02000098
-:100A30008C0200008D0200008E0200008F02000078
-:100A40009002000091020000920200009302000058
-:100A50009402000095020000960200009702000038
-:100A600098020000990200009A0200009B02000018
-:100A70009C0200009D0200009E0200009F020000F8
-:100A8000A0020000A1020000A2020000A3020000D8
-:100A9000A4020000A5020000A6020000A7020000B8
-:100AA000A8020000A9020000AA020000AB02000098
-:100AB000AC020000AD020000AE020000AF02000078
-:100AC000B0020000B1020000B2020000B302000058
-:100AD000B4020000B5020000B6020000B702000038
-:100AE000B8020000B9020000BA020000BB02000018
-:100AF000BC020000BD020000BE020000BF020000F8
-:100B0000C0020000C1020000C2020000C3020000D7
-:100B1000C4020000C5020000C6020000C7020000B7
-:100B2000C8020000C9020000CA020000CB02000097
-:100B3000CC020000CD020000CE020000CF02000077
-:100B4000D0020000D1020000D2020000D302000057
-:100B5000D4020000D5020000D6020000D702000037
-:100B6000D8020000D9020000DA020000DB02000017
-:100B7000DC020000DD020000DE020000DF020000F7
-:100B8000E0020000E1020000E2020000E3020000D7
-:100B9000E4020000E5020000E6020000E7020000B7
-:100BA000E8020000E9020000EA020000EB02000097
-:100BB000EC020000ED020000EE020000EF02000077
-:100BC000F0020000F1020000F2020000F302000057
-:100BD000F4020000F5020000F6020000F702000037
-:100BE000F8020000F9020000FA020000FB02000017
-:100BF000FC020000FD020000FE020000FF020000F7
-:100C000000030000010300000203000003030000D2
-:100C100004030000050300000603000007030000B2
-:100C200008030000090300000A0300000B03000092
-:100C30000C0300000D0300000E0300000F03000072
-:100C40001003000011030000120300001303000052
-:100C50001403000015030000160300001703000032
-:100C600018030000190300001A0300001B03000012
-:100C70001C0300001D0300001E0300001F030000F2
-:100C800020030000210300002203000023030000D2
-:100C900024030000250300002603000027030000B2
-:100CA00028030000290300002A0300002B03000092
-:100CB0002C0300002D0300002E0300002F03000072
-:100CC0003003000031030000320300003303000052
-:100CD0003403000035030000360300003703000032
-:100CE00038030000390300003A0300003B03000012
-:100CF0003C0300003D0300003E0300003F030000F2
-:100D000040030000410300004203000043030000D1
-:100D100044030000450300004603000047030000B1
-:100D200048030000490300004A0300004B03000091
-:100D30004C0300004D0300004E0300004F03000071
-:100D40005003000051030000520300005303000051
-:100D50005403000055030000560300005703000031
-:100D600058030000590300005A0300005B03000011
-:100D70005C0300005D0300005E0300005F030000F1
-:100D800060030000610300006203000063030000D1
-:100D900064030000650300006603000067030000B1
-:100DA00068030000690300006A0300006B03000091
-:100DB0006C0300006D0300006E0300006F03000071
-:100DC0007003000071030000720300007303000051
-:100DD0007403000075030000760300007703000031
-:100DE00078030000790300007A0300007B03000011
-:100DF0007C0300007D0300007E0300007F030000F1
-:100E000080030000810300008203000083030000D0
-:100E100084030000850300008603000087030000B0
-:100E200088030000890300008A0300008B03000090
-:100E30008C0300008D0300008E0300008F03000070
-:100E40009003000091030000920300009303000050
-:100E50009403000095030000960300009703000030
-:100E600098030000990300009A0300009B03000010
-:100E70009C0300009D0300009E0300009F030000F0
-:100E8000A0030000A1030000A2030000A3030000D0
-:100E9000A4030000A5030000A6030000A7030000B0
-:100EA000A8030000A9030000AA030000AB03000090
-:100EB000AC030000AD030000AE030000AF03000070
-:100EC000B0030000B1030000B2030000B303000050
-:100ED000B4030000B5030000B6030000B703000030
-:100EE000B8030000B9030000BA030000BB03000010
-:100EF000BC030000BD030000BE030000BF030000F0
-:100F0000C0030000C1030000C2030000C3030000CF
-:100F1000C4030000C5030000C6030000C7030000AF
-:100F2000C8030000C9030000CA030000CB0300008F
-:100F3000CC030000CD030000CE030000CF0300006F
-:100F4000D0030000D1030000D2030000D30300004F
-:100F5000D4030000D5030000D6030000D70300002F
-:100F6000D8030000D9030000DA030000DB0300000F
-:100F7000DC030000DD030000DE030000DF030000EF
-:100F8000E0030000E1030000E2030000E3030000CF
-:100F9000E4030000E5030000E6030000E7030000AF
-:100FA000E8030000E9030000EA030000EB0300008F
-:100FB000EC030000ED030000EE030000EF0300006F
-:100FC000F0030000F1030000F2030000F30300004F
-:100FD000F4030000F5030000F6030000F70300002F
-:100FE000F8030000F9030000FA030000FB0300000F
-:100FF000FC030000FD030000FE030000FF030000EF
-:1010000000040000010400000204000003040000CA
-:1010100004040000050400000604000007040000AA
-:1010200008040000090400000A0400000B0400008A
-:101030000C0400000D0400000E0400000F0400006A
-:10104000100400001104000012040000130400004A
-:10105000140400001504000016040000170400002A
-:1010600018040000190400001A0400001B0400000A
-:101070001C0400001D0400001E0400001F040000EA
-:1010800020040000210400002204000023040000CA
-:1010900024040000250400002604000027040000AA
-:1010A00028040000290400002A0400002B0400008A
-:1010B0002C0400002D0400002E0400002F0400006A
-:1010C000300400003104000032040000330400004A
-:1010D000340400003504000036040000370400002A
-:1010E00038040000390400003A0400003B0400000A
-:1010F0003C0400003D0400003E0400003F040000EA
-:1011000040040000410400004204000043040000C9
-:1011100044040000450400004604000047040000A9
-:1011200048040000490400004A0400004B04000089
-:101130004C0400004D0400004E0400004F04000069
-:101140005004000051040000520400005304000049
-:101150005404000055040000560400005704000029
-:1011600058040000590400005A0400005B04000009
-:101170005C0400005D0400005E0400005F040000E9
-:1011800060040000610400006204000063040000C9
-:1011900064040000650400006604000067040000A9
-:1011A00068040000690400006A0400006B04000089
-:1011B0006C0400006D0400006E0400006F04000069
-:1011C0007004000071040000720400007304000049
-:1011D0007404000075040000760400007704000029
-:1011E00078040000790400007A0400007B04000009
-:1011F0007C0400007D0400007E0400007F040000E9
-:1012000080040000810400008204000083040000C8
-:1012100084040000850400008604000087040000A8
-:1012200088040000890400008A0400008B04000088
-:101230008C0400008D0400008E0400008F04000068
-:101240009004000091040000920400009304000048
-:101250009404000095040000960400009704000028
-:1012600098040000990400009A0400009B04000008
-:101270009C0400009D0400009E0400009F040000E8
-:10128000A0040000A1040000A2040000A3040000C8
-:10129000A4040000A5040000A6040000A7040000A8
-:1012A000A8040000A9040000AA040000AB04000088
-:1012B000AC040000AD040000AE040000AF04000068
-:1012C000B0040000B1040000B2040000B304000048
-:1012D000B4040000B5040000B6040000B704000028
-:1012E000B8040000B9040000BA040000BB04000008
-:1012F000BC040000BD040000BE040000BF040000E8
-:10130000C0040000C1040000C2040000C3040000C7
-:10131000C4040000C5040000C6040000C7040000A7
-:10132000C8040000C9040000CA040000CB04000087
-:10133000CC040000CD040000CE040000CF04000067
-:10134000D0040000D1040000D2040000D304000047
-:10135000D4040000D5040000D6040000D704000027
-:10136000D8040000D9040000DA040000DB04000007
-:10137000DC040000DD040000DE040000DF040000E7
-:10138000E0040000E1040000E2040000E3040000C7
-:10139000E4040000E5040000E6040000E7040000A7
-:1013A000E8040000E9040000EA040000EB04000087
-:1013B000EC040000ED040000EE040000EF04000067
-:1013C000F0040000F1040000F2040000F304000047
-:1013D000F4040000F5040000F6040000F704000027
-:1013E000F8040000F9040000FA040000FB04000007
-:1013F000FC040000FD040000FE040000FF040000E7
-:1014000000050000010500000205000003050000C2
-:1014100004050000050500000605000007050000A2
-:1014200008050000090500000A0500000B05000082
-:101430000C0500000D0500000E0500000F05000062
-:101440001005000011050000120500001305000042
-:101450001405000015050000160500001705000022
-:1014600018050000190500001A0500001B05000002
-:101470001C0500001D0500001E0500001F050000E2
-:1014800020050000210500002205000023050000C2
-:1014900024050000250500002605000027050000A2
-:1014A00028050000290500002A0500002B05000082
-:1014B0002C0500002D0500002E0500002F05000062
-:1014C0003005000031050000320500003305000042
-:1014D0003405000035050000360500003705000022
-:1014E00038050000390500003A0500003B05000002
-:1014F0003C0500003D0500003E0500003F050000E2
-:1015000040050000410500004205000043050000C1
-:1015100044050000450500004605000047050000A1
-:1015200048050000490500004A0500004B05000081
-:101530004C0500004D0500004E0500004F05000061
-:101540005005000051050000520500005305000041
-:101550005405000055050000560500005705000021
-:1015600058050000590500005A0500005B05000001
-:101570005C0500005D0500005E0500005F050000E1
-:1015800060050000610500006205000063050000C1
-:1015900064050000650500006605000067050000A1
-:1015A00068050000690500006A0500006B05000081
-:1015B0006C0500006D0500006E0500006F05000061
-:1015C0007005000071050000720500007305000041
-:1015D0007405000075050000760500007705000021
-:1015E00078050000790500007A0500007B05000001
-:1015F0007C0500007D0500007E0500007F050000E1
-:1016000080050000810500008205000083050000C0
-:1016100084050000850500008605000087050000A0
-:1016200088050000890500008A0500008B05000080
-:101630008C0500008D0500008E0500008F05000060
-:101640009005000091050000920500009305000040
-:101650009405000095050000960500009705000020
-:1016600098050000990500009A0500009B05000000
-:101670009C0500009D0500009E0500009F050000E0
-:10168000A0050000A1050000A2050000A3050000C0
-:10169000A4050000A5050000A6050000A7050000A0
-:1016A000A8050000A9050000AA050000AB05000080
-:1016B000AC050000AD050000AE050000AF05000060
-:1016C000B0050000B1050000B2050000B305000040
-:1016D000B4050000B5050000B6050000B705000020
-:1016E000B8050000B9050000BA050000BB05000000
-:1016F000BC050000BD050000BE050000BF050000E0
-:10170000C0050000C1050000C2050000C3050000BF
-:10171000C4050000C5050000C6050000C70500009F
-:10172000C8050000C9050000CA050000CB0500007F
-:10173000CC050000CD050000CE050000CF0500005F
-:10174000D0050000D1050000D2050000D30500003F
-:10175000D4050000D5050000D6050000D70500001F
-:10176000D8050000D9050000DA050000DB050000FF
-:10177000DC050000DD050000DE050000DF050000DF
-:10178000E0050000E1050000E2050000E3050000BF
-:10179000E4050000E5050000E6050000E70500009F
-:1017A000E8050000E9050000EA050000EB0500007F
-:1017B000EC050000ED050000EE050000EF0500005F
-:1017C000F0050000F1050000F2050000F30500003F
-:1017D000F4050000F5050000F6050000F70500001F
-:1017E000F8050000F9050000FA050000FB050000FF
-:1017F000FC050000FD050000FE050000FF050000DF
-:1018000000060000010600000206000003060000BA
-:10181000040600000506000006060000070600009A
-:1018200008060000090600000A0600000B0600007A
-:101830000C0600000D0600000E0600000F0600005A
-:10184000100600001106000012060000130600003A
-:10185000140600001506000016060000170600001A
-:1018600018060000190600001A0600001B060000FA
-:101870001C0600001D0600001E0600001F060000DA
-:1018800020060000210600002206000023060000BA
-:10189000240600002506000026060000270600009A
-:1018A00028060000290600002A0600002B0600007A
-:1018B0002C0600002D0600002E0600002F0600005A
-:1018C000300600003106000032060000330600003A
-:1018D000340600003506000036060000370600001A
-:1018E00038060000390600003A0600003B060000FA
-:1018F0003C0600003D0600003E0600003F060000DA
-:1019000040060000410600004206000043060000B9
-:101910004406000045060000460600004706000099
-:1019200048060000490600004A0600004B06000079
-:101930004C0600004D0600004E0600004F06000059
-:101940005006000051060000520600005306000039
-:101950005406000055060000560600005706000019
-:1019600058060000590600005A0600005B060000F9
-:101970005C0600005D0600005E0600005F060000D9
-:1019800060060000610600006206000063060000B9
-:101990006406000065060000660600006706000099
-:1019A00068060000690600006A0600006B06000079
-:1019B0006C0600006D0600006E0600006F06000059
-:1019C0007006000071060000720600007306000039
-:1019D0007406000075060000760600007706000019
-:1019E00078060000790600007A0600007B060000F9
-:1019F0007C0600007D0600007E0600007F060000D9
-:101A000080060000810600008206000083060000B8
-:101A10008406000085060000860600008706000098
-:101A200088060000890600008A0600008B06000078
-:101A30008C0600008D0600008E0600008F06000058
-:101A40009006000091060000920600009306000038
-:101A50009406000095060000960600009706000018
-:101A600098060000990600009A0600009B060000F8
-:101A70009C0600009D0600009E0600009F060000D8
-:101A8000A0060000A1060000A2060000A3060000B8
-:101A9000A4060000A5060000A6060000A706000098
-:101AA000A8060000A9060000AA060000AB06000078
-:101AB000AC060000AD060000AE060000AF06000058
-:101AC000B0060000B1060000B2060000B306000038
-:101AD000B4060000B5060000B6060000B706000018
-:101AE000B8060000B9060000BA060000BB060000F8
-:101AF000BC060000BD060000BE060000BF060000D8
-:101B0000C0060000C1060000C2060000C3060000B7
-:101B1000C4060000C5060000C6060000C706000097
-:101B2000C8060000C9060000CA060000CB06000077
-:101B3000CC060000CD060000CE060000CF06000057
-:101B4000D0060000D1060000D2060000D306000037
-:101B5000D4060000D5060000D6060000D706000017
-:101B6000D8060000D9060000DA060000DB060000F7
-:101B7000DC060000DD060000DE060000DF060000D7
-:101B8000E0060000E1060000E2060000E3060000B7
-:101B9000E4060000E5060000E6060000E706000097
-:101BA000E8060000E9060000EA060000EB06000077
-:101BB000EC060000ED060000EE060000EF06000057
-:101BC000F0060000F1060000F2060000F306000037
-:101BD000F4060000F5060000F6060000F706000017
-:101BE000F8060000F9060000FA060000FB060000F7
-:101BF000FC060000FD060000FE060000FF060000D7
-:101C000000070000010700000207000003070000B2
-:101C10000407000005070000060700000707000092
-:101C200008070000090700000A0700000B07000072
-:101C30000C0700000D0700000E0700000F07000052
-:101C40001007000011070000120700001307000032
-:101C50001407000015070000160700001707000012
-:101C600018070000190700001A0700001B070000F2
-:101C70001C0700001D0700001E0700001F070000D2
-:101C800020070000210700002207000023070000B2
-:101C90002407000025070000260700002707000092
-:101CA00028070000290700002A0700002B07000072
-:101CB0002C0700002D0700002E0700002F07000052
-:101CC0003007000031070000320700003307000032
-:101CD0003407000035070000360700003707000012
-:101CE00038070000390700003A0700003B070000F2
-:101CF0003C0700003D0700003E0700003F070000D2
-:101D000040070000410700004207000043070000B1
-:101D10004407000045070000460700004707000091
-:101D200048070000490700004A0700004B07000071
-:101D30004C0700004D0700004E0700004F07000051
-:101D40005007000051070000520700005307000031
-:101D50005407000055070000560700005707000011
-:101D600058070000590700005A0700005B070000F1
-:101D70005C0700005D0700005E0700005F070000D1
-:101D800060070000610700006207000063070000B1
-:101D90006407000065070000660700006707000091
-:101DA00068070000690700006A0700006B07000071
-:101DB0006C0700006D0700006E0700006F07000051
-:101DC0007007000071070000720700007307000031
-:101DD0007407000075070000760700007707000011
-:101DE00078070000790700007A0700007B070000F1
-:101DF0007C0700007D0700007E0700007F070000D1
-:101E000080070000810700008207000083070000B0
-:101E10008407000085070000860700008707000090
-:101E200088070000890700008A0700008B07000070
-:101E30008C0700008D0700008E0700008F07000050
-:101E40009007000091070000920700009307000030
-:101E50009407000095070000960700009707000010
-:101E600098070000990700009A0700009B070000F0
-:101E70009C0700009D0700009E0700009F070000D0
-:101E8000A0070000A1070000A2070000A3070000B0
-:101E9000A4070000A5070000A6070000A707000090
-:101EA000A8070000A9070000AA070000AB07000070
-:101EB000AC070000AD070000AE070000AF07000050
-:101EC000B0070000B1070000B2070000B307000030
-:101ED000B4070000B5070000B6070000B707000010
-:101EE000B8070000B9070000BA070000BB070000F0
-:101EF000BC070000BD070000BE070000BF070000D0
-:101F0000C0070000C1070000C2070000C3070000AF
-:101F1000C4070000C5070000C6070000C70700008F
-:101F2000C8070000C9070000CA070000CB0700006F
-:101F3000CC070000CD070000CE070000CF0700004F
-:101F4000D0070000D1070000D2070000D30700002F
-:101F5000D4070000D5070000D6070000D70700000F
-:101F6000D8070000D9070000DA070000DB070000EF
-:101F7000DC070000DD070000DE070000DF070000CF
-:101F8000E0070000E1070000E2070000E3070000AF
-:101F9000E4070000E5070000E6070000E70700008F
-:101FA000E8070000E9070000EA070000EB0700006F
-:101FB000EC070000ED070000EE070000EF0700004F
-:101FC000F0070000F1070000F2070000F30700002F
-:101FD000F4070000F5070000F6070000F70700000F
-:101FE000F8070000F9070000FA070000FB070000EF
-:101FF000FC070000FD070000FE070000FF070000CF
-:1020000000080000010800000208000003080000AA
-:10201000040800000508000006080000070800008A
-:1020200008080000090800000A0800000B0800006A
-:102030000C0800000D0800000E0800000F0800004A
-:10204000100800001108000012080000130800002A
-:10205000140800001508000016080000170800000A
-:1020600018080000190800001A0800001B080000EA
-:102070001C0800001D0800001E0800001F080000CA
-:1020800020080000210800002208000023080000AA
-:10209000240800002508000026080000270800008A
-:1020A00028080000290800002A0800002B0800006A
-:1020B0002C0800002D0800002E0800002F0800004A
-:1020C000300800003108000032080000330800002A
-:1020D000340800003508000036080000370800000A
-:1020E00038080000390800003A0800003B080000EA
-:1020F0003C0800003D0800003E0800003F080000CA
-:1021000040080000410800004208000043080000A9
-:102110004408000045080000460800004708000089
-:1021200048080000490800004A0800004B08000069
-:102130004C0800004D0800004E0800004F08000049
-:102140005008000051080000520800005308000029
-:102150005408000055080000560800005708000009
-:1021600058080000590800005A0800005B080000E9
-:102170005C0800005D0800005E0800005F080000C9
-:1021800060080000610800006208000063080000A9
-:102190006408000065080000660800006708000089
-:1021A00068080000690800006A0800006B08000069
-:1021B0006C0800006D0800006E0800006F08000049
-:1021C0007008000071080000720800007308000029
-:1021D0007408000075080000760800007708000009
-:1021E00078080000790800007A0800007B080000E9
-:1021F0007C0800007D0800007E0800007F080000C9
-:1022000080080000810800008208000083080000A8
-:102210008408000085080000860800008708000088
-:1022200088080000890800008A0800008B08000068
-:102230008C0800008D0800008E0800008F08000048
-:102240009008000091080000920800009308000028
-:102250009408000095080000960800009708000008
-:1022600098080000990800009A0800009B080000E8
-:102270009C0800009D0800009E0800009F080000C8
-:10228000A0080000A1080000A2080000A3080000A8
-:10229000A4080000A5080000A6080000A708000088
-:1022A000A8080000A9080000AA080000AB08000068
-:1022B000AC080000AD080000AE080000AF08000048
-:1022C000B0080000B1080000B2080000B308000028
-:1022D000B4080000B5080000B6080000B708000008
-:1022E000B8080000B9080000BA080000BB080000E8
-:1022F000BC080000BD080000BE080000BF080000C8
-:10230000C0080000C1080000C2080000C3080000A7
-:10231000C4080000C5080000C6080000C708000087
-:10232000C8080000C9080000CA080000CB08000067
-:10233000CC080000CD080000CE080000CF08000047
-:10234000D0080000D1080000D2080000D308000027
-:10235000D4080000D5080000D6080000D708000007
-:10236000D8080000D9080000DA080000DB080000E7
-:10237000DC080000DD080000DE080000DF080000C7
-:10238000E0080000E1080000E2080000E3080000A7
-:10239000E4080000E5080000E6080000E708000087
-:1023A000E8080000E9080000EA080000EB08000067
-:1023B000EC080000ED080000EE080000EF08000047
-:1023C000F0080000F1080000F2080000F308000027
-:1023D000F4080000F5080000F6080000F708000007
-:1023E000F8080000F9080000FA080000FB080000E7
-:1023F000FC080000FD080000FE080000FF080000C7
-:1024000000090000010900000209000003090000A2
-:102410000409000005090000060900000709000082
-:1024200008090000090900000A0900000B09000062
-:102430000C0900000D0900000E0900000F09000042
-:102440001009000011090000120900001309000022
-:102450001409000015090000160900001709000002
-:1024600018090000190900001A0900001B090000E2
-:102470001C0900001D0900001E0900001F090000C2
-:1024800020090000210900002209000023090000A2
-:102490002409000025090000260900002709000082
-:1024A00028090000290900002A0900002B09000062
-:1024B0002C0900002D0900002E0900002F09000042
-:1024C0003009000031090000320900003309000022
-:1024D0003409000035090000360900003709000002
-:1024E00038090000390900003A0900003B090000E2
-:1024F0003C0900003D0900003E0900003F090000C2
-:1025000040090000410900004209000043090000A1
-:102510004409000045090000460900004709000081
-:1025200048090000490900004A0900004B09000061
-:102530004C0900004D0900004E0900004F09000041
-:102540005009000051090000520900005309000021
-:102550005409000055090000560900005709000001
-:1025600058090000590900005A0900005B090000E1
-:102570005C0900005D0900005E0900005F090000C1
-:1025800060090000610900006209000063090000A1
-:102590006409000065090000660900006709000081
-:1025A00068090000690900006A0900006B09000061
-:1025B0006C0900006D0900006E0900006F09000041
-:1025C0007009000071090000720900007309000021
-:1025D0007409000075090000760900007709000001
-:1025E00078090000790900007A0900007B090000E1
-:1025F0007C0900007D0900007E0900007F090000C1
-:1026000080090000810900008209000083090000A0
-:102610008409000085090000860900008709000080
-:1026200088090000890900008A0900008B09000060
-:102630008C0900008D0900008E0900008F09000040
-:102640009009000091090000920900009309000020
-:102650009409000095090000960900009709000000
-:1026600098090000990900009A0900009B090000E0
-:102670009C0900009D0900009E0900009F090000C0
-:10268000A0090000A1090000A2090000A3090000A0
-:10269000A4090000A5090000A6090000A709000080
-:1026A000A8090000A9090000AA090000AB09000060
-:1026B000AC090000AD090000AE090000AF09000040
-:1026C000B0090000B1090000B2090000B309000020
-:1026D000B4090000B5090000B6090000B709000000
-:1026E000B8090000B9090000BA090000BB090000E0
-:1026F000BC090000BD090000BE090000BF090000C0
-:10270000C0090000C1090000C2090000C30900009F
-:10271000C4090000C5090000C6090000C70900007F
-:10272000C8090000C9090000CA090000CB0900005F
-:10273000CC090000CD090000CE090000CF0900003F
-:10274000D0090000D1090000D2090000D30900001F
-:10275000D4090000D5090000D6090000D7090000FF
-:10276000D8090000D9090000DA090000DB090000DF
-:10277000DC090000DD090000DE090000DF090000BF
-:10278000E0090000E1090000E2090000E30900009F
-:10279000E4090000E5090000E6090000E70900007F
-:1027A000E8090000E9090000EA090000EB0900005F
-:1027B000EC090000ED090000EE090000EF0900003F
-:1027C000F0090000F1090000F2090000F30900001F
-:1027D000F4090000F5090000F6090000F7090000FF
-:1027E000F8090000F9090000FA090000FB090000DF
-:1027F000FC090000FD090000FE090000FF090000BF
-:10280000000A0000010A0000020A0000030A00009A
-:10281000040A0000050A0000060A0000070A00007A
-:10282000080A0000090A00000A0A00000B0A00005A
-:102830000C0A00000D0A00000E0A00000F0A00003A
-:10284000100A0000110A0000120A0000130A00001A
-:10285000140A0000150A0000160A0000170A0000FA
-:10286000180A0000190A00001A0A00001B0A0000DA
-:102870001C0A00001D0A00001E0A00001F0A0000BA
-:10288000200A0000210A0000220A0000230A00009A
-:10289000240A0000250A0000260A0000270A00007A
-:1028A000280A0000290A00002A0A00002B0A00005A
-:1028B0002C0A00002D0A00002E0A00002F0A00003A
-:1028C000300A0000310A0000320A0000330A00001A
-:1028D000340A0000350A0000360A0000370A0000FA
-:1028E000380A0000390A00003A0A00003B0A0000DA
-:1028F0003C0A00003D0A00003E0A00003F0A0000BA
-:10290000400A0000410A0000420A0000430A000099
-:10291000440A0000450A0000460A0000470A000079
-:10292000480A0000490A00004A0A00004B0A000059
-:102930004C0A00004D0A00004E0A00004F0A000039
-:10294000500A0000510A0000520A0000530A000019
-:10295000540A0000550A0000560A0000570A0000F9
-:10296000580A0000590A00005A0A00005B0A0000D9
-:102970005C0A00005D0A00005E0A00005F0A0000B9
-:10298000600A0000610A0000620A0000630A000099
-:10299000640A0000650A0000660A0000670A000079
-:1029A000680A0000690A00006A0A00006B0A000059
-:1029B0006C0A00006D0A00006E0A00006F0A000039
-:1029C000700A0000710A0000720A0000730A000019
-:1029D000740A0000750A0000760A0000770A0000F9
-:1029E000780A0000790A00007A0A00007B0A0000D9
-:1029F0007C0A00007D0A00007E0A00007F0A0000B9
-:102A0000800A0000810A0000820A0000830A000098
-:102A1000840A0000850A0000860A0000870A000078
-:102A2000880A0000890A00008A0A00008B0A000058
-:102A30008C0A00008D0A00008E0A00008F0A000038
-:102A4000900A0000910A0000920A0000930A000018
-:102A5000940A0000950A0000960A0000970A0000F8
-:102A6000980A0000990A00009A0A00009B0A0000D8
-:102A70009C0A00009D0A00009E0A00009F0A0000B8
-:102A8000A00A0000A10A0000A20A0000A30A000098
-:102A9000A40A0000A50A0000A60A0000A70A000078
-:102AA000A80A0000A90A0000AA0A0000AB0A000058
-:102AB000AC0A0000AD0A0000AE0A0000AF0A000038
-:102AC000B00A0000B10A0000B20A0000B30A000018
-:102AD000B40A0000B50A0000B60A0000B70A0000F8
-:102AE000B80A0000B90A0000BA0A0000BB0A0000D8
-:102AF000BC0A0000BD0A0000BE0A0000BF0A0000B8
-:102B0000C00A0000C10A0000C20A0000C30A000097
-:102B1000C40A0000C50A0000C60A0000C70A000077
-:102B2000C80A0000C90A0000CA0A0000CB0A000057
-:102B3000CC0A0000CD0A0000CE0A0000CF0A000037
-:102B4000D00A0000D10A0000D20A0000D30A000017
-:102B5000D40A0000D50A0000D60A0000D70A0000F7
-:102B6000D80A0000D90A0000DA0A0000DB0A0000D7
-:102B7000DC0A0000DD0A0000DE0A0000DF0A0000B7
-:102B8000E00A0000E10A0000E20A0000E30A000097
-:102B9000E40A0000E50A0000E60A0000E70A000077
-:102BA000E80A0000E90A0000EA0A0000EB0A000057
-:102BB000EC0A0000ED0A0000EE0A0000EF0A000037
-:102BC000F00A0000F10A0000F20A0000F30A000017
-:102BD000F40A0000F50A0000F60A0000F70A0000F7
-:102BE000F80A0000F90A0000FA0A0000FB0A0000D7
-:102BF000FC0A0000FD0A0000FE0A0000FF0A0000B7
-:102C0000000B0000010B0000020B0000030B000092
-:102C1000040B0000050B0000060B0000070B000072
-:102C2000080B0000090B00000A0B00000B0B000052
-:102C30000C0B00000D0B00000E0B00000F0B000032
-:102C4000100B0000110B0000120B0000130B000012
-:102C5000140B0000150B0000160B0000170B0000F2
-:102C6000180B0000190B00001A0B00001B0B0000D2
-:102C70001C0B00001D0B00001E0B00001F0B0000B2
-:102C8000200B0000210B0000220B0000230B000092
-:102C9000240B0000250B0000260B0000270B000072
-:102CA000280B0000290B00002A0B00002B0B000052
-:102CB0002C0B00002D0B00002E0B00002F0B000032
-:102CC000300B0000310B0000320B0000330B000012
-:102CD000340B0000350B0000360B0000370B0000F2
-:102CE000380B0000390B00003A0B00003B0B0000D2
-:102CF0003C0B00003D0B00003E0B00003F0B0000B2
-:102D0000400B0000410B0000420B0000430B000091
-:102D1000440B0000450B0000460B0000470B000071
-:102D2000480B0000490B00004A0B00004B0B000051
-:102D30004C0B00004D0B00004E0B00004F0B000031
-:102D4000500B0000510B0000520B0000530B000011
-:102D5000540B0000550B0000560B0000570B0000F1
-:102D6000580B0000590B00005A0B00005B0B0000D1
-:102D70005C0B00005D0B00005E0B00005F0B0000B1
-:102D8000600B0000610B0000620B0000630B000091
-:102D9000640B0000650B0000660B0000670B000071
-:102DA000680B0000690B00006A0B00006B0B000051
-:102DB0006C0B00006D0B00006E0B00006F0B000031
-:102DC000700B0000710B0000720B0000730B000011
-:102DD000740B0000750B0000760B0000770B0000F1
-:102DE000780B0000790B00007A0B00007B0B0000D1
-:102DF0007C0B00007D0B00007E0B00007F0B0000B1
-:102E0000800B0000810B0000820B0000830B000090
-:102E1000840B0000850B0000860B0000870B000070
-:102E2000880B0000890B00008A0B00008B0B000050
-:102E30008C0B00008D0B00008E0B00008F0B000030
-:102E4000900B0000910B0000920B0000930B000010
-:102E5000940B0000950B0000960B0000970B0000F0
-:102E6000980B0000990B00009A0B00009B0B0000D0
-:102E70009C0B00009D0B00009E0B00009F0B0000B0
-:102E8000A00B0000A10B0000A20B0000A30B000090
-:102E9000A40B0000A50B0000A60B0000A70B000070
-:102EA000A80B0000A90B0000AA0B0000AB0B000050
-:102EB000AC0B0000AD0B0000AE0B0000AF0B000030
-:102EC000B00B0000B10B0000B20B0000B30B000010
-:102ED000B40B0000B50B0000B60B0000B70B0000F0
-:102EE000B80B0000B90B0000BA0B0000BB0B0000D0
-:102EF000BC0B0000BD0B0000BE0B0000BF0B0000B0
-:102F0000C00B0000C10B0000C20B0000C30B00008F
-:102F1000C40B0000C50B0000C60B0000C70B00006F
-:102F2000C80B0000C90B0000CA0B0000CB0B00004F
-:102F3000CC0B0000CD0B0000CE0B0000CF0B00002F
-:102F4000D00B0000D10B0000D20B0000D30B00000F
-:102F5000D40B0000D50B0000D60B0000D70B0000EF
-:102F6000D80B0000D90B0000DA0B0000DB0B0000CF
-:102F7000DC0B0000DD0B0000DE0B0000DF0B0000AF
-:102F8000E00B0000E10B0000E20B0000E30B00008F
-:102F9000E40B0000E50B0000E60B0000E70B00006F
-:102FA000E80B0000E90B0000EA0B0000EB0B00004F
-:102FB000EC0B0000ED0B0000EE0B0000EF0B00002F
-:102FC000F00B0000F10B0000F20B0000F30B00000F
-:102FD000F40B0000F50B0000F60B0000F70B0000EF
-:102FE000F80B0000F90B0000FA0B0000FB0B0000CF
-:102FF000FC0B0000FD0B0000FE0B0000FF0B0000AF
-:10300000000C0000010C0000020C0000030C00008A
-:10301000040C0000050C0000060C0000070C00006A
-:10302000080C0000090C00000A0C00000B0C00004A
-:103030000C0C00000D0C00000E0C00000F0C00002A
-:10304000100C0000110C0000120C0000130C00000A
-:10305000140C0000150C0000160C0000170C0000EA
-:10306000180C0000190C00001A0C00001B0C0000CA
-:103070001C0C00001D0C00001E0C00001F0C0000AA
-:10308000200C0000210C0000220C0000230C00008A
-:10309000240C0000250C0000260C0000270C00006A
-:1030A000280C0000290C00002A0C00002B0C00004A
-:1030B0002C0C00002D0C00002E0C00002F0C00002A
-:1030C000300C0000310C0000320C0000330C00000A
-:1030D000340C0000350C0000360C0000370C0000EA
-:1030E000380C0000390C00003A0C00003B0C0000CA
-:1030F0003C0C00003D0C00003E0C00003F0C0000AA
-:10310000400C0000410C0000420C0000430C000089
-:10311000440C0000450C0000460C0000470C000069
-:10312000480C0000490C00004A0C00004B0C000049
-:103130004C0C00004D0C00004E0C00004F0C000029
-:10314000500C0000510C0000520C0000530C000009
-:10315000540C0000550C0000560C0000570C0000E9
-:10316000580C0000590C00005A0C00005B0C0000C9
-:103170005C0C00005D0C00005E0C00005F0C0000A9
-:10318000600C0000610C0000620C0000630C000089
-:10319000640C0000650C0000660C0000670C000069
-:1031A000680C0000690C00006A0C00006B0C000049
-:1031B0006C0C00006D0C00006E0C00006F0C000029
-:1031C000700C0000710C0000720C0000730C000009
-:1031D000740C0000750C0000760C0000770C0000E9
-:1031E000780C0000790C00007A0C00007B0C0000C9
-:1031F0007C0C00007D0C00007E0C00007F0C0000A9
-:10320000800C0000810C0000820C0000830C000088
-:10321000840C0000850C0000860C0000870C000068
-:10322000880C0000890C00008A0C00008B0C000048
-:103230008C0C00008D0C00008E0C00008F0C000028
-:10324000900C0000910C0000920C0000930C000008
-:10325000940C0000950C0000960C0000970C0000E8
-:10326000980C0000990C00009A0C00009B0C0000C8
-:103270009C0C00009D0C00009E0C00009F0C0000A8
-:10328000A00C0000A10C0000A20C0000A30C000088
-:10329000A40C0000A50C0000A60C0000A70C000068
-:1032A000A80C0000A90C0000AA0C0000AB0C000048
-:1032B000AC0C0000AD0C0000AE0C0000AF0C000028
-:1032C000B00C0000B10C0000B20C0000B30C000008
-:1032D000B40C0000B50C0000B60C0000B70C0000E8
-:1032E000B80C0000B90C0000BA0C0000BB0C0000C8
-:1032F000BC0C0000BD0C0000BE0C0000BF0C0000A8
-:10330000C00C0000C10C0000C20C0000C30C000087
-:10331000C40C0000C50C0000C60C0000C70C000067
-:10332000C80C0000C90C0000CA0C0000CB0C000047
-:10333000CC0C0000CD0C0000CE0C0000CF0C000027
-:10334000D00C0000D10C0000D20C0000D30C000007
-:10335000D40C0000D50C0000D60C0000D70C0000E7
-:10336000D80C0000D90C0000DA0C0000DB0C0000C7
-:10337000DC0C0000DD0C0000DE0C0000DF0C0000A7
-:10338000E00C0000E10C0000E20C0000E30C000087
-:10339000E40C0000E50C0000E60C0000E70C000067
-:1033A000E80C0000E90C0000EA0C0000EB0C000047
-:1033B000EC0C0000ED0C0000EE0C0000EF0C000027
-:1033C000F00C0000F10C0000F20C0000F30C000007
-:1033D000F40C0000F50C0000F60C0000F70C0000E7
-:1033E000F80C0000F90C0000FA0C0000FB0C0000C7
-:1033F000FC0C0000FD0C0000FE0C0000FF0C0000A7
-:10340000000D0000010D0000020D0000030D000082
-:10341000040D0000050D0000060D0000070D000062
-:10342000080D0000090D00000A0D00000B0D000042
-:103430000C0D00000D0D00000E0D00000F0D000022
-:10344000100D0000110D0000120D0000130D000002
-:10345000140D0000150D0000160D0000170D0000E2
-:10346000180D0000190D00001A0D00001B0D0000C2
-:103470001C0D00001D0D00001E0D00001F0D0000A2
-:10348000200D0000210D0000220D0000230D000082
-:10349000240D0000250D0000260D0000270D000062
-:1034A000280D0000290D00002A0D00002B0D000042
-:1034B0002C0D00002D0D00002E0D00002F0D000022
-:1034C000300D0000310D0000320D0000330D000002
-:1034D000340D0000350D0000360D0000370D0000E2
-:1034E000380D0000390D00003A0D00003B0D0000C2
-:1034F0003C0D00003D0D00003E0D00003F0D0000A2
-:10350000400D0000410D0000420D0000430D000081
-:10351000440D0000450D0000460D0000470D000061
-:10352000480D0000490D00004A0D00004B0D000041
-:103530004C0D00004D0D00004E0D00004F0D000021
-:10354000500D0000510D0000520D0000530D000001
-:10355000540D0000550D0000560D0000570D0000E1
-:10356000580D0000590D00005A0D00005B0D0000C1
-:103570005C0D00005D0D00005E0D00005F0D0000A1
-:10358000600D0000610D0000620D0000630D000081
-:10359000640D0000650D0000660D0000670D000061
-:1035A000680D0000690D00006A0D00006B0D000041
-:1035B0006C0D00006D0D00006E0D00006F0D000021
-:1035C000700D0000710D0000720D0000730D000001
-:1035D000740D0000750D0000760D0000770D0000E1
-:1035E000780D0000790D00007A0D00007B0D0000C1
-:1035F0007C0D00007D0D00007E0D00007F0D0000A1
-:10360000800D0000810D0000820D0000830D000080
-:10361000840D0000850D0000860D0000870D000060
-:10362000880D0000890D00008A0D00008B0D000040
-:103630008C0D00008D0D00008E0D00008F0D000020
-:10364000900D0000910D0000920D0000930D000000
-:10365000940D0000950D0000960D0000970D0000E0
-:10366000980D0000990D00009A0D00009B0D0000C0
-:103670009C0D00009D0D00009E0D00009F0D0000A0
-:10368000A00D0000A10D0000A20D0000A30D000080
-:10369000A40D0000A50D0000A60D0000A70D000060
-:1036A000A80D0000A90D0000AA0D0000AB0D000040
-:1036B000AC0D0000AD0D0000AE0D0000AF0D000020
-:1036C000B00D0000B10D0000B20D0000B30D000000
-:1036D000B40D0000B50D0000B60D0000B70D0000E0
-:1036E000B80D0000B90D0000BA0D0000BB0D0000C0
-:1036F000BC0D0000BD0D0000BE0D0000BF0D0000A0
-:10370000C00D0000C10D0000C20D0000C30D00007F
-:10371000C40D0000C50D0000C60D0000C70D00005F
-:10372000C80D0000C90D0000CA0D0000CB0D00003F
-:10373000CC0D0000CD0D0000CE0D0000CF0D00001F
-:10374000D00D0000D10D0000D20D0000D30D0000FF
-:10375000D40D0000D50D0000D60D0000D70D0000DF
-:10376000D80D0000D90D0000DA0D0000DB0D0000BF
-:10377000DC0D0000DD0D0000DE0D0000DF0D00009F
-:10378000E00D0000E10D0000E20D0000E30D00007F
-:10379000E40D0000E50D0000E60D0000E70D00005F
-:1037A000E80D0000E90D0000EA0D0000EB0D00003F
-:1037B000EC0D0000ED0D0000EE0D0000EF0D00001F
-:1037C000F00D0000F10D0000F20D0000F30D0000FF
-:1037D000F40D0000F50D0000F60D0000F70D0000DF
-:1037E000F80D0000F90D0000FA0D0000FB0D0000BF
-:1037F000FC0D0000FD0D0000FE0D0000FF0D00009F
-:10380000000E0000010E0000020E0000030E00007A
-:10381000040E0000050E0000060E0000070E00005A
-:10382000080E0000090E00000A0E00000B0E00003A
-:103830000C0E00000D0E00000E0E00000F0E00001A
-:10384000100E0000110E0000120E0000130E0000FA
-:10385000140E0000150E0000160E0000170E0000DA
-:10386000180E0000190E00001A0E00001B0E0000BA
-:103870001C0E00001D0E00001E0E00001F0E00009A
-:10388000200E0000210E0000220E0000230E00007A
-:10389000240E0000250E0000260E0000270E00005A
-:1038A000280E0000290E00002A0E00002B0E00003A
-:1038B0002C0E00002D0E00002E0E00002F0E00001A
-:1038C000300E0000310E0000320E0000330E0000FA
-:1038D000340E0000350E0000360E0000370E0000DA
-:1038E000380E0000390E00003A0E00003B0E0000BA
-:1038F0003C0E00003D0E00003E0E00003F0E00009A
-:10390000400E0000410E0000420E0000430E000079
-:10391000440E0000450E0000460E0000470E000059
-:10392000480E0000490E00004A0E00004B0E000039
-:103930004C0E00004D0E00004E0E00004F0E000019
-:10394000500E0000510E0000520E0000530E0000F9
-:10395000540E0000550E0000560E0000570E0000D9
-:10396000580E0000590E00005A0E00005B0E0000B9
-:103970005C0E00005D0E00005E0E00005F0E000099
-:10398000600E0000610E0000620E0000630E000079
-:10399000640E0000650E0000660E0000670E000059
-:1039A000680E0000690E00006A0E00006B0E000039
-:1039B0006C0E00006D0E00006E0E00006F0E000019
-:1039C000700E0000710E0000720E0000730E0000F9
-:1039D000740E0000750E0000760E0000770E0000D9
-:1039E000780E0000790E00007A0E00007B0E0000B9
-:1039F0007C0E00007D0E00007E0E00007F0E000099
-:103A0000800E0000810E0000820E0000830E000078
-:103A1000840E0000850E0000860E0000870E000058
-:103A2000880E0000890E00008A0E00008B0E000038
-:103A30008C0E00008D0E00008E0E00008F0E000018
-:103A4000900E0000910E0000920E0000930E0000F8
-:103A5000940E0000950E0000960E0000970E0000D8
-:103A6000980E0000990E00009A0E00009B0E0000B8
-:103A70009C0E00009D0E00009E0E00009F0E000098
-:103A8000A00E0000A10E0000A20E0000A30E000078
-:103A9000A40E0000A50E0000A60E0000A70E000058
-:103AA000A80E0000A90E0000AA0E0000AB0E000038
-:103AB000AC0E0000AD0E0000AE0E0000AF0E000018
-:103AC000B00E0000B10E0000B20E0000B30E0000F8
-:103AD000B40E0000B50E0000B60E0000B70E0000D8
-:103AE000B80E0000B90E0000BA0E0000BB0E0000B8
-:103AF000BC0E0000BD0E0000BE0E0000BF0E000098
-:103B0000C00E0000C10E0000C20E0000C30E000077
-:103B1000C40E0000C50E0000C60E0000C70E000057
-:103B2000C80E0000C90E0000CA0E0000CB0E000037
-:103B3000CC0E0000CD0E0000CE0E0000CF0E000017
-:103B4000D00E0000D10E0000D20E0000D30E0000F7
-:103B5000D40E0000D50E0000D60E0000D70E0000D7
-:103B6000D80E0000D90E0000DA0E0000DB0E0000B7
-:103B7000DC0E0000DD0E0000DE0E0000DF0E000097
-:103B8000E00E0000E10E0000E20E0000E30E000077
-:103B9000E40E0000E50E0000E60E0000E70E000057
-:103BA000E80E0000E90E0000EA0E0000EB0E000037
-:103BB000EC0E0000ED0E0000EE0E0000EF0E000017
-:103BC000F00E0000F10E0000F20E0000F30E0000F7
-:103BD000F40E0000F50E0000F60E0000F70E0000D7
-:103BE000F80E0000F90E0000FA0E0000FB0E0000B7
-:103BF000FC0E0000FD0E0000FE0E0000FF0E000097
-:103C0000000F0000010F0000020F0000030F000072
-:103C1000040F0000050F0000060F0000070F000052
-:103C2000080F0000090F00000A0F00000B0F000032
-:103C30000C0F00000D0F00000E0F00000F0F000012
-:103C4000100F0000110F0000120F0000130F0000F2
-:103C5000140F0000150F0000160F0000170F0000D2
-:103C6000180F0000190F00001A0F00001B0F0000B2
-:103C70001C0F00001D0F00001E0F00001F0F000092
-:103C8000200F0000210F0000220F0000230F000072
-:103C9000240F0000250F0000260F0000270F000052
-:103CA000280F0000290F00002A0F00002B0F000032
-:103CB0002C0F00002D0F00002E0F00002F0F000012
-:103CC000300F0000310F0000320F0000330F0000F2
-:103CD000340F0000350F0000360F0000370F0000D2
-:103CE000380F0000390F00003A0F00003B0F0000B2
-:103CF0003C0F00003D0F00003E0F00003F0F000092
-:103D0000400F0000410F0000420F0000430F000071
-:103D1000440F0000450F0000460F0000470F000051
-:103D2000480F0000490F00004A0F00004B0F000031
-:103D30004C0F00004D0F00004E0F00004F0F000011
-:103D4000500F0000510F0000520F0000530F0000F1
-:103D5000540F0000550F0000560F0000570F0000D1
-:103D6000580F0000590F00005A0F00005B0F0000B1
-:103D70005C0F00005D0F00005E0F00005F0F000091
-:103D8000600F0000610F0000620F0000630F000071
-:103D9000640F0000650F0000660F0000670F000051
-:103DA000680F0000690F00006A0F00006B0F000031
-:103DB0006C0F00006D0F00006E0F00006F0F000011
-:103DC000700F0000710F0000720F0000730F0000F1
-:103DD000740F0000750F0000760F0000770F0000D1
-:103DE000780F0000790F00007A0F00007B0F0000B1
-:103DF0007C0F00007D0F00007E0F00007F0F000091
-:103E0000800F0000810F0000820F0000830F000070
-:103E1000840F0000850F0000860F0000870F000050
-:103E2000880F0000890F00008A0F00008B0F000030
-:103E30008C0F00008D0F00008E0F00008F0F000010
-:103E4000900F0000910F0000920F0000930F0000F0
-:103E5000940F0000950F0000960F0000970F0000D0
-:103E6000980F0000990F00009A0F00009B0F0000B0
-:103E70009C0F00009D0F00009E0F00009F0F000090
-:103E8000A00F0000A10F0000A20F0000A30F000070
-:103E9000A40F0000A50F0000A60F0000A70F000050
-:103EA000A80F0000A90F0000AA0F0000AB0F000030
-:103EB000AC0F0000AD0F0000AE0F0000AF0F000010
-:103EC000B00F0000B10F0000B20F0000B30F0000F0
-:103ED000B40F0000B50F0000B60F0000B70F0000D0
-:103EE000B80F0000B90F0000BA0F0000BB0F0000B0
-:103EF000BC0F0000BD0F0000BE0F0000BF0F000090
-:103F0000C00F0000C10F0000C20F0000C30F00006F
-:103F1000C40F0000C50F0000C60F0000C70F00004F
-:103F2000C80F0000C90F0000CA0F0000CB0F00002F
-:103F3000CC0F0000CD0F0000CE0F0000CF0F00000F
-:103F4000D00F0000D10F0000D20F0000D30F0000EF
-:103F5000D40F0000D50F0000D60F0000D70F0000CF
-:103F6000D80F0000D90F0000DA0F0000DB0F0000AF
-:103F7000DC0F0000DD0F0000DE0F0000DF0F00008F
-:103F8000E00F0000E10F0000E20F0000E30F00006F
-:103F9000E40F0000E50F0000E60F0000E70F00004F
-:103FA000E80F0000E90F0000EA0F0000EB0F00002F
-:103FB000EC0F0000ED0F0000EE0F0000EF0F00000F
-:103FC000F00F0000F10F0000F20F0000F30F0000EF
-:103FD000F40F0000F50F0000F60F0000F70F0000CF
-:103FE000F80F0000F90F0000FA0F0000FB0F0000AF
-:103FF000FC0F0000FD0F0000FE0F0000FF0F00008F
-:10400000001000000110000002100000031000006A
-:10401000041000000510000006100000071000004A
-:1040200008100000091000000A1000000B1000002A
-:104030000C1000000D1000000E1000000F1000000A
-:1040400010100000111000001210000013100000EA
-:1040500014100000151000001610000017100000CA
-:1040600018100000191000001A1000001B100000AA
-:104070001C1000001D1000001E1000001F1000008A
-:10408000201000002110000022100000231000006A
-:10409000241000002510000026100000271000004A
-:1040A00028100000291000002A1000002B1000002A
-:1040B0002C1000002D1000002E1000002F1000000A
-:1040C00030100000311000003210000033100000EA
-:1040D00034100000351000003610000037100000CA
-:1040E00038100000391000003A1000003B100000AA
-:1040F0003C1000003D1000003E1000003F1000008A
-:104100004010000041100000421000004310000069
-:104110004410000045100000461000004710000049
-:1041200048100000491000004A1000004B10000029
-:104130004C1000004D1000004E1000004F10000009
-:1041400050100000511000005210000053100000E9
-:1041500054100000551000005610000057100000C9
-:1041600058100000591000005A1000005B100000A9
-:104170005C1000005D1000005E1000005F10000089
-:104180006010000061100000621000006310000069
-:104190006410000065100000661000006710000049
-:1041A00068100000691000006A1000006B10000029
-:1041B0006C1000006D1000006E1000006F10000009
-:1041C00070100000711000007210000073100000E9
-:1041D00074100000751000007610000077100000C9
-:1041E00078100000791000007A1000007B100000A9
-:1041F0007C1000007D1000007E1000007F10000089
-:104200008010000081100000821000008310000068
-:104210008410000085100000861000008710000048
-:1042200088100000891000008A1000008B10000028
-:104230008C1000008D1000008E1000008F10000008
-:1042400090100000911000009210000093100000E8
-:1042500094100000951000009610000097100000C8
-:1042600098100000991000009A1000009B100000A8
-:104270009C1000009D1000009E1000009F10000088
-:10428000A0100000A1100000A2100000A310000068
-:10429000A4100000A5100000A6100000A710000048
-:1042A000A8100000A9100000AA100000AB10000028
-:1042B000AC100000AD100000AE100000AF10000008
-:1042C000B0100000B1100000B2100000B3100000E8
-:1042D000B4100000B5100000B6100000B7100000C8
-:1042E000B8100000B9100000BA100000BB100000A8
-:1042F000BC100000BD100000BE100000BF10000088
-:10430000C0100000C1100000C2100000C310000067
-:10431000C4100000C5100000C6100000C710000047
-:10432000C8100000C9100000CA100000CB10000027
-:10433000CC100000CD100000CE100000CF10000007
-:10434000D0100000D1100000D2100000D3100000E7
-:10435000D4100000D5100000D6100000D7100000C7
-:10436000D8100000D9100000DA100000DB100000A7
-:10437000DC100000DD100000DE100000DF10000087
-:10438000E0100000E1100000E2100000E310000067
-:10439000E4100000E5100000E6100000E710000047
-:1043A000E8100000E9100000EA100000EB10000027
-:1043B000EC100000ED100000EE100000EF10000007
-:1043C000F0100000F1100000F2100000F3100000E7
-:1043D000F4100000F5100000F6100000F7100000C7
-:1043E000F8100000F9100000FA100000FB100000A7
-:1043F000FC100000FD100000FE100000FF10000087
-:104400000011000001110000021100000311000062
-:104410000411000005110000061100000711000042
-:1044200008110000091100000A1100000B11000022
-:104430000C1100000D1100000E1100000F11000002
-:1044400010110000111100001211000013110000E2
-:1044500014110000151100001611000017110000C2
-:1044600018110000191100001A1100001B110000A2
-:104470001C1100001D1100001E1100001F11000082
-:104480002011000021110000221100002311000062
-:104490002411000025110000261100002711000042
-:1044A00028110000291100002A1100002B11000022
-:1044B0002C1100002D1100002E1100002F11000002
-:1044C00030110000311100003211000033110000E2
-:1044D00034110000351100003611000037110000C2
-:1044E00038110000391100003A1100003B110000A2
-:1044F0003C1100003D1100003E1100003F11000082
-:104500004011000041110000421100004311000061
-:104510004411000045110000461100004711000041
-:1045200048110000491100004A1100004B11000021
-:104530004C1100004D1100004E1100004F11000001
-:1045400050110000511100005211000053110000E1
-:1045500054110000551100005611000057110000C1
-:1045600058110000591100005A1100005B110000A1
-:104570005C1100005D1100005E1100005F11000081
-:104580006011000061110000621100006311000061
-:104590006411000065110000661100006711000041
-:1045A00068110000691100006A1100006B11000021
-:1045B0006C1100006D1100006E1100006F11000001
-:1045C00070110000711100007211000073110000E1
-:1045D00074110000751100007611000077110000C1
-:1045E00078110000791100007A1100007B110000A1
-:1045F0007C1100007D1100007E1100007F11000081
-:104600008011000081110000821100008311000060
-:104610008411000085110000861100008711000040
-:1046200088110000891100008A1100008B11000020
-:104630008C1100008D1100008E1100008F11000000
-:1046400090110000911100009211000093110000E0
-:1046500094110000951100009611000097110000C0
-:1046600098110000991100009A1100009B110000A0
-:104670009C1100009D1100009E1100009F11000080
-:10468000A0110000A1110000A2110000A311000060
-:10469000A4110000A5110000A6110000A711000040
-:1046A000A8110000A9110000AA110000AB11000020
-:1046B000AC110000AD110000AE110000AF11000000
-:1046C000B0110000B1110000B2110000B3110000E0
-:1046D000B4110000B5110000B6110000B7110000C0
-:1046E000B8110000B9110000BA110000BB110000A0
-:1046F000BC110000BD110000BE110000BF11000080
-:10470000C0110000C1110000C2110000C31100005F
-:10471000C4110000C5110000C6110000C71100003F
-:10472000C8110000C9110000CA110000CB1100001F
-:10473000CC110000CD110000CE110000CF110000FF
-:10474000D0110000D1110000D2110000D3110000DF
-:10475000D4110000D5110000D6110000D7110000BF
-:10476000D8110000D9110000DA110000DB1100009F
-:10477000DC110000DD110000DE110000DF1100007F
-:10478000E0110000E1110000E2110000E31100005F
-:10479000E4110000E5110000E6110000E71100003F
-:1047A000E8110000E9110000EA110000EB1100001F
-:1047B000EC110000ED110000EE110000EF110000FF
-:1047C000F0110000F1110000F2110000F3110000DF
-:1047D000F4110000F5110000F6110000F7110000BF
-:1047E000F8110000F9110000FA110000FB1100009F
-:1047F000FC110000FD110000FE110000FF1100007F
-:10480000001200000112000002120000031200005A
-:10481000041200000512000006120000071200003A
-:1048200008120000091200000A1200000B1200001A
-:104830000C1200000D1200000E1200000F120000FA
-:1048400010120000111200001212000013120000DA
-:1048500014120000151200001612000017120000BA
-:1048600018120000191200001A1200001B1200009A
-:104870001C1200001D1200001E1200001F1200007A
-:10488000201200002112000022120000231200005A
-:10489000241200002512000026120000271200003A
-:1048A00028120000291200002A1200002B1200001A
-:1048B0002C1200002D1200002E1200002F120000FA
-:1048C00030120000311200003212000033120000DA
-:1048D00034120000351200003612000037120000BA
-:1048E00038120000391200003A1200003B1200009A
-:1048F0003C1200003D1200003E1200003F1200007A
-:104900004012000041120000421200004312000059
-:104910004412000045120000461200004712000039
-:1049200048120000491200004A1200004B12000019
-:104930004C1200004D1200004E1200004F120000F9
-:1049400050120000511200005212000053120000D9
-:1049500054120000551200005612000057120000B9
-:1049600058120000591200005A1200005B12000099
-:104970005C1200005D1200005E1200005F12000079
-:104980006012000061120000621200006312000059
-:104990006412000065120000661200006712000039
-:1049A00068120000691200006A1200006B12000019
-:1049B0006C1200006D1200006E1200006F120000F9
-:1049C00070120000711200007212000073120000D9
-:1049D00074120000751200007612000077120000B9
-:1049E00078120000791200007A1200007B12000099
-:1049F0007C1200007D1200007E1200007F12000079
-:104A00008012000081120000821200008312000058
-:104A10008412000085120000861200008712000038
-:104A200088120000891200008A1200008B12000018
-:104A30008C1200008D1200008E1200008F120000F8
-:104A400090120000911200009212000093120000D8
-:104A500094120000951200009612000097120000B8
-:104A600098120000991200009A1200009B12000098
-:104A70009C1200009D1200009E1200009F12000078
-:104A8000A0120000A1120000A2120000A312000058
-:104A9000A4120000A5120000A6120000A712000038
-:104AA000A8120000A9120000AA120000AB12000018
-:104AB000AC120000AD120000AE120000AF120000F8
-:104AC000B0120000B1120000B2120000B3120000D8
-:104AD000B4120000B5120000B6120000B7120000B8
-:104AE000B8120000B9120000BA120000BB12000098
-:104AF000BC120000BD120000BE120000BF12000078
-:104B0000C0120000C1120000C2120000C312000057
-:104B1000C4120000C5120000C6120000C712000037
-:104B2000C8120000C9120000CA120000CB12000017
-:104B3000CC120000CD120000CE120000CF120000F7
-:104B4000D0120000D1120000D2120000D3120000D7
-:104B5000D4120000D5120000D6120000D7120000B7
-:104B6000D8120000D9120000DA120000DB12000097
-:104B7000DC120000DD120000DE120000DF12000077
-:104B8000E0120000E1120000E2120000E312000057
-:104B9000E4120000E5120000E6120000E712000037
-:104BA000E8120000E9120000EA120000EB12000017
-:104BB000EC120000ED120000EE120000EF120000F7
-:104BC000F0120000F1120000F2120000F3120000D7
-:104BD000F4120000F5120000F6120000F7120000B7
-:104BE000F8120000F9120000FA120000FB12000097
-:104BF000FC120000FD120000FE120000FF12000077
-:104C00000013000001130000021300000313000052
-:104C10000413000005130000061300000713000032
-:104C200008130000091300000A1300000B13000012
-:104C30000C1300000D1300000E1300000F130000F2
-:104C400010130000111300001213000013130000D2
-:104C500014130000151300001613000017130000B2
-:104C600018130000191300001A1300001B13000092
-:104C70001C1300001D1300001E1300001F13000072
-:104C80002013000021130000221300002313000052
-:104C90002413000025130000261300002713000032
-:104CA00028130000291300002A1300002B13000012
-:104CB0002C1300002D1300002E1300002F130000F2
-:104CC00030130000311300003213000033130000D2
-:104CD00034130000351300003613000037130000B2
-:104CE00038130000391300003A1300003B13000092
-:104CF0003C1300003D1300003E1300003F13000072
-:104D00004013000041130000421300004313000051
-:104D10004413000045130000461300004713000031
-:104D200048130000491300004A1300004B13000011
-:104D30004C1300004D1300004E1300004F130000F1
-:104D400050130000511300005213000053130000D1
-:104D500054130000551300005613000057130000B1
-:104D600058130000591300005A1300005B13000091
-:104D70005C1300005D1300005E1300005F13000071
-:104D80006013000061130000621300006313000051
-:104D90006413000065130000661300006713000031
-:104DA00068130000691300006A1300006B13000011
-:104DB0006C1300006D1300006E1300006F130000F1
-:104DC00070130000711300007213000073130000D1
-:104DD00074130000751300007613000077130000B1
-:104DE00078130000791300007A1300007B13000091
-:104DF0007C1300007D1300007E1300007F13000071
-:104E00008013000081130000821300008313000050
-:104E10008413000085130000861300008713000030
-:104E200088130000891300008A1300008B13000010
-:104E30008C1300008D1300008E1300008F130000F0
-:104E400090130000911300009213000093130000D0
-:104E500094130000951300009613000097130000B0
-:104E600098130000991300009A1300009B13000090
-:104E70009C1300009D1300009E1300009F13000070
-:104E8000A0130000A1130000A2130000A313000050
-:104E9000A4130000A5130000A6130000A713000030
-:104EA000A8130000A9130000AA130000AB13000010
-:104EB000AC130000AD130000AE130000AF130000F0
-:104EC000B0130000B1130000B2130000B3130000D0
-:104ED000B4130000B5130000B6130000B7130000B0
-:104EE000B8130000B9130000BA130000BB13000090
-:104EF000BC130000BD130000BE130000BF13000070
-:104F0000C0130000C1130000C2130000C31300004F
-:104F1000C4130000C5130000C6130000C71300002F
-:104F2000C8130000C9130000CA130000CB1300000F
-:104F3000CC130000CD130000CE130000CF130000EF
-:104F4000D0130000D1130000D2130000D3130000CF
-:104F5000D4130000D5130000D6130000D7130000AF
-:104F6000D8130000D9130000DA130000DB1300008F
-:104F7000DC130000DD130000DE130000DF1300006F
-:104F8000E0130000E1130000E2130000E31300004F
-:104F9000E4130000E5130000E6130000E71300002F
-:104FA000E8130000E9130000EA130000EB1300000F
-:104FB000EC130000ED130000EE130000EF130000EF
-:104FC000F0130000F1130000F2130000F3130000CF
-:104FD000F4130000F5130000F6130000F7130000AF
-:104FE000F8130000F9130000FA130000FB1300008F
-:104FF000FC130000FD130000FE130000FF1300006F
-:10500000001400000114000002140000031400004A
-:10501000041400000514000006140000071400002A
-:1050200008140000091400000A1400000B1400000A
-:105030000C1400000D1400000E1400000F140000EA
-:1050400010140000111400001214000013140000CA
-:1050500014140000151400001614000017140000AA
-:1050600018140000191400001A1400001B1400008A
-:105070001C1400001D1400001E1400001F1400006A
-:10508000201400002114000022140000231400004A
-:10509000241400002514000026140000271400002A
-:1050A00028140000291400002A1400002B1400000A
-:1050B0002C1400002D1400002E1400002F140000EA
-:1050C00030140000311400003214000033140000CA
-:1050D00034140000351400003614000037140000AA
-:1050E00038140000391400003A1400003B1400008A
-:1050F0003C1400003D1400003E1400003F1400006A
-:105100004014000041140000421400004314000049
-:105110004414000045140000461400004714000029
-:1051200048140000491400004A1400004B14000009
-:105130004C1400004D1400004E1400004F140000E9
-:1051400050140000511400005214000053140000C9
-:1051500054140000551400005614000057140000A9
-:1051600058140000591400005A1400005B14000089
-:105170005C1400005D1400005E1400005F14000069
-:105180006014000061140000621400006314000049
-:105190006414000065140000661400006714000029
-:1051A00068140000691400006A1400006B14000009
-:1051B0006C1400006D1400006E1400006F140000E9
-:1051C00070140000711400007214000073140000C9
-:1051D00074140000751400007614000077140000A9
-:1051E00078140000791400007A1400007B14000089
-:1051F0007C1400007D1400007E1400007F14000069
-:105200008014000081140000821400008314000048
-:105210008414000085140000861400008714000028
-:1052200088140000891400008A1400008B14000008
-:105230008C1400008D1400008E1400008F140000E8
-:1052400090140000911400009214000093140000C8
-:1052500094140000951400009614000097140000A8
-:1052600098140000991400009A1400009B14000088
-:105270009C1400009D1400009E1400009F14000068
-:10528000A0140000A1140000A2140000A314000048
-:10529000A4140000A5140000A6140000A714000028
-:1052A000A8140000A9140000AA140000AB14000008
-:1052B000AC140000AD140000AE140000AF140000E8
-:1052C000B0140000B1140000B2140000B3140000C8
-:1052D000B4140000B5140000B6140000B7140000A8
-:1052E000B8140000B9140000BA140000BB14000088
-:1052F000BC140000BD140000BE140000BF14000068
-:10530000C0140000C1140000C2140000C314000047
-:10531000C4140000C5140000C6140000C714000027
-:10532000C8140000C9140000CA140000CB14000007
-:10533000CC140000CD140000CE140000CF140000E7
-:10534000D0140000D1140000D2140000D3140000C7
-:10535000D4140000D5140000D6140000D7140000A7
-:10536000D8140000D9140000DA140000DB14000087
-:10537000DC140000DD140000DE140000DF14000067
-:10538000E0140000E1140000E2140000E314000047
-:10539000E4140000E5140000E6140000E714000027
-:1053A000E8140000E9140000EA140000EB14000007
-:1053B000EC140000ED140000EE140000EF140000E7
-:1053C000F0140000F1140000F2140000F3140000C7
-:1053D000F4140000F5140000F6140000F7140000A7
-:1053E000F8140000F9140000FA140000FB14000087
-:1053F000FC140000FD140000FE140000FF14000067
-:105400000015000001150000021500000315000042
-:105410000415000005150000061500000715000022
-:1054200008150000091500000A1500000B15000002
-:105430000C1500000D1500000E1500000F150000E2
-:1054400010150000111500001215000013150000C2
-:1054500014150000151500001615000017150000A2
-:1054600018150000191500001A1500001B15000082
-:105470001C1500001D1500001E1500001F15000062
-:105480002015000021150000221500002315000042
-:105490002415000025150000261500002715000022
-:1054A00028150000291500002A1500002B15000002
-:1054B0002C1500002D1500002E1500002F150000E2
-:1054C00030150000311500003215000033150000C2
-:1054D00034150000351500003615000037150000A2
-:1054E00038150000391500003A1500003B15000082
-:1054F0003C1500003D1500003E1500003F15000062
-:105500004015000041150000421500004315000041
-:105510004415000045150000461500004715000021
-:1055200048150000491500004A1500004B15000001
-:105530004C1500004D1500004E1500004F150000E1
-:1055400050150000511500005215000053150000C1
-:1055500054150000551500005615000057150000A1
-:1055600058150000591500005A1500005B15000081
-:105570005C1500005D1500005E1500005F15000061
-:105580006015000061150000621500006315000041
-:105590006415000065150000661500006715000021
-:1055A00068150000691500006A1500006B15000001
-:1055B0006C1500006D1500006E1500006F150000E1
-:1055C00070150000711500007215000073150000C1
-:1055D00074150000751500007615000077150000A1
-:1055E00078150000791500007A1500007B15000081
-:1055F0007C1500007D1500007E1500007F15000061
-:105600008015000081150000821500008315000040
-:105610008415000085150000861500008715000020
-:1056200088150000891500008A1500008B15000000
-:105630008C1500008D1500008E1500008F150000E0
-:1056400090150000911500009215000093150000C0
-:1056500094150000951500009615000097150000A0
-:1056600098150000991500009A1500009B15000080
-:105670009C1500009D1500009E1500009F15000060
-:10568000A0150000A1150000A2150000A315000040
-:10569000A4150000A5150000A6150000A715000020
-:1056A000A8150000A9150000AA150000AB15000000
-:1056B000AC150000AD150000AE150000AF150000E0
-:1056C000B0150000B1150000B2150000B3150000C0
-:1056D000B4150000B5150000B6150000B7150000A0
-:1056E000B8150000B9150000BA150000BB15000080
-:1056F000BC150000BD150000BE150000BF15000060
-:10570000C0150000C1150000C2150000C31500003F
-:10571000C4150000C5150000C6150000C71500001F
-:10572000C8150000C9150000CA150000CB150000FF
-:10573000CC150000CD150000CE150000CF150000DF
-:10574000D0150000D1150000D2150000D3150000BF
-:10575000D4150000D5150000D6150000D71500009F
-:10576000D8150000D9150000DA150000DB1500007F
-:10577000DC150000DD150000DE150000DF1500005F
-:10578000E0150000E1150000E2150000E31500003F
-:10579000E4150000E5150000E6150000E71500001F
-:1057A000E8150000E9150000EA150000EB150000FF
-:1057B000EC150000ED150000EE150000EF150000DF
-:1057C000F0150000F1150000F2150000F3150000BF
-:1057D000F4150000F5150000F6150000F71500009F
-:1057E000F8150000F9150000FA150000FB1500007F
-:1057F000FC150000FD150000FE150000FF1500005F
-:10580000001600000116000002160000031600003A
-:10581000041600000516000006160000071600001A
-:1058200008160000091600000A1600000B160000FA
-:105830000C1600000D1600000E1600000F160000DA
-:1058400010160000111600001216000013160000BA
-:10585000141600001516000016160000171600009A
-:1058600018160000191600001A1600001B1600007A
-:105870001C1600001D1600001E1600001F1600005A
-:10588000201600002116000022160000231600003A
-:10589000241600002516000026160000271600001A
-:1058A00028160000291600002A1600002B160000FA
-:1058B0002C1600002D1600002E1600002F160000DA
-:1058C00030160000311600003216000033160000BA
-:1058D000341600003516000036160000371600009A
-:1058E00038160000391600003A1600003B1600007A
-:1058F0003C1600003D1600003E1600003F1600005A
-:105900004016000041160000421600004316000039
-:105910004416000045160000461600004716000019
-:1059200048160000491600004A1600004B160000F9
-:105930004C1600004D1600004E1600004F160000D9
-:1059400050160000511600005216000053160000B9
-:105950005416000055160000561600005716000099
-:1059600058160000591600005A1600005B16000079
-:105970005C1600005D1600005E1600005F16000059
-:105980006016000061160000621600006316000039
-:105990006416000065160000661600006716000019
-:1059A00068160000691600006A1600006B160000F9
-:1059B0006C1600006D1600006E1600006F160000D9
-:1059C00070160000711600007216000073160000B9
-:1059D0007416000075160000761600007716000099
-:1059E00078160000791600007A1600007B16000079
-:1059F0007C1600007D1600007E1600007F16000059
-:105A00008016000081160000821600008316000038
-:105A10008416000085160000861600008716000018
-:105A200088160000891600008A1600008B160000F8
-:105A30008C1600008D1600008E1600008F160000D8
-:105A400090160000911600009216000093160000B8
-:105A50009416000095160000961600009716000098
-:105A600098160000991600009A1600009B16000078
-:105A70009C1600009D1600009E1600009F16000058
-:105A8000A0160000A1160000A2160000A316000038
-:105A9000A4160000A5160000A6160000A716000018
-:105AA000A8160000A9160000AA160000AB160000F8
-:105AB000AC160000AD160000AE160000AF160000D8
-:105AC000B0160000B1160000B2160000B3160000B8
-:105AD000B4160000B5160000B6160000B716000098
-:105AE000B8160000B9160000BA160000BB16000078
-:105AF000BC160000BD160000BE160000BF16000058
-:105B0000C0160000C1160000C2160000C316000037
-:105B1000C4160000C5160000C6160000C716000017
-:105B2000C8160000C9160000CA160000CB160000F7
-:105B3000CC160000CD160000CE160000CF160000D7
-:105B4000D0160000D1160000D2160000D3160000B7
-:105B5000D4160000D5160000D6160000D716000097
-:105B6000D8160000D9160000DA160000DB16000077
-:105B7000DC160000DD160000DE160000DF16000057
-:105B8000E0160000E1160000E2160000E316000037
-:105B9000E4160000E5160000E6160000E716000017
-:105BA000E8160000E9160000EA160000EB160000F7
-:105BB000EC160000ED160000EE160000EF160000D7
-:105BC000F0160000F1160000F2160000F3160000B7
-:105BD000F4160000F5160000F6160000F716000097
-:105BE000F8160000F9160000FA160000FB16000077
-:105BF000FC160000FD160000FE160000FF16000057
-:105C00000017000001170000021700000317000032
-:105C10000417000005170000061700000717000012
-:105C200008170000091700000A1700000B170000F2
-:105C30000C1700000D1700000E1700000F170000D2
-:105C400010170000111700001217000013170000B2
-:105C50001417000015170000161700001717000092
-:105C600018170000191700001A1700001B17000072
-:105C70001C1700001D1700001E1700001F17000052
-:105C80002017000021170000221700002317000032
-:105C90002417000025170000261700002717000012
-:105CA00028170000291700002A1700002B170000F2
-:105CB0002C1700002D1700002E1700002F170000D2
-:105CC00030170000311700003217000033170000B2
-:105CD0003417000035170000361700003717000092
-:105CE00038170000391700003A1700003B17000072
-:105CF0003C1700003D1700003E1700003F17000052
-:105D00004017000041170000421700004317000031
-:105D10004417000045170000461700004717000011
-:105D200048170000491700004A1700004B170000F1
-:105D30004C1700004D1700004E1700004F170000D1
-:105D400050170000511700005217000053170000B1
-:105D50005417000055170000561700005717000091
-:105D600058170000591700005A1700005B17000071
-:105D70005C1700005D1700005E1700005F17000051
-:105D80006017000061170000621700006317000031
-:105D90006417000065170000661700006717000011
-:105DA00068170000691700006A1700006B170000F1
-:105DB0006C1700006D1700006E1700006F170000D1
-:105DC00070170000711700007217000073170000B1
-:105DD0007417000075170000761700007717000091
-:105DE00078170000791700007A1700007B17000071
-:105DF0007C1700007D1700007E1700007F17000051
-:105E00008017000081170000821700008317000030
-:105E10008417000085170000861700008717000010
-:105E200088170000891700008A1700008B170000F0
-:105E30008C1700008D1700008E1700008F170000D0
-:105E400090170000911700009217000093170000B0
-:105E50009417000095170000961700009717000090
-:105E600098170000991700009A1700009B17000070
-:105E70009C1700009D1700009E1700009F17000050
-:105E8000A0170000A1170000A2170000A317000030
-:105E9000A4170000A5170000A6170000A717000010
-:105EA000A8170000A9170000AA170000AB170000F0
-:105EB000AC170000AD170000AE170000AF170000D0
-:105EC000B0170000B1170000B2170000B3170000B0
-:105ED000B4170000B5170000B6170000B717000090
-:105EE000B8170000B9170000BA170000BB17000070
-:105EF000BC170000BD170000BE170000BF17000050
-:105F0000C0170000C1170000C2170000C31700002F
-:105F1000C4170000C5170000C6170000C71700000F
-:105F2000C8170000C9170000CA170000CB170000EF
-:105F3000CC170000CD170000CE170000CF170000CF
-:105F4000D0170000D1170000D2170000D3170000AF
-:105F5000D4170000D5170000D6170000D71700008F
-:105F6000D8170000D9170000DA170000DB1700006F
-:105F7000DC170000DD170000DE170000DF1700004F
-:105F8000E0170000E1170000E2170000E31700002F
-:105F9000E4170000E5170000E6170000E71700000F
-:105FA000E8170000E9170000EA170000EB170000EF
-:105FB000EC170000ED170000EE170000EF170000CF
-:105FC000F0170000F1170000F2170000F3170000AF
-:105FD000F4170000F5170000F6170000F71700008F
-:105FE000F8170000F9170000FA170000FB1700006F
-:105FF000FC170000FD170000FE170000FF1700004F
-:10600000001800000118000002180000031800002A
-:10601000041800000518000006180000071800000A
-:1060200008180000091800000A1800000B180000EA
-:106030000C1800000D1800000E1800000F180000CA
-:1060400010180000111800001218000013180000AA
-:10605000141800001518000016180000171800008A
-:1060600018180000191800001A1800001B1800006A
-:106070001C1800001D1800001E1800001F1800004A
-:10608000201800002118000022180000231800002A
-:10609000241800002518000026180000271800000A
-:1060A00028180000291800002A1800002B180000EA
-:1060B0002C1800002D1800002E1800002F180000CA
-:1060C00030180000311800003218000033180000AA
-:1060D000341800003518000036180000371800008A
-:1060E00038180000391800003A1800003B1800006A
-:1060F0003C1800003D1800003E1800003F1800004A
-:106100004018000041180000421800004318000029
-:106110004418000045180000461800004718000009
-:1061200048180000491800004A1800004B180000E9
-:106130004C1800004D1800004E1800004F180000C9
-:1061400050180000511800005218000053180000A9
-:106150005418000055180000561800005718000089
-:1061600058180000591800005A1800005B18000069
-:106170005C1800005D1800005E1800005F18000049
-:106180006018000061180000621800006318000029
-:106190006418000065180000661800006718000009
-:1061A00068180000691800006A1800006B180000E9
-:1061B0006C1800006D1800006E1800006F180000C9
-:1061C00070180000711800007218000073180000A9
-:1061D0007418000075180000761800007718000089
-:1061E00078180000791800007A1800007B18000069
-:1061F0007C1800007D1800007E1800007F18000049
-:106200008018000081180000821800008318000028
-:106210008418000085180000861800008718000008
-:1062200088180000891800008A1800008B180000E8
-:106230008C1800008D1800008E1800008F180000C8
-:1062400090180000911800009218000093180000A8
-:106250009418000095180000961800009718000088
-:1062600098180000991800009A1800009B18000068
-:106270009C1800009D1800009E1800009F18000048
-:10628000A0180000A1180000A2180000A318000028
-:10629000A4180000A5180000A6180000A718000008
-:1062A000A8180000A9180000AA180000AB180000E8
-:1062B000AC180000AD180000AE180000AF180000C8
-:1062C000B0180000B1180000B2180000B3180000A8
-:1062D000B4180000B5180000B6180000B718000088
-:1062E000B8180000B9180000BA180000BB18000068
-:1062F000BC180000BD180000BE180000BF18000048
-:10630000C0180000C1180000C2180000C318000027
-:10631000C4180000C5180000C6180000C718000007
-:10632000C8180000C9180000CA180000CB180000E7
-:10633000CC180000CD180000CE180000CF180000C7
-:10634000D0180000D1180000D2180000D3180000A7
-:10635000D4180000D5180000D6180000D718000087
-:10636000D8180000D9180000DA180000DB18000067
-:10637000DC180000DD180000DE180000DF18000047
-:10638000E0180000E1180000E2180000E318000027
-:10639000E4180000E5180000E6180000E718000007
-:1063A000E8180000E9180000EA180000EB180000E7
-:1063B000EC180000ED180000EE180000EF180000C7
-:1063C000F0180000F1180000F2180000F3180000A7
-:1063D000F4180000F5180000F6180000F718000087
-:1063E000F8180000F9180000FA180000FB18000067
-:1063F000FC180000FD180000FE180000FF18000047
-:106400000019000001190000021900000319000022
-:106410000419000005190000061900000719000002
-:1064200008190000091900000A1900000B190000E2
-:106430000C1900000D1900000E1900000F190000C2
-:1064400010190000111900001219000013190000A2
-:106450001419000015190000161900001719000082
-:1064600018190000191900001A1900001B19000062
-:106470001C1900001D1900001E1900001F19000042
-:106480002019000021190000221900002319000022
-:106490002419000025190000261900002719000002
-:1064A00028190000291900002A1900002B190000E2
-:1064B0002C1900002D1900002E1900002F190000C2
-:1064C00030190000311900003219000033190000A2
-:1064D0003419000035190000361900003719000082
-:1064E00038190000391900003A1900003B19000062
-:1064F0003C1900003D1900003E1900003F19000042
-:106500004019000041190000421900004319000021
-:106510004419000045190000461900004719000001
-:1065200048190000491900004A1900004B190000E1
-:106530004C1900004D1900004E1900004F190000C1
-:1065400050190000511900005219000053190000A1
-:106550005419000055190000561900005719000081
-:1065600058190000591900005A1900005B19000061
-:106570005C1900005D1900005E1900005F19000041
-:106580006019000061190000621900006319000021
-:106590006419000065190000661900006719000001
-:1065A00068190000691900006A1900006B190000E1
-:1065B0006C1900006D1900006E1900006F190000C1
-:1065C00070190000711900007219000073190000A1
-:1065D0007419000075190000761900007719000081
-:1065E00078190000791900007A1900007B19000061
-:1065F0007C1900007D1900007E1900007F19000041
-:106600008019000081190000821900008319000020
-:106610008419000085190000861900008719000000
-:1066200088190000891900008A1900008B190000E0
-:106630008C1900008D1900008E1900008F190000C0
-:1066400090190000911900009219000093190000A0
-:106650009419000095190000961900009719000080
-:1066600098190000991900009A1900009B19000060
-:106670009C1900009D1900009E1900009F19000040
-:10668000A0190000A1190000A2190000A319000020
-:10669000A4190000A5190000A6190000A719000000
-:1066A000A8190000A9190000AA190000AB190000E0
-:1066B000AC190000AD190000AE190000AF190000C0
-:1066C000B0190000B1190000B2190000B3190000A0
-:1066D000B4190000B5190000B6190000B719000080
-:1066E000B8190000B9190000BA190000BB19000060
-:1066F000BC190000BD190000BE190000BF19000040
-:10670000C0190000C1190000C2190000C31900001F
-:10671000C4190000C5190000C6190000C7190000FF
-:10672000C8190000C9190000CA190000CB190000DF
-:10673000CC190000CD190000CE190000CF190000BF
-:10674000D0190000D1190000D2190000D31900009F
-:10675000D4190000D5190000D6190000D71900007F
-:10676000D8190000D9190000DA190000DB1900005F
-:10677000DC190000DD190000DE190000DF1900003F
-:10678000E0190000E1190000E2190000E31900001F
-:10679000E4190000E5190000E6190000E7190000FF
-:1067A000E8190000E9190000EA190000EB190000DF
-:1067B000EC190000ED190000EE190000EF190000BF
-:1067C000F0190000F1190000F2190000F31900009F
-:1067D000F4190000F5190000F6190000F71900007F
-:1067E000F8190000F9190000FA190000FB1900005F
-:1067F000FC190000FD190000FE190000FF1900003F
-:10680000001A0000011A0000021A0000031A00001A
-:10681000041A0000051A0000061A0000071A0000FA
-:10682000081A0000091A00000A1A00000B1A0000DA
-:106830000C1A00000D1A00000E1A00000F1A0000BA
-:10684000101A0000111A0000121A0000131A00009A
-:10685000141A0000151A0000161A0000171A00007A
-:10686000181A0000191A00001A1A00001B1A00005A
-:106870001C1A00001D1A00001E1A00001F1A00003A
-:10688000201A0000211A0000221A0000231A00001A
-:10689000241A0000251A0000261A0000271A0000FA
-:1068A000281A0000291A00002A1A00002B1A0000DA
-:1068B0002C1A00002D1A00002E1A00002F1A0000BA
-:1068C000301A0000311A0000321A0000331A00009A
-:1068D000341A0000351A0000361A0000371A00007A
-:1068E000381A0000391A00003A1A00003B1A00005A
-:1068F0003C1A00003D1A00003E1A00003F1A00003A
-:10690000401A0000411A0000421A0000431A000019
-:10691000441A0000451A0000461A0000471A0000F9
-:10692000481A0000491A00004A1A00004B1A0000D9
-:106930004C1A00004D1A00004E1A00004F1A0000B9
-:10694000501A0000511A0000521A0000531A000099
-:10695000541A0000551A0000561A0000571A000079
-:10696000581A0000591A00005A1A00005B1A000059
-:106970005C1A00005D1A00005E1A00005F1A000039
-:10698000601A0000611A0000621A0000631A000019
-:10699000641A0000651A0000661A0000671A0000F9
-:1069A000681A0000691A00006A1A00006B1A0000D9
-:1069B0006C1A00006D1A00006E1A00006F1A0000B9
-:1069C000701A0000711A0000721A0000731A000099
-:1069D000741A0000751A0000761A0000771A000079
-:1069E000781A0000791A00007A1A00007B1A000059
-:1069F0007C1A00007D1A00007E1A00007F1A000039
-:106A0000801A0000811A0000821A0000831A000018
-:106A1000841A0000851A0000861A0000871A0000F8
-:106A2000881A0000891A00008A1A00008B1A0000D8
-:106A30008C1A00008D1A00008E1A00008F1A0000B8
-:106A4000901A0000911A0000921A0000931A000098
-:106A5000941A0000951A0000961A0000971A000078
-:106A6000981A0000991A00009A1A00009B1A000058
-:106A70009C1A00009D1A00009E1A00009F1A000038
-:106A8000A01A0000A11A0000A21A0000A31A000018
-:106A9000A41A0000A51A0000A61A0000A71A0000F8
-:106AA000A81A0000A91A0000AA1A0000AB1A0000D8
-:106AB000AC1A0000AD1A0000AE1A0000AF1A0000B8
-:106AC000B01A0000B11A0000B21A0000B31A000098
-:106AD000B41A0000B51A0000B61A0000B71A000078
-:106AE000B81A0000B91A0000BA1A0000BB1A000058
-:106AF000BC1A0000BD1A0000BE1A0000BF1A000038
-:106B0000C01A0000C11A0000C21A0000C31A000017
-:106B1000C41A0000C51A0000C61A0000C71A0000F7
-:106B2000C81A0000C91A0000CA1A0000CB1A0000D7
-:106B3000CC1A0000CD1A0000CE1A0000CF1A0000B7
-:106B4000D01A0000D11A0000D21A0000D31A000097
-:106B5000D41A0000D51A0000D61A0000D71A000077
-:106B6000D81A0000D91A0000DA1A0000DB1A000057
-:106B7000DC1A0000DD1A0000DE1A0000DF1A000037
-:106B8000E01A0000E11A0000E21A0000E31A000017
-:106B9000E41A0000E51A0000E61A0000E71A0000F7
-:106BA000E81A0000E91A0000EA1A0000EB1A0000D7
-:106BB000EC1A0000ED1A0000EE1A0000EF1A0000B7
-:106BC000F01A0000F11A0000F21A0000F31A000097
-:106BD000F41A0000F51A0000F61A0000F71A000077
-:106BE000F81A0000F91A0000FA1A0000FB1A000057
-:106BF000FC1A0000FD1A0000FE1A0000FF1A000037
-:106C0000001B0000011B0000021B0000031B000012
-:106C1000041B0000051B0000061B0000071B0000F2
-:106C2000081B0000091B00000A1B00000B1B0000D2
-:106C30000C1B00000D1B00000E1B00000F1B0000B2
-:106C4000101B0000111B0000121B0000131B000092
-:106C5000141B0000151B0000161B0000171B000072
-:106C6000181B0000191B00001A1B00001B1B000052
-:106C70001C1B00001D1B00001E1B00001F1B000032
-:106C8000201B0000211B0000221B0000231B000012
-:106C9000241B0000251B0000261B0000271B0000F2
-:106CA000281B0000291B00002A1B00002B1B0000D2
-:106CB0002C1B00002D1B00002E1B00002F1B0000B2
-:106CC000301B0000311B0000321B0000331B000092
-:106CD000341B0000351B0000361B0000371B000072
-:106CE000381B0000391B00003A1B00003B1B000052
-:106CF0003C1B00003D1B00003E1B00003F1B000032
-:106D0000401B0000411B0000421B0000431B000011
-:106D1000441B0000451B0000461B0000471B0000F1
-:106D2000481B0000491B00004A1B00004B1B0000D1
-:106D30004C1B00004D1B00004E1B00004F1B0000B1
-:106D4000501B0000511B0000521B0000531B000091
-:106D5000541B0000551B0000561B0000571B000071
-:106D6000581B0000591B00005A1B00005B1B000051
-:106D70005C1B00005D1B00005E1B00005F1B000031
-:106D8000601B0000611B0000621B0000631B000011
-:106D9000641B0000651B0000661B0000671B0000F1
-:106DA000681B0000691B00006A1B00006B1B0000D1
-:106DB0006C1B00006D1B00006E1B00006F1B0000B1
-:106DC000701B0000711B0000721B0000731B000091
-:106DD000741B0000751B0000761B0000771B000071
-:106DE000781B0000791B00007A1B00007B1B000051
-:106DF0007C1B00007D1B00007E1B00007F1B000031
-:106E0000801B0000811B0000821B0000831B000010
-:106E1000841B0000851B0000861B0000871B0000F0
-:106E2000881B0000891B00008A1B00008B1B0000D0
-:106E30008C1B00008D1B00008E1B00008F1B0000B0
-:106E4000901B0000911B0000921B0000931B000090
-:106E5000941B0000951B0000961B0000971B000070
-:106E6000981B0000991B00009A1B00009B1B000050
-:106E70009C1B00009D1B00009E1B00009F1B000030
-:106E8000A01B0000A11B0000A21B0000A31B000010
-:106E9000A41B0000A51B0000A61B0000A71B0000F0
-:106EA000A81B0000A91B0000AA1B0000AB1B0000D0
-:106EB000AC1B0000AD1B0000AE1B0000AF1B0000B0
-:106EC000B01B0000B11B0000B21B0000B31B000090
-:106ED000B41B0000B51B0000B61B0000B71B000070
-:106EE000B81B0000B91B0000BA1B0000BB1B000050
-:106EF000BC1B0000BD1B0000BE1B0000BF1B000030
-:106F0000C01B0000C11B0000C21B0000C31B00000F
-:106F1000C41B0000C51B0000C61B0000C71B0000EF
-:106F2000C81B0000C91B0000CA1B0000CB1B0000CF
-:106F3000CC1B0000CD1B0000CE1B0000CF1B0000AF
-:106F4000D01B0000D11B0000D21B0000D31B00008F
-:106F5000D41B0000D51B0000D61B0000D71B00006F
-:106F6000D81B0000D91B0000DA1B0000DB1B00004F
-:106F7000DC1B0000DD1B0000DE1B0000DF1B00002F
-:106F8000E01B0000E11B0000E21B0000E31B00000F
-:106F9000E41B0000E51B0000E61B0000E71B0000EF
-:106FA000E81B0000E91B0000EA1B0000EB1B0000CF
-:106FB000EC1B0000ED1B0000EE1B0000EF1B0000AF
-:106FC000F01B0000F11B0000F21B0000F31B00008F
-:106FD000F41B0000F51B0000F61B0000F71B00006F
-:106FE000F81B0000F91B0000FA1B0000FB1B00004F
-:106FF000FC1B0000FD1B0000FE1B0000FF1B00002F
-:10700000001C0000011C0000021C0000031C00000A
-:10701000041C0000051C0000061C0000071C0000EA
-:10702000081C0000091C00000A1C00000B1C0000CA
-:107030000C1C00000D1C00000E1C00000F1C0000AA
-:10704000101C0000111C0000121C0000131C00008A
-:10705000141C0000151C0000161C0000171C00006A
-:10706000181C0000191C00001A1C00001B1C00004A
-:107070001C1C00001D1C00001E1C00001F1C00002A
-:10708000201C0000211C0000221C0000231C00000A
-:10709000241C0000251C0000261C0000271C0000EA
-:1070A000281C0000291C00002A1C00002B1C0000CA
-:1070B0002C1C00002D1C00002E1C00002F1C0000AA
-:1070C000301C0000311C0000321C0000331C00008A
-:1070D000341C0000351C0000361C0000371C00006A
-:1070E000381C0000391C00003A1C00003B1C00004A
-:1070F0003C1C00003D1C00003E1C00003F1C00002A
-:10710000401C0000411C0000421C0000431C000009
-:10711000441C0000451C0000461C0000471C0000E9
-:10712000481C0000491C00004A1C00004B1C0000C9
-:107130004C1C00004D1C00004E1C00004F1C0000A9
-:10714000501C0000511C0000521C0000531C000089
-:10715000541C0000551C0000561C0000571C000069
-:10716000581C0000591C00005A1C00005B1C000049
-:107170005C1C00005D1C00005E1C00005F1C000029
-:10718000601C0000611C0000621C0000631C000009
-:10719000641C0000651C0000661C0000671C0000E9
-:1071A000681C0000691C00006A1C00006B1C0000C9
-:1071B0006C1C00006D1C00006E1C00006F1C0000A9
-:1071C000701C0000711C0000721C0000731C000089
-:1071D000741C0000751C0000761C0000771C000069
-:1071E000781C0000791C00007A1C00007B1C000049
-:1071F0007C1C00007D1C00007E1C00007F1C000029
-:10720000801C0000811C0000821C0000831C000008
-:10721000841C0000851C0000861C0000871C0000E8
-:10722000881C0000891C00008A1C00008B1C0000C8
-:107230008C1C00008D1C00008E1C00008F1C0000A8
-:10724000901C0000911C0000921C0000931C000088
-:10725000941C0000951C0000961C0000971C000068
-:10726000981C0000991C00009A1C00009B1C000048
-:107270009C1C00009D1C00009E1C00009F1C000028
-:10728000A01C0000A11C0000A21C0000A31C000008
-:10729000A41C0000A51C0000A61C0000A71C0000E8
-:1072A000A81C0000A91C0000AA1C0000AB1C0000C8
-:1072B000AC1C0000AD1C0000AE1C0000AF1C0000A8
-:1072C000B01C0000B11C0000B21C0000B31C000088
-:1072D000B41C0000B51C0000B61C0000B71C000068
-:1072E000B81C0000B91C0000BA1C0000BB1C000048
-:1072F000BC1C0000BD1C0000BE1C0000BF1C000028
-:10730000C01C0000C11C0000C21C0000C31C000007
-:10731000C41C0000C51C0000C61C0000C71C0000E7
-:10732000C81C0000C91C0000CA1C0000CB1C0000C7
-:10733000CC1C0000CD1C0000CE1C0000CF1C0000A7
-:10734000D01C0000D11C0000D21C0000D31C000087
-:10735000D41C0000D51C0000D61C0000D71C000067
-:10736000D81C0000D91C0000DA1C0000DB1C000047
-:10737000DC1C0000DD1C0000DE1C0000DF1C000027
-:10738000E01C0000E11C0000E21C0000E31C000007
-:10739000E41C0000E51C0000E61C0000E71C0000E7
-:1073A000E81C0000E91C0000EA1C0000EB1C0000C7
-:1073B000EC1C0000ED1C0000EE1C0000EF1C0000A7
-:1073C000F01C0000F11C0000F21C0000F31C000087
-:1073D000F41C0000F51C0000F61C0000F71C000067
-:1073E000F81C0000F91C0000FA1C0000FB1C000047
-:1073F000FC1C0000FD1C0000FE1C0000FF1C000027
-:10740000001D0000011D0000021D0000031D000002
-:10741000041D0000051D0000061D0000071D0000E2
-:10742000081D0000091D00000A1D00000B1D0000C2
-:107430000C1D00000D1D00000E1D00000F1D0000A2
-:10744000101D0000111D0000121D0000131D000082
-:10745000141D0000151D0000161D0000171D000062
-:10746000181D0000191D00001A1D00001B1D000042
-:107470001C1D00001D1D00001E1D00001F1D000022
-:10748000201D0000211D0000221D0000231D000002
-:10749000241D0000251D0000261D0000271D0000E2
-:1074A000281D0000291D00002A1D00002B1D0000C2
-:1074B0002C1D00002D1D00002E1D00002F1D0000A2
-:1074C000301D0000311D0000321D0000331D000082
-:1074D000341D0000351D0000361D0000371D000062
-:1074E000381D0000391D00003A1D00003B1D000042
-:1074F0003C1D00003D1D00003E1D00003F1D000022
-:10750000401D0000411D0000421D0000431D000001
-:10751000441D0000451D0000461D0000471D0000E1
-:10752000481D0000491D00004A1D00004B1D0000C1
-:107530004C1D00004D1D00004E1D00004F1D0000A1
-:10754000501D0000511D0000521D0000531D000081
-:10755000541D0000551D0000561D0000571D000061
-:10756000581D0000591D00005A1D00005B1D000041
-:107570005C1D00005D1D00005E1D00005F1D000021
-:10758000601D0000611D0000621D0000631D000001
-:10759000641D0000651D0000661D0000671D0000E1
-:1075A000681D0000691D00006A1D00006B1D0000C1
-:1075B0006C1D00006D1D00006E1D00006F1D0000A1
-:1075C000701D0000711D0000721D0000731D000081
-:1075D000741D0000751D0000761D0000771D000061
-:1075E000781D0000791D00007A1D00007B1D000041
-:1075F0007C1D00007D1D00007E1D00007F1D000021
-:10760000801D0000811D0000821D0000831D000000
-:10761000841D0000851D0000861D0000871D0000E0
-:10762000881D0000891D00008A1D00008B1D0000C0
-:107630008C1D00008D1D00008E1D00008F1D0000A0
-:10764000901D0000911D0000921D0000931D000080
-:10765000941D0000951D0000961D0000971D000060
-:10766000981D0000991D00009A1D00009B1D000040
-:107670009C1D00009D1D00009E1D00009F1D000020
-:10768000A01D0000A11D0000A21D0000A31D000000
-:10769000A41D0000A51D0000A61D0000A71D0000E0
-:1076A000A81D0000A91D0000AA1D0000AB1D0000C0
-:1076B000AC1D0000AD1D0000AE1D0000AF1D0000A0
-:1076C000B01D0000B11D0000B21D0000B31D000080
-:1076D000B41D0000B51D0000B61D0000B71D000060
-:1076E000B81D0000B91D0000BA1D0000BB1D000040
-:1076F000BC1D0000BD1D0000BE1D0000BF1D000020
-:10770000C01D0000C11D0000C21D0000C31D0000FF
-:10771000C41D0000C51D0000C61D0000C71D0000DF
-:10772000C81D0000C91D0000CA1D0000CB1D0000BF
-:10773000CC1D0000CD1D0000CE1D0000CF1D00009F
-:10774000D01D0000D11D0000D21D0000D31D00007F
-:10775000D41D0000D51D0000D61D0000D71D00005F
-:10776000D81D0000D91D0000DA1D0000DB1D00003F
-:10777000DC1D0000DD1D0000DE1D0000DF1D00001F
-:10778000E01D0000E11D0000E21D0000E31D0000FF
-:10779000E41D0000E51D0000E61D0000E71D0000DF
-:1077A000E81D0000E91D0000EA1D0000EB1D0000BF
-:1077B000EC1D0000ED1D0000EE1D0000EF1D00009F
-:1077C000F01D0000F11D0000F21D0000F31D00007F
-:1077D000F41D0000F51D0000F61D0000F71D00005F
-:1077E000F81D0000F91D0000FA1D0000FB1D00003F
-:1077F000FC1D0000FD1D0000FE1D0000FF1D00001F
-:10780000001E0000011E0000021E0000031E0000FA
-:10781000041E0000051E0000061E0000071E0000DA
-:10782000081E0000091E00000A1E00000B1E0000BA
-:107830000C1E00000D1E00000E1E00000F1E00009A
-:10784000101E0000111E0000121E0000131E00007A
-:10785000141E0000151E0000161E0000171E00005A
-:10786000181E0000191E00001A1E00001B1E00003A
-:107870001C1E00001D1E00001E1E00001F1E00001A
-:10788000201E0000211E0000221E0000231E0000FA
-:10789000241E0000251E0000261E0000271E0000DA
-:1078A000281E0000291E00002A1E00002B1E0000BA
-:1078B0002C1E00002D1E00002E1E00002F1E00009A
-:1078C000301E0000311E0000321E0000331E00007A
-:1078D000341E0000351E0000361E0000371E00005A
-:1078E000381E0000391E00003A1E00003B1E00003A
-:1078F0003C1E00003D1E00003E1E00003F1E00001A
-:10790000401E0000411E0000421E0000431E0000F9
-:10791000441E0000451E0000461E0000471E0000D9
-:10792000481E0000491E00004A1E00004B1E0000B9
-:107930004C1E00004D1E00004E1E00004F1E000099
-:10794000501E0000511E0000521E0000531E000079
-:10795000541E0000551E0000561E0000571E000059
-:10796000581E0000591E00005A1E00005B1E000039
-:107970005C1E00005D1E00005E1E00005F1E000019
-:10798000601E0000611E0000621E0000631E0000F9
-:10799000641E0000651E0000661E0000671E0000D9
-:1079A000681E0000691E00006A1E00006B1E0000B9
-:1079B0006C1E00006D1E00006E1E00006F1E000099
-:1079C000701E0000711E0000721E0000731E000079
-:1079D000741E0000751E0000761E0000771E000059
-:1079E000781E0000791E00007A1E00007B1E000039
-:1079F0007C1E00007D1E00007E1E00007F1E000019
-:107A0000801E0000811E0000821E0000831E0000F8
-:107A1000841E0000851E0000861E0000871E0000D8
-:107A2000881E0000891E00008A1E00008B1E0000B8
-:107A30008C1E00008D1E00008E1E00008F1E000098
-:107A4000901E0000911E0000921E0000931E000078
-:107A5000941E0000951E0000961E0000971E000058
-:107A6000981E0000991E00009A1E00009B1E000038
-:107A70009C1E00009D1E00009E1E00009F1E000018
-:107A8000A01E0000A11E0000A21E0000A31E0000F8
-:107A9000A41E0000A51E0000A61E0000A71E0000D8
-:107AA000A81E0000A91E0000AA1E0000AB1E0000B8
-:107AB000AC1E0000AD1E0000AE1E0000AF1E000098
-:107AC000B01E0000B11E0000B21E0000B31E000078
-:107AD000B41E0000B51E0000B61E0000B71E000058
-:107AE000B81E0000B91E0000BA1E0000BB1E000038
-:107AF000BC1E0000BD1E0000BE1E0000BF1E000018
-:107B0000C01E0000C11E0000C21E0000C31E0000F7
-:107B1000C41E0000C51E0000C61E0000C71E0000D7
-:107B2000C81E0000C91E0000CA1E0000CB1E0000B7
-:107B3000CC1E0000CD1E0000CE1E0000CF1E000097
-:107B4000D01E0000D11E0000D21E0000D31E000077
-:107B5000D41E0000D51E0000D61E0000D71E000057
-:107B6000D81E0000D91E0000DA1E0000DB1E000037
-:107B7000DC1E0000DD1E0000DE1E0000DF1E000017
-:107B8000E01E0000E11E0000E21E0000E31E0000F7
-:107B9000E41E0000E51E0000E61E0000E71E0000D7
-:107BA000E81E0000E91E0000EA1E0000EB1E0000B7
-:107BB000EC1E0000ED1E0000EE1E0000EF1E000097
-:107BC000F01E0000F11E0000F21E0000F31E000077
-:107BD000F41E0000F51E0000F61E0000F71E000057
-:107BE000F81E0000F91E0000FA1E0000FB1E000037
-:107BF000FC1E0000FD1E0000FE1E0000FF1E000017
-:107C0000001F0000011F0000021F0000031F0000F2
-:107C1000041F0000051F0000061F0000071F0000D2
-:107C2000081F0000091F00000A1F00000B1F0000B2
-:107C30000C1F00000D1F00000E1F00000F1F000092
-:107C4000101F0000111F0000121F0000131F000072
-:107C5000141F0000151F0000161F0000171F000052
-:107C6000181F0000191F00001A1F00001B1F000032
-:107C70001C1F00001D1F00001E1F00001F1F000012
-:107C8000201F0000211F0000221F0000231F0000F2
-:107C9000241F0000251F0000261F0000271F0000D2
-:107CA000281F0000291F00002A1F00002B1F0000B2
-:107CB0002C1F00002D1F00002E1F00002F1F000092
-:107CC000301F0000311F0000321F0000331F000072
-:107CD000341F0000351F0000361F0000371F000052
-:107CE000381F0000391F00003A1F00003B1F000032
-:107CF0003C1F00003D1F00003E1F00003F1F000012
-:107D0000401F0000411F0000421F0000431F0000F1
-:107D1000441F0000451F0000461F0000471F0000D1
-:107D2000481F0000491F00004A1F00004B1F0000B1
-:107D30004C1F00004D1F00004E1F00004F1F000091
-:107D4000501F0000511F0000521F0000531F000071
-:107D5000541F0000551F0000561F0000571F000051
-:107D6000581F0000591F00005A1F00005B1F000031
-:107D70005C1F00005D1F00005E1F00005F1F000011
-:107D8000601F0000611F0000621F0000631F0000F1
-:107D9000641F0000651F0000661F0000671F0000D1
-:107DA000681F0000691F00006A1F00006B1F0000B1
-:107DB0006C1F00006D1F00006E1F00006F1F000091
-:107DC000701F0000711F0000721F0000731F000071
-:107DD000741F0000751F0000761F0000771F000051
-:107DE000781F0000791F00007A1F00007B1F000031
-:107DF0007C1F00007D1F00007E1F00007F1F000011
-:107E0000801F0000811F0000821F0000831F0000F0
-:107E1000841F0000851F0000861F0000871F0000D0
-:107E2000881F0000891F00008A1F00008B1F0000B0
-:107E30008C1F00008D1F00008E1F00008F1F000090
-:107E4000901F0000911F0000921F0000931F000070
-:107E5000941F0000951F0000961F0000971F000050
-:107E6000981F0000991F00009A1F00009B1F000030
-:107E70009C1F00009D1F00009E1F00009F1F000010
-:107E8000A01F0000A11F0000A21F0000A31F0000F0
-:107E9000A41F0000A51F0000A61F0000A71F0000D0
-:107EA000A81F0000A91F0000AA1F0000AB1F0000B0
-:107EB000AC1F0000AD1F0000AE1F0000AF1F000090
-:107EC000B01F0000B11F0000B21F0000B31F000070
-:107ED000B41F0000B51F0000B61F0000B71F000050
-:107EE000B81F0000B91F0000BA1F0000BB1F000030
-:107EF000BC1F0000BD1F0000BE1F0000BF1F000010
-:107F0000C01F0000C11F0000C21F0000C31F0000EF
-:107F1000C41F0000C51F0000C61F0000C71F0000CF
-:107F2000C81F0000C91F0000CA1F0000CB1F0000AF
-:107F3000CC1F0000CD1F0000CE1F0000CF1F00008F
-:107F4000D01F0000D11F0000D21F0000D31F00006F
-:107F5000D41F0000D51F0000D61F0000D71F00004F
-:107F6000D81F0000D91F0000DA1F0000DB1F00002F
-:107F7000DC1F0000DD1F0000DE1F0000DF1F00000F
-:107F8000E01F0000E11F0000E21F0000E31F0000EF
-:107F9000E41F0000E51F0000E61F0000E71F0000CF
-:107FA000E81F0000E91F0000EA1F0000EB1F0000AF
-:107FB000EC1F0000ED1F0000EE1F0000EF1F00008F
-:107FC000F01F0000F11F0000F21F0000F31F00006F
-:107FD000F41F0000F51F0000F61F0000F71F00004F
-:107FE000F81F0000F91F0000FA1F0000FB1F00002F
-:107FF000FC1F0000FD1F0000FE1F0000FF1F00000F
-:1080000000200000012000000220000003200000EA
-:1080100004200000052000000620000007200000CA
-:1080200008200000092000000A2000000B200000AA
-:108030000C2000000D2000000E2000000F2000008A
-:10804000102000001120000012200000132000006A
-:10805000142000001520000016200000172000004A
-:1080600018200000192000001A2000001B2000002A
-:108070001C2000001D2000001E2000001F2000000A
-:1080800020200000212000002220000023200000EA
-:1080900024200000252000002620000027200000CA
-:1080A00028200000292000002A2000002B200000AA
-:1080B0002C2000002D2000002E2000002F2000008A
-:1080C000302000003120000032200000332000006A
-:1080D000342000003520000036200000372000004A
-:1080E00038200000392000003A2000003B2000002A
-:1080F0003C2000003D2000003E2000003F2000000A
-:1081000040200000412000004220000043200000E9
-:1081100044200000452000004620000047200000C9
-:1081200048200000492000004A2000004B200000A9
-:108130004C2000004D2000004E2000004F20000089
-:108140005020000051200000522000005320000069
-:108150005420000055200000562000005720000049
-:1081600058200000592000005A2000005B20000029
-:108170005C2000005D2000005E2000005F20000009
-:1081800060200000612000006220000063200000E9
-:1081900064200000652000006620000067200000C9
-:1081A00068200000692000006A2000006B200000A9
-:1081B0006C2000006D2000006E2000006F20000089
-:1081C0007020000071200000722000007320000069
-:1081D0007420000075200000762000007720000049
-:1081E00078200000792000007A2000007B20000029
-:1081F0007C2000007D2000007E2000007F20000009
-:1082000080200000812000008220000083200000E8
-:1082100084200000852000008620000087200000C8
-:1082200088200000892000008A2000008B200000A8
-:108230008C2000008D2000008E2000008F20000088
-:108240009020000091200000922000009320000068
-:108250009420000095200000962000009720000048
-:1082600098200000992000009A2000009B20000028
-:108270009C2000009D2000009E2000009F20000008
-:10828000A0200000A1200000A2200000A3200000E8
-:10829000A4200000A5200000A6200000A7200000C8
-:1082A000A8200000A9200000AA200000AB200000A8
-:1082B000AC200000AD200000AE200000AF20000088
-:1082C000B0200000B1200000B2200000B320000068
-:1082D000B4200000B5200000B6200000B720000048
-:1082E000B8200000B9200000BA200000BB20000028
-:1082F000BC200000BD200000BE200000BF20000008
-:10830000C0200000C1200000C2200000C3200000E7
-:10831000C4200000C5200000C6200000C7200000C7
-:10832000C8200000C9200000CA200000CB200000A7
-:10833000CC200000CD200000CE200000CF20000087
-:10834000D0200000D1200000D2200000D320000067
-:10835000D4200000D5200000D6200000D720000047
-:10836000D8200000D9200000DA200000DB20000027
-:10837000DC200000DD200000DE200000DF20000007
-:10838000E0200000E1200000E2200000E3200000E7
-:10839000E4200000E5200000E6200000E7200000C7
-:1083A000E8200000E9200000EA200000EB200000A7
-:1083B000EC200000ED200000EE200000EF20000087
-:1083C000F0200000F1200000F2200000F320000067
-:1083D000F4200000F5200000F6200000F720000047
-:1083E000F8200000F9200000FA200000FB20000027
-:1083F000FC200000FD200000FE200000FF20000007
-:1084000000210000012100000221000003210000E2
-:1084100004210000052100000621000007210000C2
-:1084200008210000092100000A2100000B210000A2
-:108430000C2100000D2100000E2100000F21000082
-:108440001021000011210000122100001321000062
-:108450001421000015210000162100001721000042
-:1084600018210000192100001A2100001B21000022
-:108470001C2100001D2100001E2100001F21000002
-:1084800020210000212100002221000023210000E2
-:1084900024210000252100002621000027210000C2
-:1084A00028210000292100002A2100002B210000A2
-:1084B0002C2100002D2100002E2100002F21000082
-:1084C0003021000031210000322100003321000062
-:1084D0003421000035210000362100003721000042
-:1084E00038210000392100003A2100003B21000022
-:1084F0003C2100003D2100003E2100003F21000002
-:1085000040210000412100004221000043210000E1
-:1085100044210000452100004621000047210000C1
-:1085200048210000492100004A2100004B210000A1
-:108530004C2100004D2100004E2100004F21000081
-:108540005021000051210000522100005321000061
-:108550005421000055210000562100005721000041
-:1085600058210000592100005A2100005B21000021
-:108570005C2100005D2100005E2100005F21000001
-:1085800060210000612100006221000063210000E1
-:1085900064210000652100006621000067210000C1
-:1085A00068210000692100006A2100006B210000A1
-:1085B0006C2100006D2100006E2100006F21000081
-:1085C0007021000071210000722100007321000061
-:1085D0007421000075210000762100007721000041
-:1085E00078210000792100007A2100007B21000021
-:1085F0007C2100007D2100007E2100007F21000001
-:1086000080210000812100008221000083210000E0
-:1086100084210000852100008621000087210000C0
-:1086200088210000892100008A2100008B210000A0
-:108630008C2100008D2100008E2100008F21000080
-:108640009021000091210000922100009321000060
-:108650009421000095210000962100009721000040
-:1086600098210000992100009A2100009B21000020
-:108670009C2100009D2100009E2100009F21000000
-:10868000A0210000A1210000A2210000A3210000E0
-:10869000A4210000A5210000A6210000A7210000C0
-:1086A000A8210000A9210000AA210000AB210000A0
-:1086B000AC210000AD210000AE210000AF21000080
-:1086C000B0210000B1210000B2210000B321000060
-:1086D000B4210000B5210000B6210000B721000040
-:1086E000B8210000B9210000BA210000BB21000020
-:1086F000BC210000BD210000BE210000BF21000000
-:10870000C0210000C1210000C2210000C3210000DF
-:10871000C4210000C5210000C6210000C7210000BF
-:10872000C8210000C9210000CA210000CB2100009F
-:10873000CC210000CD210000CE210000CF2100007F
-:10874000D0210000D1210000D2210000D32100005F
-:10875000D4210000D5210000D6210000D72100003F
-:10876000D8210000D9210000DA210000DB2100001F
-:10877000DC210000DD210000DE210000DF210000FF
-:10878000E0210000E1210000E2210000E3210000DF
-:10879000E4210000E5210000E6210000E7210000BF
-:1087A000E8210000E9210000EA210000EB2100009F
-:1087B000EC210000ED210000EE210000EF2100007F
-:1087C000F0210000F1210000F2210000F32100005F
-:1087D000F4210000F5210000F6210000F72100003F
-:1087E000F8210000F9210000FA210000FB2100001F
-:1087F000FC210000FD210000FE210000FF210000FF
-:1088000000220000012200000222000003220000DA
-:1088100004220000052200000622000007220000BA
-:1088200008220000092200000A2200000B2200009A
-:108830000C2200000D2200000E2200000F2200007A
-:10884000102200001122000012220000132200005A
-:10885000142200001522000016220000172200003A
-:1088600018220000192200001A2200001B2200001A
-:108870001C2200001D2200001E2200001F220000FA
-:1088800020220000212200002222000023220000DA
-:1088900024220000252200002622000027220000BA
-:1088A00028220000292200002A2200002B2200009A
-:1088B0002C2200002D2200002E2200002F2200007A
-:1088C000302200003122000032220000332200005A
-:1088D000342200003522000036220000372200003A
-:1088E00038220000392200003A2200003B2200001A
-:1088F0003C2200003D2200003E2200003F220000FA
-:1089000040220000412200004222000043220000D9
-:1089100044220000452200004622000047220000B9
-:1089200048220000492200004A2200004B22000099
-:108930004C2200004D2200004E2200004F22000079
-:108940005022000051220000522200005322000059
-:108950005422000055220000562200005722000039
-:1089600058220000592200005A2200005B22000019
-:108970005C2200005D2200005E2200005F220000F9
-:1089800060220000612200006222000063220000D9
-:1089900064220000652200006622000067220000B9
-:1089A00068220000692200006A2200006B22000099
-:1089B0006C2200006D2200006E2200006F22000079
-:1089C0007022000071220000722200007322000059
-:1089D0007422000075220000762200007722000039
-:1089E00078220000792200007A2200007B22000019
-:1089F0007C2200007D2200007E2200007F220000F9
-:108A000080220000812200008222000083220000D8
-:108A100084220000852200008622000087220000B8
-:108A200088220000892200008A2200008B22000098
-:108A30008C2200008D2200008E2200008F22000078
-:108A40009022000091220000922200009322000058
-:108A50009422000095220000962200009722000038
-:108A600098220000992200009A2200009B22000018
-:108A70009C2200009D2200009E2200009F220000F8
-:108A8000A0220000A1220000A2220000A3220000D8
-:108A9000A4220000A5220000A6220000A7220000B8
-:108AA000A8220000A9220000AA220000AB22000098
-:108AB000AC220000AD220000AE220000AF22000078
-:108AC000B0220000B1220000B2220000B322000058
-:108AD000B4220000B5220000B6220000B722000038
-:108AE000B8220000B9220000BA220000BB22000018
-:108AF000BC220000BD220000BE220000BF220000F8
-:108B0000C0220000C1220000C2220000C3220000D7
-:108B1000C4220000C5220000C6220000C7220000B7
-:108B2000C8220000C9220000CA220000CB22000097
-:108B3000CC220000CD220000CE220000CF22000077
-:108B4000D0220000D1220000D2220000D322000057
-:108B5000D4220000D5220000D6220000D722000037
-:108B6000D8220000D9220000DA220000DB22000017
-:108B7000DC220000DD220000DE220000DF220000F7
-:108B8000E0220000E1220000E2220000E3220000D7
-:108B9000E4220000E5220000E6220000E7220000B7
-:108BA000E8220000E9220000EA220000EB22000097
-:108BB000EC220000ED220000EE220000EF22000077
-:108BC000F0220000F1220000F2220000F322000057
-:108BD000F4220000F5220000F6220000F722000037
-:108BE000F8220000F9220000FA220000FB22000017
-:108BF000FC220000FD220000FE220000FF220000F7
-:108C000000230000012300000223000003230000D2
-:108C100004230000052300000623000007230000B2
-:108C200008230000092300000A2300000B23000092
-:108C30000C2300000D2300000E2300000F23000072
-:108C40001023000011230000122300001323000052
-:108C50001423000015230000162300001723000032
-:108C600018230000192300001A2300001B23000012
-:108C70001C2300001D2300001E2300001F230000F2
-:108C800020230000212300002223000023230000D2
-:108C900024230000252300002623000027230000B2
-:108CA00028230000292300002A2300002B23000092
-:108CB0002C2300002D2300002E2300002F23000072
-:108CC0003023000031230000322300003323000052
-:108CD0003423000035230000362300003723000032
-:108CE00038230000392300003A2300003B23000012
-:108CF0003C2300003D2300003E2300003F230000F2
-:108D000040230000412300004223000043230000D1
-:108D100044230000452300004623000047230000B1
-:108D200048230000492300004A2300004B23000091
-:108D30004C2300004D2300004E2300004F23000071
-:108D40005023000051230000522300005323000051
-:108D50005423000055230000562300005723000031
-:108D600058230000592300005A2300005B23000011
-:108D70005C2300005D2300005E2300005F230000F1
-:108D800060230000612300006223000063230000D1
-:108D900064230000652300006623000067230000B1
-:108DA00068230000692300006A2300006B23000091
-:108DB0006C2300006D2300006E2300006F23000071
-:108DC0007023000071230000722300007323000051
-:108DD0007423000075230000762300007723000031
-:108DE00078230000792300007A2300007B23000011
-:108DF0007C2300007D2300007E2300007F230000F1
-:108E000080230000812300008223000083230000D0
-:108E100084230000852300008623000087230000B0
-:108E200088230000892300008A2300008B23000090
-:108E30008C2300008D2300008E2300008F23000070
-:108E40009023000091230000922300009323000050
-:108E50009423000095230000962300009723000030
-:108E600098230000992300009A2300009B23000010
-:108E70009C2300009D2300009E2300009F230000F0
-:108E8000A0230000A1230000A2230000A3230000D0
-:108E9000A4230000A5230000A6230000A7230000B0
-:108EA000A8230000A9230000AA230000AB23000090
-:108EB000AC230000AD230000AE230000AF23000070
-:108EC000B0230000B1230000B2230000B323000050
-:108ED000B4230000B5230000B6230000B723000030
-:108EE000B8230000B9230000BA230000BB23000010
-:108EF000BC230000BD230000BE230000BF230000F0
-:108F0000C0230000C1230000C2230000C3230000CF
-:108F1000C4230000C5230000C6230000C7230000AF
-:108F2000C8230000C9230000CA230000CB2300008F
-:108F3000CC230000CD230000CE230000CF2300006F
-:108F4000D0230000D1230000D2230000D32300004F
-:108F5000D4230000D5230000D6230000D72300002F
-:108F6000D8230000D9230000DA230000DB2300000F
-:108F7000DC230000DD230000DE230000DF230000EF
-:108F8000E0230000E1230000E2230000E3230000CF
-:108F9000E4230000E5230000E6230000E7230000AF
-:108FA000E8230000E9230000EA230000EB2300008F
-:108FB000EC230000ED230000EE230000EF2300006F
-:108FC000F0230000F1230000F2230000F32300004F
-:108FD000F4230000F5230000F6230000F72300002F
-:108FE000F8230000F9230000FA230000FB2300000F
-:108FF000FC230000FD230000FE230000FF230000EF
-:1090000000240000012400000224000003240000CA
-:1090100004240000052400000624000007240000AA
-:1090200008240000092400000A2400000B2400008A
-:109030000C2400000D2400000E2400000F2400006A
-:10904000102400001124000012240000132400004A
-:10905000142400001524000016240000172400002A
-:1090600018240000192400001A2400001B2400000A
-:109070001C2400001D2400001E2400001F240000EA
-:1090800020240000212400002224000023240000CA
-:1090900024240000252400002624000027240000AA
-:1090A00028240000292400002A2400002B2400008A
-:1090B0002C2400002D2400002E2400002F2400006A
-:1090C000302400003124000032240000332400004A
-:1090D000342400003524000036240000372400002A
-:1090E00038240000392400003A2400003B2400000A
-:1090F0003C2400003D2400003E2400003F240000EA
-:1091000040240000412400004224000043240000C9
-:1091100044240000452400004624000047240000A9
-:1091200048240000492400004A2400004B24000089
-:109130004C2400004D2400004E2400004F24000069
-:109140005024000051240000522400005324000049
-:109150005424000055240000562400005724000029
-:1091600058240000592400005A2400005B24000009
-:109170005C2400005D2400005E2400005F240000E9
-:1091800060240000612400006224000063240000C9
-:1091900064240000652400006624000067240000A9
-:1091A00068240000692400006A2400006B24000089
-:1091B0006C2400006D2400006E2400006F24000069
-:1091C0007024000071240000722400007324000049
-:1091D0007424000075240000762400007724000029
-:1091E00078240000792400007A2400007B24000009
-:1091F0007C2400007D2400007E2400007F240000E9
-:1092000080240000812400008224000083240000C8
-:1092100084240000852400008624000087240000A8
-:1092200088240000892400008A2400008B24000088
-:109230008C2400008D2400008E2400008F24000068
-:109240009024000091240000922400009324000048
-:109250009424000095240000962400009724000028
-:1092600098240000992400009A2400009B24000008
-:109270009C2400009D2400009E2400009F240000E8
-:10928000A0240000A1240000A2240000A3240000C8
-:10929000A4240000A5240000A6240000A7240000A8
-:1092A000A8240000A9240000AA240000AB24000088
-:1092B000AC240000AD240000AE240000AF24000068
-:1092C000B0240000B1240000B2240000B324000048
-:1092D000B4240000B5240000B6240000B724000028
-:1092E000B8240000B9240000BA240000BB24000008
-:1092F000BC240000BD240000BE240000BF240000E8
-:10930000C0240000C1240000C2240000C3240000C7
-:10931000C4240000C5240000C6240000C7240000A7
-:10932000C8240000C9240000CA240000CB24000087
-:10933000CC240000CD240000CE240000CF24000067
-:10934000D0240000D1240000D2240000D324000047
-:10935000D4240000D5240000D6240000D724000027
-:10936000D8240000D9240000DA240000DB24000007
-:10937000DC240000DD240000DE240000DF240000E7
-:10938000E0240000E1240000E2240000E3240000C7
-:10939000E4240000E5240000E6240000E7240000A7
-:1093A000E8240000E9240000EA240000EB24000087
-:1093B000EC240000ED240000EE240000EF24000067
-:1093C000F0240000F1240000F2240000F324000047
-:1093D000F4240000F5240000F6240000F724000027
-:1093E000F8240000F9240000FA240000FB24000007
-:1093F000FC240000FD240000FE240000FF240000E7
-:1094000000250000012500000225000003250000C2
-:1094100004250000052500000625000007250000A2
-:1094200008250000092500000A2500000B25000082
-:109430000C2500000D2500000E2500000F25000062
-:109440001025000011250000122500001325000042
-:109450001425000015250000162500001725000022
-:1094600018250000192500001A2500001B25000002
-:109470001C2500001D2500001E2500001F250000E2
-:1094800020250000212500002225000023250000C2
-:1094900024250000252500002625000027250000A2
-:1094A00028250000292500002A2500002B25000082
-:1094B0002C2500002D2500002E2500002F25000062
-:1094C0003025000031250000322500003325000042
-:1094D0003425000035250000362500003725000022
-:1094E00038250000392500003A2500003B25000002
-:1094F0003C2500003D2500003E2500003F250000E2
-:1095000040250000412500004225000043250000C1
-:1095100044250000452500004625000047250000A1
-:1095200048250000492500004A2500004B25000081
-:109530004C2500004D2500004E2500004F25000061
-:109540005025000051250000522500005325000041
-:109550005425000055250000562500005725000021
-:1095600058250000592500005A2500005B25000001
-:109570005C2500005D2500005E2500005F250000E1
-:1095800060250000612500006225000063250000C1
-:1095900064250000652500006625000067250000A1
-:1095A00068250000692500006A2500006B25000081
-:1095B0006C2500006D2500006E2500006F25000061
-:1095C0007025000071250000722500007325000041
-:1095D0007425000075250000762500007725000021
-:1095E00078250000792500007A2500007B25000001
-:1095F0007C2500007D2500007E2500007F250000E1
-:1096000080250000812500008225000083250000C0
-:1096100084250000852500008625000087250000A0
-:1096200088250000892500008A2500008B25000080
-:109630008C2500008D2500008E2500008F25000060
-:109640009025000091250000922500009325000040
-:109650009425000095250000962500009725000020
-:1096600098250000992500009A2500009B25000000
-:109670009C2500009D2500009E2500009F250000E0
-:10968000A0250000A1250000A2250000A3250000C0
-:10969000A4250000A5250000A6250000A7250000A0
-:1096A000A8250000A9250000AA250000AB25000080
-:1096B000AC250000AD250000AE250000AF25000060
-:1096C000B0250000B1250000B2250000B325000040
-:1096D000B4250000B5250000B6250000B725000020
-:1096E000B8250000B9250000BA250000BB25000000
-:1096F000BC250000BD250000BE250000BF250000E0
-:10970000C0250000C1250000C2250000C3250000BF
-:10971000C4250000C5250000C6250000C72500009F
-:10972000C8250000C9250000CA250000CB2500007F
-:10973000CC250000CD250000CE250000CF2500005F
-:10974000D0250000D1250000D2250000D32500003F
-:10975000D4250000D5250000D6250000D72500001F
-:10976000D8250000D9250000DA250000DB250000FF
-:10977000DC250000DD250000DE250000DF250000DF
-:10978000E0250000E1250000E2250000E3250000BF
-:10979000E4250000E5250000E6250000E72500009F
-:1097A000E8250000E9250000EA250000EB2500007F
-:1097B000EC250000ED250000EE250000EF2500005F
-:1097C000F0250000F1250000F2250000F32500003F
-:1097D000F4250000F5250000F6250000F72500001F
-:1097E000F8250000F9250000FA250000FB250000FF
-:1097F000FC250000FD250000FE250000FF250000DF
-:1098000000260000012600000226000003260000BA
-:10981000042600000526000006260000072600009A
-:1098200008260000092600000A2600000B2600007A
-:109830000C2600000D2600000E2600000F2600005A
-:10984000102600001126000012260000132600003A
-:10985000142600001526000016260000172600001A
-:1098600018260000192600001A2600001B260000FA
-:109870001C2600001D2600001E2600001F260000DA
-:1098800020260000212600002226000023260000BA
-:10989000242600002526000026260000272600009A
-:1098A00028260000292600002A2600002B2600007A
-:1098B0002C2600002D2600002E2600002F2600005A
-:1098C000302600003126000032260000332600003A
-:1098D000342600003526000036260000372600001A
-:1098E00038260000392600003A2600003B260000FA
-:1098F0003C2600003D2600003E2600003F260000DA
-:1099000040260000412600004226000043260000B9
-:109910004426000045260000462600004726000099
-:1099200048260000492600004A2600004B26000079
-:109930004C2600004D2600004E2600004F26000059
-:109940005026000051260000522600005326000039
-:109950005426000055260000562600005726000019
-:1099600058260000592600005A2600005B260000F9
-:109970005C2600005D2600005E2600005F260000D9
-:1099800060260000612600006226000063260000B9
-:109990006426000065260000662600006726000099
-:1099A00068260000692600006A2600006B26000079
-:1099B0006C2600006D2600006E2600006F26000059
-:1099C0007026000071260000722600007326000039
-:1099D0007426000075260000762600007726000019
-:1099E00078260000792600007A2600007B260000F9
-:1099F0007C2600007D2600007E2600007F260000D9
-:109A000080260000812600008226000083260000B8
-:109A10008426000085260000862600008726000098
-:109A200088260000892600008A2600008B26000078
-:109A30008C2600008D2600008E2600008F26000058
-:109A40009026000091260000922600009326000038
-:109A50009426000095260000962600009726000018
-:109A600098260000992600009A2600009B260000F8
-:109A70009C2600009D2600009E2600009F260000D8
-:109A8000A0260000A1260000A2260000A3260000B8
-:109A9000A4260000A5260000A6260000A726000098
-:109AA000A8260000A9260000AA260000AB26000078
-:109AB000AC260000AD260000AE260000AF26000058
-:109AC000B0260000B1260000B2260000B326000038
-:109AD000B4260000B5260000B6260000B726000018
-:109AE000B8260000B9260000BA260000BB260000F8
-:109AF000BC260000BD260000BE260000BF260000D8
-:109B0000C0260000C1260000C2260000C3260000B7
-:109B1000C4260000C5260000C6260000C726000097
-:109B2000C8260000C9260000CA260000CB26000077
-:109B3000CC260000CD260000CE260000CF26000057
-:109B4000D0260000D1260000D2260000D326000037
-:109B5000D4260000D5260000D6260000D726000017
-:109B6000D8260000D9260000DA260000DB260000F7
-:109B7000DC260000DD260000DE260000DF260000D7
-:109B8000E0260000E1260000E2260000E3260000B7
-:109B9000E4260000E5260000E6260000E726000097
-:109BA000E8260000E9260000EA260000EB26000077
-:109BB000EC260000ED260000EE260000EF26000057
-:109BC000F0260000F1260000F2260000F326000037
-:109BD000F4260000F5260000F6260000F726000017
-:109BE000F8260000F9260000FA260000FB260000F7
-:109BF000FC260000FD260000FE260000FF260000D7
-:109C000000270000012700000227000003270000B2
-:109C10000427000005270000062700000727000092
-:109C200008270000092700000A2700000B27000072
-:109C30000C2700000D2700000E2700000F27000052
-:109C40001027000011270000122700001327000032
-:109C50001427000015270000162700001727000012
-:109C600018270000192700001A2700001B270000F2
-:109C70001C2700001D2700001E2700001F270000D2
-:109C800020270000212700002227000023270000B2
-:109C90002427000025270000262700002727000092
-:109CA00028270000292700002A2700002B27000072
-:109CB0002C2700002D2700002E2700002F27000052
-:109CC0003027000031270000322700003327000032
-:109CD0003427000035270000362700003727000012
-:109CE00038270000392700003A2700003B270000F2
-:109CF0003C2700003D2700003E2700003F270000D2
-:109D000040270000412700004227000043270000B1
-:109D10004427000045270000462700004727000091
-:109D200048270000492700004A2700004B27000071
-:109D30004C2700004D2700004E2700004F27000051
-:109D40005027000051270000522700005327000031
-:109D50005427000055270000562700005727000011
-:109D600058270000592700005A2700005B270000F1
-:109D70005C2700005D2700005E2700005F270000D1
-:109D800060270000612700006227000063270000B1
-:109D90006427000065270000662700006727000091
-:109DA00068270000692700006A2700006B27000071
-:109DB0006C2700006D2700006E2700006F27000051
-:109DC0007027000071270000722700007327000031
-:109DD0007427000075270000762700007727000011
-:109DE00078270000792700007A2700007B270000F1
-:109DF0007C2700007D2700007E2700007F270000D1
-:109E000080270000812700008227000083270000B0
-:109E10008427000085270000862700008727000090
-:109E200088270000892700008A2700008B27000070
-:109E30008C2700008D2700008E2700008F27000050
-:109E40009027000091270000922700009327000030
-:109E50009427000095270000962700009727000010
-:109E600098270000992700009A2700009B270000F0
-:109E70009C2700009D2700009E2700009F270000D0
-:109E8000A0270000A1270000A2270000A3270000B0
-:109E9000A4270000A5270000A6270000A727000090
-:109EA000A8270000A9270000AA270000AB27000070
-:109EB000AC270000AD270000AE270000AF27000050
-:109EC000B0270000B1270000B2270000B327000030
-:109ED000B4270000B5270000B6270000B727000010
-:109EE000B8270000B9270000BA270000BB270000F0
-:109EF000BC270000BD270000BE270000BF270000D0
-:109F0000C0270000C1270000C2270000C3270000AF
-:109F1000C4270000C5270000C6270000C72700008F
-:109F2000C8270000C9270000CA270000CB2700006F
-:109F3000CC270000CD270000CE270000CF2700004F
-:109F4000D0270000D1270000D2270000D32700002F
-:109F5000D4270000D5270000D6270000D72700000F
-:109F6000D8270000D9270000DA270000DB270000EF
-:109F7000DC270000DD270000DE270000DF270000CF
-:109F8000E0270000E1270000E2270000E3270000AF
-:109F9000E4270000E5270000E6270000E72700008F
-:109FA000E8270000E9270000EA270000EB2700006F
-:109FB000EC270000ED270000EE270000EF2700004F
-:109FC000F0270000F1270000F2270000F32700002F
-:109FD000F4270000F5270000F6270000F72700000F
-:109FE000F8270000F9270000FA270000FB270000EF
-:109FF000FC270000FD270000FE270000FF270000CF
-:10A0000000280000012800000228000003280000AA
-:10A01000042800000528000006280000072800008A
-:10A0200008280000092800000A2800000B2800006A
-:10A030000C2800000D2800000E2800000F2800004A
-:10A04000102800001128000012280000132800002A
-:10A05000142800001528000016280000172800000A
-:10A0600018280000192800001A2800001B280000EA
-:10A070001C2800001D2800001E2800001F280000CA
-:10A0800020280000212800002228000023280000AA
-:10A09000242800002528000026280000272800008A
-:10A0A00028280000292800002A2800002B2800006A
-:10A0B0002C2800002D2800002E2800002F2800004A
-:10A0C000302800003128000032280000332800002A
-:10A0D000342800003528000036280000372800000A
-:10A0E00038280000392800003A2800003B280000EA
-:10A0F0003C2800003D2800003E2800003F280000CA
-:10A1000040280000412800004228000043280000A9
-:10A110004428000045280000462800004728000089
-:10A1200048280000492800004A2800004B28000069
-:10A130004C2800004D2800004E2800004F28000049
-:10A140005028000051280000522800005328000029
-:10A150005428000055280000562800005728000009
-:10A1600058280000592800005A2800005B280000E9
-:10A170005C2800005D2800005E2800005F280000C9
-:10A1800060280000612800006228000063280000A9
-:10A190006428000065280000662800006728000089
-:10A1A00068280000692800006A2800006B28000069
-:10A1B0006C2800006D2800006E2800006F28000049
-:10A1C0007028000071280000722800007328000029
-:10A1D0007428000075280000762800007728000009
-:10A1E00078280000792800007A2800007B280000E9
-:10A1F0007C2800007D2800007E2800007F280000C9
-:10A2000080280000812800008228000083280000A8
-:10A210008428000085280000862800008728000088
-:10A2200088280000892800008A2800008B28000068
-:10A230008C2800008D2800008E2800008F28000048
-:10A240009028000091280000922800009328000028
-:10A250009428000095280000962800009728000008
-:10A2600098280000992800009A2800009B280000E8
-:10A270009C2800009D2800009E2800009F280000C8
-:10A28000A0280000A1280000A2280000A3280000A8
-:10A29000A4280000A5280000A6280000A728000088
-:10A2A000A8280000A9280000AA280000AB28000068
-:10A2B000AC280000AD280000AE280000AF28000048
-:10A2C000B0280000B1280000B2280000B328000028
-:10A2D000B4280000B5280000B6280000B728000008
-:10A2E000B8280000B9280000BA280000BB280000E8
-:10A2F000BC280000BD280000BE280000BF280000C8
-:10A30000C0280000C1280000C2280000C3280000A7
-:10A31000C4280000C5280000C6280000C728000087
-:10A32000C8280000C9280000CA280000CB28000067
-:10A33000CC280000CD280000CE280000CF28000047
-:10A34000D0280000D1280000D2280000D328000027
-:10A35000D4280000D5280000D6280000D728000007
-:10A36000D8280000D9280000DA280000DB280000E7
-:10A37000DC280000DD280000DE280000DF280000C7
-:10A38000E0280000E1280000E2280000E3280000A7
-:10A39000E4280000E5280000E6280000E728000087
-:10A3A000E8280000E9280000EA280000EB28000067
-:10A3B000EC280000ED280000EE280000EF28000047
-:10A3C000F0280000F1280000F2280000F328000027
-:10A3D000F4280000F5280000F6280000F728000007
-:10A3E000F8280000F9280000FA280000FB280000E7
-:10A3F000FC280000FD280000FE280000FF280000C7
-:10A4000000290000012900000229000003290000A2
-:10A410000429000005290000062900000729000082
-:10A4200008290000092900000A2900000B29000062
-:10A430000C2900000D2900000E2900000F29000042
-:10A440001029000011290000122900001329000022
-:10A450001429000015290000162900001729000002
-:10A4600018290000192900001A2900001B290000E2
-:10A470001C2900001D2900001E2900001F290000C2
-:10A4800020290000212900002229000023290000A2
-:10A490002429000025290000262900002729000082
-:10A4A00028290000292900002A2900002B29000062
-:10A4B0002C2900002D2900002E2900002F29000042
-:10A4C0003029000031290000322900003329000022
-:10A4D0003429000035290000362900003729000002
-:10A4E00038290000392900003A2900003B290000E2
-:10A4F0003C2900003D2900003E2900003F290000C2
-:10A5000040290000412900004229000043290000A1
-:10A510004429000045290000462900004729000081
-:10A5200048290000492900004A2900004B29000061
-:10A530004C2900004D2900004E2900004F29000041
-:10A540005029000051290000522900005329000021
-:10A550005429000055290000562900005729000001
-:10A5600058290000592900005A2900005B290000E1
-:10A570005C2900005D2900005E2900005F290000C1
-:10A5800060290000612900006229000063290000A1
-:10A590006429000065290000662900006729000081
-:10A5A00068290000692900006A2900006B29000061
-:10A5B0006C2900006D2900006E2900006F29000041
-:10A5C0007029000071290000722900007329000021
-:10A5D0007429000075290000762900007729000001
-:10A5E00078290000792900007A2900007B290000E1
-:10A5F0007C2900007D2900007E2900007F290000C1
-:10A6000080290000812900008229000083290000A0
-:10A610008429000085290000862900008729000080
-:10A6200088290000892900008A2900008B29000060
-:10A630008C2900008D2900008E2900008F29000040
-:10A640009029000091290000922900009329000020
-:10A650009429000095290000962900009729000000
-:10A6600098290000992900009A2900009B290000E0
-:10A670009C2900009D2900009E2900009F290000C0
-:10A68000A0290000A1290000A2290000A3290000A0
-:10A69000A4290000A5290000A6290000A729000080
-:10A6A000A8290000A9290000AA290000AB29000060
-:10A6B000AC290000AD290000AE290000AF29000040
-:10A6C000B0290000B1290000B2290000B329000020
-:10A6D000B4290000B5290000B6290000B729000000
-:10A6E000B8290000B9290000BA290000BB290000E0
-:10A6F000BC290000BD290000BE290000BF290000C0
-:10A70000C0290000C1290000C2290000C32900009F
-:10A71000C4290000C5290000C6290000C72900007F
-:10A72000C8290000C9290000CA290000CB2900005F
-:10A73000CC290000CD290000CE290000CF2900003F
-:10A74000D0290000D1290000D2290000D32900001F
-:10A75000D4290000D5290000D6290000D7290000FF
-:10A76000D8290000D9290000DA290000DB290000DF
-:10A77000DC290000DD290000DE290000DF290000BF
-:10A78000E0290000E1290000E2290000E32900009F
-:10A79000E4290000E5290000E6290000E72900007F
-:10A7A000E8290000E9290000EA290000EB2900005F
-:10A7B000EC290000ED290000EE290000EF2900003F
-:10A7C000F0290000F1290000F2290000F32900001F
-:10A7D000F4290000F5290000F6290000F7290000FF
-:10A7E000F8290000F9290000FA290000FB290000DF
-:10A7F000FC290000FD290000FE290000FF290000BF
-:10A80000002A0000012A0000022A0000032A00009A
-:10A81000042A0000052A0000062A0000072A00007A
-:10A82000082A0000092A00000A2A00000B2A00005A
-:10A830000C2A00000D2A00000E2A00000F2A00003A
-:10A84000102A0000112A0000122A0000132A00001A
-:10A85000142A0000152A0000162A0000172A0000FA
-:10A86000182A0000192A00001A2A00001B2A0000DA
-:10A870001C2A00001D2A00001E2A00001F2A0000BA
-:10A88000202A0000212A0000222A0000232A00009A
-:10A89000242A0000252A0000262A0000272A00007A
-:10A8A000282A0000292A00002A2A00002B2A00005A
-:10A8B0002C2A00002D2A00002E2A00002F2A00003A
-:10A8C000302A0000312A0000322A0000332A00001A
-:10A8D000342A0000352A0000362A0000372A0000FA
-:10A8E000382A0000392A00003A2A00003B2A0000DA
-:10A8F0003C2A00003D2A00003E2A00003F2A0000BA
-:10A90000402A0000412A0000422A0000432A000099
-:10A91000442A0000452A0000462A0000472A000079
-:10A92000482A0000492A00004A2A00004B2A000059
-:10A930004C2A00004D2A00004E2A00004F2A000039
-:10A94000502A0000512A0000522A0000532A000019
-:10A95000542A0000552A0000562A0000572A0000F9
-:10A96000582A0000592A00005A2A00005B2A0000D9
-:10A970005C2A00005D2A00005E2A00005F2A0000B9
-:10A98000602A0000612A0000622A0000632A000099
-:10A99000642A0000652A0000662A0000672A000079
-:10A9A000682A0000692A00006A2A00006B2A000059
-:10A9B0006C2A00006D2A00006E2A00006F2A000039
-:10A9C000702A0000712A0000722A0000732A000019
-:10A9D000742A0000752A0000762A0000772A0000F9
-:10A9E000782A0000792A00007A2A00007B2A0000D9
-:10A9F0007C2A00007D2A00007E2A00007F2A0000B9
-:10AA0000802A0000812A0000822A0000832A000098
-:10AA1000842A0000852A0000862A0000872A000078
-:10AA2000882A0000892A00008A2A00008B2A000058
-:10AA30008C2A00008D2A00008E2A00008F2A000038
-:10AA4000902A0000912A0000922A0000932A000018
-:10AA5000942A0000952A0000962A0000972A0000F8
-:10AA6000982A0000992A00009A2A00009B2A0000D8
-:10AA70009C2A00009D2A00009E2A00009F2A0000B8
-:10AA8000A02A0000A12A0000A22A0000A32A000098
-:10AA9000A42A0000A52A0000A62A0000A72A000078
-:10AAA000A82A0000A92A0000AA2A0000AB2A000058
-:10AAB000AC2A0000AD2A0000AE2A0000AF2A000038
-:10AAC000B02A0000B12A0000B22A0000B32A000018
-:10AAD000B42A0000B52A0000B62A0000B72A0000F8
-:10AAE000B82A0000B92A0000BA2A0000BB2A0000D8
-:10AAF000BC2A0000BD2A0000BE2A0000BF2A0000B8
-:10AB0000C02A0000C12A0000C22A0000C32A000097
-:10AB1000C42A0000C52A0000C62A0000C72A000077
-:10AB2000C82A0000C92A0000CA2A0000CB2A000057
-:10AB3000CC2A0000CD2A0000CE2A0000CF2A000037
-:10AB4000D02A0000D12A0000D22A0000D32A000017
-:10AB5000D42A0000D52A0000D62A0000D72A0000F7
-:10AB6000D82A0000D92A0000DA2A0000DB2A0000D7
-:10AB7000DC2A0000DD2A0000DE2A0000DF2A0000B7
-:10AB8000E02A0000E12A0000E22A0000E32A000097
-:10AB9000E42A0000E52A0000E62A0000E72A000077
-:10ABA000E82A0000E92A0000EA2A0000EB2A000057
-:10ABB000EC2A0000ED2A0000EE2A0000EF2A000037
-:10ABC000F02A0000F12A0000F22A0000F32A000017
-:10ABD000F42A0000F52A0000F62A0000F72A0000F7
-:10ABE000F82A0000F92A0000FA2A0000FB2A0000D7
-:10ABF000FC2A0000FD2A0000FE2A0000FF2A0000B7
-:10AC0000002B0000012B0000022B0000032B000092
-:10AC1000042B0000052B0000062B0000072B000072
-:10AC2000082B0000092B00000A2B00000B2B000052
-:10AC30000C2B00000D2B00000E2B00000F2B000032
-:10AC4000102B0000112B0000122B0000132B000012
-:10AC5000142B0000152B0000162B0000172B0000F2
-:10AC6000182B0000192B00001A2B00001B2B0000D2
-:10AC70001C2B00001D2B00001E2B00001F2B0000B2
-:10AC8000202B0000212B0000222B0000232B000092
-:10AC9000242B0000252B0000262B0000272B000072
-:10ACA000282B0000292B00002A2B00002B2B000052
-:10ACB0002C2B00002D2B00002E2B00002F2B000032
-:10ACC000302B0000312B0000322B0000332B000012
-:10ACD000342B0000352B0000362B0000372B0000F2
-:10ACE000382B0000392B00003A2B00003B2B0000D2
-:10ACF0003C2B00003D2B00003E2B00003F2B0000B2
-:10AD0000402B0000412B0000422B0000432B000091
-:10AD1000442B0000452B0000462B0000472B000071
-:10AD2000482B0000492B00004A2B00004B2B000051
-:10AD30004C2B00004D2B00004E2B00004F2B000031
-:10AD4000502B0000512B0000522B0000532B000011
-:10AD5000542B0000552B0000562B0000572B0000F1
-:10AD6000582B0000592B00005A2B00005B2B0000D1
-:10AD70005C2B00005D2B00005E2B00005F2B0000B1
-:10AD8000602B0000612B0000622B0000632B000091
-:10AD9000642B0000652B0000662B0000672B000071
-:10ADA000682B0000692B00006A2B00006B2B000051
-:10ADB0006C2B00006D2B00006E2B00006F2B000031
-:10ADC000702B0000712B0000722B0000732B000011
-:10ADD000742B0000752B0000762B0000772B0000F1
-:10ADE000782B0000792B00007A2B00007B2B0000D1
-:10ADF0007C2B00007D2B00007E2B00007F2B0000B1
-:10AE0000802B0000812B0000822B0000832B000090
-:10AE1000842B0000852B0000862B0000872B000070
-:10AE2000882B0000892B00008A2B00008B2B000050
-:10AE30008C2B00008D2B00008E2B00008F2B000030
-:10AE4000902B0000912B0000922B0000932B000010
-:10AE5000942B0000952B0000962B0000972B0000F0
-:10AE6000982B0000992B00009A2B00009B2B0000D0
-:10AE70009C2B00009D2B00009E2B00009F2B0000B0
-:10AE8000A02B0000A12B0000A22B0000A32B000090
-:10AE9000A42B0000A52B0000A62B0000A72B000070
-:10AEA000A82B0000A92B0000AA2B0000AB2B000050
-:10AEB000AC2B0000AD2B0000AE2B0000AF2B000030
-:10AEC000B02B0000B12B0000B22B0000B32B000010
-:10AED000B42B0000B52B0000B62B0000B72B0000F0
-:10AEE000B82B0000B92B0000BA2B0000BB2B0000D0
-:10AEF000BC2B0000BD2B0000BE2B0000BF2B0000B0
-:10AF0000C02B0000C12B0000C22B0000C32B00008F
-:10AF1000C42B0000C52B0000C62B0000C72B00006F
-:10AF2000C82B0000C92B0000CA2B0000CB2B00004F
-:10AF3000CC2B0000CD2B0000CE2B0000CF2B00002F
-:10AF4000D02B0000D12B0000D22B0000D32B00000F
-:10AF5000D42B0000D52B0000D62B0000D72B0000EF
-:10AF6000D82B0000D92B0000DA2B0000DB2B0000CF
-:10AF7000DC2B0000DD2B0000DE2B0000DF2B0000AF
-:10AF8000E02B0000E12B0000E22B0000E32B00008F
-:10AF9000E42B0000E52B0000E62B0000E72B00006F
-:10AFA000E82B0000E92B0000EA2B0000EB2B00004F
-:10AFB000EC2B0000ED2B0000EE2B0000EF2B00002F
-:10AFC000F02B0000F12B0000F22B0000F32B00000F
-:10AFD000F42B0000F52B0000F62B0000F72B0000EF
-:10AFE000F82B0000F92B0000FA2B0000FB2B0000CF
-:10AFF000FC2B0000FD2B0000FE2B0000FF2B0000AF
-:10B00000002C0000012C0000022C0000032C00008A
-:10B01000042C0000052C0000062C0000072C00006A
-:10B02000082C0000092C00000A2C00000B2C00004A
-:10B030000C2C00000D2C00000E2C00000F2C00002A
-:10B04000102C0000112C0000122C0000132C00000A
-:10B05000142C0000152C0000162C0000172C0000EA
-:10B06000182C0000192C00001A2C00001B2C0000CA
-:10B070001C2C00001D2C00001E2C00001F2C0000AA
-:10B08000202C0000212C0000222C0000232C00008A
-:10B09000242C0000252C0000262C0000272C00006A
-:10B0A000282C0000292C00002A2C00002B2C00004A
-:10B0B0002C2C00002D2C00002E2C00002F2C00002A
-:10B0C000302C0000312C0000322C0000332C00000A
-:10B0D000342C0000352C0000362C0000372C0000EA
-:10B0E000382C0000392C00003A2C00003B2C0000CA
-:10B0F0003C2C00003D2C00003E2C00003F2C0000AA
-:10B10000402C0000412C0000422C0000432C000089
-:10B11000442C0000452C0000462C0000472C000069
-:10B12000482C0000492C00004A2C00004B2C000049
-:10B130004C2C00004D2C00004E2C00004F2C000029
-:10B14000502C0000512C0000522C0000532C000009
-:10B15000542C0000552C0000562C0000572C0000E9
-:10B16000582C0000592C00005A2C00005B2C0000C9
-:10B170005C2C00005D2C00005E2C00005F2C0000A9
-:10B18000602C0000612C0000622C0000632C000089
-:10B19000642C0000652C0000662C0000672C000069
-:10B1A000682C0000692C00006A2C00006B2C000049
-:10B1B0006C2C00006D2C00006E2C00006F2C000029
-:10B1C000702C0000712C0000722C0000732C000009
-:10B1D000742C0000752C0000762C0000772C0000E9
-:10B1E000782C0000792C00007A2C00007B2C0000C9
-:10B1F0007C2C00007D2C00007E2C00007F2C0000A9
-:10B20000802C0000812C0000822C0000832C000088
-:10B21000842C0000852C0000862C0000872C000068
-:10B22000882C0000892C00008A2C00008B2C000048
-:10B230008C2C00008D2C00008E2C00008F2C000028
-:10B24000902C0000912C0000922C0000932C000008
-:10B25000942C0000952C0000962C0000972C0000E8
-:10B26000982C0000992C00009A2C00009B2C0000C8
-:10B270009C2C00009D2C00009E2C00009F2C0000A8
-:10B28000A02C0000A12C0000A22C0000A32C000088
-:10B29000A42C0000A52C0000A62C0000A72C000068
-:10B2A000A82C0000A92C0000AA2C0000AB2C000048
-:10B2B000AC2C0000AD2C0000AE2C0000AF2C000028
-:10B2C000B02C0000B12C0000B22C0000B32C000008
-:10B2D000B42C0000B52C0000B62C0000B72C0000E8
-:10B2E000B82C0000B92C0000BA2C0000BB2C0000C8
-:10B2F000BC2C0000BD2C0000BE2C0000BF2C0000A8
-:10B30000C02C0000C12C0000C22C0000C32C000087
-:10B31000C42C0000C52C0000C62C0000C72C000067
-:10B32000C82C0000C92C0000CA2C0000CB2C000047
-:10B33000CC2C0000CD2C0000CE2C0000CF2C000027
-:10B34000D02C0000D12C0000D22C0000D32C000007
-:10B35000D42C0000D52C0000D62C0000D72C0000E7
-:10B36000D82C0000D92C0000DA2C0000DB2C0000C7
-:10B37000DC2C0000DD2C0000DE2C0000DF2C0000A7
-:10B38000E02C0000E12C0000E22C0000E32C000087
-:10B39000E42C0000E52C0000E62C0000E72C000067
-:10B3A000E82C0000E92C0000EA2C0000EB2C000047
-:10B3B000EC2C0000ED2C0000EE2C0000EF2C000027
-:10B3C000F02C0000F12C0000F22C0000F32C000007
-:10B3D000F42C0000F52C0000F62C0000F72C0000E7
-:10B3E000F82C0000F92C0000FA2C0000FB2C0000C7
-:10B3F000FC2C0000FD2C0000FE2C0000FF2C0000A7
-:10B40000002D0000012D0000022D0000032D000082
-:10B41000042D0000052D0000062D0000072D000062
-:10B42000082D0000092D00000A2D00000B2D000042
-:10B430000C2D00000D2D00000E2D00000F2D000022
-:10B44000102D0000112D0000122D0000132D000002
-:10B45000142D0000152D0000162D0000172D0000E2
-:10B46000182D0000192D00001A2D00001B2D0000C2
-:10B470001C2D00001D2D00001E2D00001F2D0000A2
-:10B48000202D0000212D0000222D0000232D000082
-:10B49000242D0000252D0000262D0000272D000062
-:10B4A000282D0000292D00002A2D00002B2D000042
-:10B4B0002C2D00002D2D00002E2D00002F2D000022
-:10B4C000302D0000312D0000322D0000332D000002
-:10B4D000342D0000352D0000362D0000372D0000E2
-:10B4E000382D0000392D00003A2D00003B2D0000C2
-:10B4F0003C2D00003D2D00003E2D00003F2D0000A2
-:10B50000402D0000412D0000422D0000432D000081
-:10B51000442D0000452D0000462D0000472D000061
-:10B52000482D0000492D00004A2D00004B2D000041
-:10B530004C2D00004D2D00004E2D00004F2D000021
-:10B54000502D0000512D0000522D0000532D000001
-:10B55000542D0000552D0000562D0000572D0000E1
-:10B56000582D0000592D00005A2D00005B2D0000C1
-:10B570005C2D00005D2D00005E2D00005F2D0000A1
-:10B58000602D0000612D0000622D0000632D000081
-:10B59000642D0000652D0000662D0000672D000061
-:10B5A000682D0000692D00006A2D00006B2D000041
-:10B5B0006C2D00006D2D00006E2D00006F2D000021
-:10B5C000702D0000712D0000722D0000732D000001
-:10B5D000742D0000752D0000762D0000772D0000E1
-:10B5E000782D0000792D00007A2D00007B2D0000C1
-:10B5F0007C2D00007D2D00007E2D00007F2D0000A1
-:10B60000802D0000812D0000822D0000832D000080
-:10B61000842D0000852D0000862D0000872D000060
-:10B62000882D0000892D00008A2D00008B2D000040
-:10B630008C2D00008D2D00008E2D00008F2D000020
-:10B64000902D0000912D0000922D0000932D000000
-:10B65000942D0000952D0000962D0000972D0000E0
-:10B66000982D0000992D00009A2D00009B2D0000C0
-:10B670009C2D00009D2D00009E2D00009F2D0000A0
-:10B68000A02D0000A12D0000A22D0000A32D000080
-:10B69000A42D0000A52D0000A62D0000A72D000060
-:10B6A000A82D0000A92D0000AA2D0000AB2D000040
-:10B6B000AC2D0000AD2D0000AE2D0000AF2D000020
-:10B6C000B02D0000B12D0000B22D0000B32D000000
-:10B6D000B42D0000B52D0000B62D0000B72D0000E0
-:10B6E000B82D0000B92D0000BA2D0000BB2D0000C0
-:10B6F000BC2D0000BD2D0000BE2D0000BF2D0000A0
-:10B70000C02D0000C12D0000C22D0000C32D00007F
-:10B71000C42D0000C52D0000C62D0000C72D00005F
-:10B72000C82D0000C92D0000CA2D0000CB2D00003F
-:10B73000CC2D0000CD2D0000CE2D0000CF2D00001F
-:10B74000D02D0000D12D0000D22D0000D32D0000FF
-:10B75000D42D0000D52D0000D62D0000D72D0000DF
-:10B76000D82D0000D92D0000DA2D0000DB2D0000BF
-:10B77000DC2D0000DD2D0000DE2D0000DF2D00009F
-:10B78000E02D0000E12D0000E22D0000E32D00007F
-:10B79000E42D0000E52D0000E62D0000E72D00005F
-:10B7A000E82D0000E92D0000EA2D0000EB2D00003F
-:10B7B000EC2D0000ED2D0000EE2D0000EF2D00001F
-:10B7C000F02D0000F12D0000F22D0000F32D0000FF
-:10B7D000F42D0000F52D0000F62D0000F72D0000DF
-:10B7E000F82D0000F92D0000FA2D0000FB2D0000BF
-:10B7F000FC2D0000FD2D0000FE2D0000FF2D00009F
-:10B80000002E0000012E0000022E0000032E00007A
-:10B81000042E0000052E0000062E0000072E00005A
-:10B82000082E0000092E00000A2E00000B2E00003A
-:10B830000C2E00000D2E00000E2E00000F2E00001A
-:10B84000102E0000112E0000122E0000132E0000FA
-:10B85000142E0000152E0000162E0000172E0000DA
-:10B86000182E0000192E00001A2E00001B2E0000BA
-:10B870001C2E00001D2E00001E2E00001F2E00009A
-:10B88000202E0000212E0000222E0000232E00007A
-:10B89000242E0000252E0000262E0000272E00005A
-:10B8A000282E0000292E00002A2E00002B2E00003A
-:10B8B0002C2E00002D2E00002E2E00002F2E00001A
-:10B8C000302E0000312E0000322E0000332E0000FA
-:10B8D000342E0000352E0000362E0000372E0000DA
-:10B8E000382E0000392E00003A2E00003B2E0000BA
-:10B8F0003C2E00003D2E00003E2E00003F2E00009A
-:10B90000402E0000412E0000422E0000432E000079
-:10B91000442E0000452E0000462E0000472E000059
-:10B92000482E0000492E00004A2E00004B2E000039
-:10B930004C2E00004D2E00004E2E00004F2E000019
-:10B94000502E0000512E0000522E0000532E0000F9
-:10B95000542E0000552E0000562E0000572E0000D9
-:10B96000582E0000592E00005A2E00005B2E0000B9
-:10B970005C2E00005D2E00005E2E00005F2E000099
-:10B98000602E0000612E0000622E0000632E000079
-:10B99000642E0000652E0000662E0000672E000059
-:10B9A000682E0000692E00006A2E00006B2E000039
-:10B9B0006C2E00006D2E00006E2E00006F2E000019
-:10B9C000702E0000712E0000722E0000732E0000F9
-:10B9D000742E0000752E0000762E0000772E0000D9
-:10B9E000782E0000792E00007A2E00007B2E0000B9
-:10B9F0007C2E00007D2E00007E2E00007F2E000099
-:10BA0000802E0000812E0000822E0000832E000078
-:10BA1000842E0000852E0000862E0000872E000058
-:10BA2000882E0000892E00008A2E00008B2E000038
-:10BA30008C2E00008D2E00008E2E00008F2E000018
-:10BA4000902E0000912E0000922E0000932E0000F8
-:10BA5000942E0000952E0000962E0000972E0000D8
-:10BA6000982E0000992E00009A2E00009B2E0000B8
-:10BA70009C2E00009D2E00009E2E00009F2E000098
-:10BA8000A02E0000A12E0000A22E0000A32E000078
-:10BA9000A42E0000A52E0000A62E0000A72E000058
-:10BAA000A82E0000A92E0000AA2E0000AB2E000038
-:10BAB000AC2E0000AD2E0000AE2E0000AF2E000018
-:10BAC000B02E0000B12E0000B22E0000B32E0000F8
-:10BAD000B42E0000B52E0000B62E0000B72E0000D8
-:10BAE000B82E0000B92E0000BA2E0000BB2E0000B8
-:10BAF000BC2E0000BD2E0000BE2E0000BF2E000098
-:10BB0000C02E0000C12E0000C22E0000C32E000077
-:10BB1000C42E0000C52E0000C62E0000C72E000057
-:10BB2000C82E0000C92E0000CA2E0000CB2E000037
-:10BB3000CC2E0000CD2E0000CE2E0000CF2E000017
-:10BB4000D02E0000D12E0000D22E0000D32E0000F7
-:10BB5000D42E0000D52E0000D62E0000D72E0000D7
-:10BB6000D82E0000D92E0000DA2E0000DB2E0000B7
-:10BB7000DC2E0000DD2E0000DE2E0000DF2E000097
-:10BB8000E02E0000E12E0000E22E0000E32E000077
-:10BB9000E42E0000E52E0000E62E0000E72E000057
-:10BBA000E82E0000E92E0000EA2E0000EB2E000037
-:10BBB000EC2E0000ED2E0000EE2E0000EF2E000017
-:10BBC000F02E0000F12E0000F22E0000F32E0000F7
-:10BBD000F42E0000F52E0000F62E0000F72E0000D7
-:10BBE000F82E0000F92E0000FA2E0000FB2E0000B7
-:10BBF000FC2E0000FD2E0000FE2E0000FF2E000097
-:10BC0000002F0000012F0000022F0000032F000072
-:10BC1000042F0000052F0000062F0000072F000052
-:10BC2000082F0000092F00000A2F00000B2F000032
-:10BC30000C2F00000D2F00000E2F00000F2F000012
-:10BC4000102F0000112F0000122F0000132F0000F2
-:10BC5000142F0000152F0000162F0000172F0000D2
-:10BC6000182F0000192F00001A2F00001B2F0000B2
-:10BC70001C2F00001D2F00001E2F00001F2F000092
-:10BC8000202F0000212F0000222F0000232F000072
-:10BC9000242F0000252F0000262F0000272F000052
-:10BCA000282F0000292F00002A2F00002B2F000032
-:10BCB0002C2F00002D2F00002E2F00002F2F000012
-:10BCC000302F0000312F0000322F0000332F0000F2
-:10BCD000342F0000352F0000362F0000372F0000D2
-:10BCE000382F0000392F00003A2F00003B2F0000B2
-:10BCF0003C2F00003D2F00003E2F00003F2F000092
-:10BD0000402F0000412F0000422F0000432F000071
-:10BD1000442F0000452F0000462F0000472F000051
-:10BD2000482F0000492F00004A2F00004B2F000031
-:10BD30004C2F00004D2F00004E2F00004F2F000011
-:10BD4000502F0000512F0000522F0000532F0000F1
-:10BD5000542F0000552F0000562F0000572F0000D1
-:10BD6000582F0000592F00005A2F00005B2F0000B1
-:10BD70005C2F00005D2F00005E2F00005F2F000091
-:10BD8000602F0000612F0000622F0000632F000071
-:10BD9000642F0000652F0000662F0000672F000051
-:10BDA000682F0000692F00006A2F00006B2F000031
-:10BDB0006C2F00006D2F00006E2F00006F2F000011
-:10BDC000702F0000712F0000722F0000732F0000F1
-:10BDD000742F0000752F0000762F0000772F0000D1
-:10BDE000782F0000792F00007A2F00007B2F0000B1
-:10BDF0007C2F00007D2F00007E2F00007F2F000091
-:10BE0000802F0000812F0000822F0000832F000070
-:10BE1000842F0000852F0000862F0000872F000050
-:10BE2000882F0000892F00008A2F00008B2F000030
-:10BE30008C2F00008D2F00008E2F00008F2F000010
-:10BE4000902F0000912F0000922F0000932F0000F0
-:10BE5000942F0000952F0000962F0000972F0000D0
-:10BE6000982F0000992F00009A2F00009B2F0000B0
-:10BE70009C2F00009D2F00009E2F00009F2F000090
-:10BE8000A02F0000A12F0000A22F0000A32F000070
-:10BE9000A42F0000A52F0000A62F0000A72F000050
-:10BEA000A82F0000A92F0000AA2F0000AB2F000030
-:10BEB000AC2F0000AD2F0000AE2F0000AF2F000010
-:10BEC000B02F0000B12F0000B22F0000B32F0000F0
-:10BED000B42F0000B52F0000B62F0000B72F0000D0
-:10BEE000B82F0000B92F0000BA2F0000BB2F0000B0
-:10BEF000BC2F0000BD2F0000BE2F0000BF2F000090
-:10BF0000C02F0000C12F0000C22F0000C32F00006F
-:10BF1000C42F0000C52F0000C62F0000C72F00004F
-:10BF2000C82F0000C92F0000CA2F0000CB2F00002F
-:10BF3000CC2F0000CD2F0000CE2F0000CF2F00000F
-:10BF4000D02F0000D12F0000D22F0000D32F0000EF
-:10BF5000D42F0000D52F0000D62F0000D72F0000CF
-:10BF6000D82F0000D92F0000DA2F0000DB2F0000AF
-:10BF7000DC2F0000DD2F0000DE2F0000DF2F00008F
-:10BF8000E02F0000E12F0000E22F0000E32F00006F
-:10BF9000E42F0000E52F0000E62F0000E72F00004F
-:10BFA000E82F0000E92F0000EA2F0000EB2F00002F
-:10BFB000EC2F0000ED2F0000EE2F0000EF2F00000F
-:10BFC000F02F0000F12F0000F22F0000F32F0000EF
-:10BFD000F42F0000F52F0000F62F0000F72F0000CF
-:10BFE000F82F0000F92F0000FA2F0000FB2F0000AF
-:10BFF000FC2F0000FD2F0000FE2F0000FF2F00008F
-:10C00000003000000130000002300000033000006A
-:10C01000043000000530000006300000073000004A
-:10C0200008300000093000000A3000000B3000002A
-:10C030000C3000000D3000000E3000000F3000000A
-:10C0400010300000113000001230000013300000EA
-:10C0500014300000153000001630000017300000CA
-:10C0600018300000193000001A3000001B300000AA
-:10C070001C3000001D3000001E3000001F3000008A
-:10C08000203000002130000022300000233000006A
-:10C09000243000002530000026300000273000004A
-:10C0A00028300000293000002A3000002B3000002A
-:10C0B0002C3000002D3000002E3000002F3000000A
-:10C0C00030300000313000003230000033300000EA
-:10C0D00034300000353000003630000037300000CA
-:10C0E00038300000393000003A3000003B300000AA
-:10C0F0003C3000003D3000003E3000003F3000008A
-:10C100004030000041300000423000004330000069
-:10C110004430000045300000463000004730000049
-:10C1200048300000493000004A3000004B30000029
-:10C130004C3000004D3000004E3000004F30000009
-:10C1400050300000513000005230000053300000E9
-:10C1500054300000553000005630000057300000C9
-:10C1600058300000593000005A3000005B300000A9
-:10C170005C3000005D3000005E3000005F30000089
-:10C180006030000061300000623000006330000069
-:10C190006430000065300000663000006730000049
-:10C1A00068300000693000006A3000006B30000029
-:10C1B0006C3000006D3000006E3000006F30000009
-:10C1C00070300000713000007230000073300000E9
-:10C1D00074300000753000007630000077300000C9
-:10C1E00078300000793000007A3000007B300000A9
-:10C1F0007C3000007D3000007E3000007F30000089
-:10C200008030000081300000823000008330000068
-:10C210008430000085300000863000008730000048
-:10C2200088300000893000008A3000008B30000028
-:10C230008C3000008D3000008E3000008F30000008
-:10C2400090300000913000009230000093300000E8
-:10C2500094300000953000009630000097300000C8
-:10C2600098300000993000009A3000009B300000A8
-:10C270009C3000009D3000009E3000009F30000088
-:10C28000A0300000A1300000A2300000A330000068
-:10C29000A4300000A5300000A6300000A730000048
-:10C2A000A8300000A9300000AA300000AB30000028
-:10C2B000AC300000AD300000AE300000AF30000008
-:10C2C000B0300000B1300000B2300000B3300000E8
-:10C2D000B4300000B5300000B6300000B7300000C8
-:10C2E000B8300000B9300000BA300000BB300000A8
-:10C2F000BC300000BD300000BE300000BF30000088
-:10C30000C0300000C1300000C2300000C330000067
-:10C31000C4300000C5300000C6300000C730000047
-:10C32000C8300000C9300000CA300000CB30000027
-:10C33000CC300000CD300000CE300000CF30000007
-:10C34000D0300000D1300000D2300000D3300000E7
-:10C35000D4300000D5300000D6300000D7300000C7
-:10C36000D8300000D9300000DA300000DB300000A7
-:10C37000DC300000DD300000DE300000DF30000087
-:10C38000E0300000E1300000E2300000E330000067
-:10C39000E4300000E5300000E6300000E730000047
-:10C3A000E8300000E9300000EA300000EB30000027
-:10C3B000EC300000ED300000EE300000EF30000007
-:10C3C000F0300000F1300000F2300000F3300000E7
-:10C3D000F4300000F5300000F6300000F7300000C7
-:10C3E000F8300000F9300000FA300000FB300000A7
-:10C3F000FC300000FD300000FE300000FF30000087
-:10C400000031000001310000023100000331000062
-:10C410000431000005310000063100000731000042
-:10C4200008310000093100000A3100000B31000022
-:10C430000C3100000D3100000E3100000F31000002
-:10C4400010310000113100001231000013310000E2
-:10C4500014310000153100001631000017310000C2
-:10C4600018310000193100001A3100001B310000A2
-:10C470001C3100001D3100001E3100001F31000082
-:10C480002031000021310000223100002331000062
-:10C490002431000025310000263100002731000042
-:10C4A00028310000293100002A3100002B31000022
-:10C4B0002C3100002D3100002E3100002F31000002
-:10C4C00030310000313100003231000033310000E2
-:10C4D00034310000353100003631000037310000C2
-:10C4E00038310000393100003A3100003B310000A2
-:10C4F0003C3100003D3100003E3100003F31000082
-:10C500004031000041310000423100004331000061
-:10C510004431000045310000463100004731000041
-:10C5200048310000493100004A3100004B31000021
-:10C530004C3100004D3100004E3100004F31000001
-:10C5400050310000513100005231000053310000E1
-:10C5500054310000553100005631000057310000C1
-:10C5600058310000593100005A3100005B310000A1
-:10C570005C3100005D3100005E3100005F31000081
-:10C580006031000061310000623100006331000061
-:10C590006431000065310000663100006731000041
-:10C5A00068310000693100006A3100006B31000021
-:10C5B0006C3100006D3100006E3100006F31000001
-:10C5C00070310000713100007231000073310000E1
-:10C5D00074310000753100007631000077310000C1
-:10C5E00078310000793100007A3100007B310000A1
-:10C5F0007C3100007D3100007E3100007F31000081
-:10C600008031000081310000823100008331000060
-:10C610008431000085310000863100008731000040
-:10C6200088310000893100008A3100008B31000020
-:10C630008C3100008D3100008E3100008F31000000
-:10C6400090310000913100009231000093310000E0
-:10C6500094310000953100009631000097310000C0
-:10C6600098310000993100009A3100009B310000A0
-:10C670009C3100009D3100009E3100009F31000080
-:10C68000A0310000A1310000A2310000A331000060
-:10C69000A4310000A5310000A6310000A731000040
-:10C6A000A8310000A9310000AA310000AB31000020
-:10C6B000AC310000AD310000AE310000AF31000000
-:10C6C000B0310000B1310000B2310000B3310000E0
-:10C6D000B4310000B5310000B6310000B7310000C0
-:10C6E000B8310000B9310000BA310000BB310000A0
-:10C6F000BC310000BD310000BE310000BF31000080
-:10C70000C0310000C1310000C2310000C33100005F
-:10C71000C4310000C5310000C6310000C73100003F
-:10C72000C8310000C9310000CA310000CB3100001F
-:10C73000CC310000CD310000CE310000CF310000FF
-:10C74000D0310000D1310000D2310000D3310000DF
-:10C75000D4310000D5310000D6310000D7310000BF
-:10C76000D8310000D9310000DA310000DB3100009F
-:10C77000DC310000DD310000DE310000DF3100007F
-:10C78000E0310000E1310000E2310000E33100005F
-:10C79000E4310000E5310000E6310000E73100003F
-:10C7A000E8310000E9310000EA310000EB3100001F
-:10C7B000EC310000ED310000EE310000EF310000FF
-:10C7C000F0310000F1310000F2310000F3310000DF
-:10C7D000F4310000F5310000F6310000F7310000BF
-:10C7E000F8310000F9310000FA310000FB3100009F
-:10C7F000FC310000FD310000FE310000FF3100007F
-:10C80000003200000132000002320000033200005A
-:10C81000043200000532000006320000073200003A
-:10C8200008320000093200000A3200000B3200001A
-:10C830000C3200000D3200000E3200000F320000FA
-:10C8400010320000113200001232000013320000DA
-:10C8500014320000153200001632000017320000BA
-:10C8600018320000193200001A3200001B3200009A
-:10C870001C3200001D3200001E3200001F3200007A
-:10C88000203200002132000022320000233200005A
-:10C89000243200002532000026320000273200003A
-:10C8A00028320000293200002A3200002B3200001A
-:10C8B0002C3200002D3200002E3200002F320000FA
-:10C8C00030320000313200003232000033320000DA
-:10C8D00034320000353200003632000037320000BA
-:10C8E00038320000393200003A3200003B3200009A
-:10C8F0003C3200003D3200003E3200003F3200007A
-:10C900004032000041320000423200004332000059
-:10C910004432000045320000463200004732000039
-:10C9200048320000493200004A3200004B32000019
-:10C930004C3200004D3200004E3200004F320000F9
-:10C9400050320000513200005232000053320000D9
-:10C9500054320000553200005632000057320000B9
-:10C9600058320000593200005A3200005B32000099
-:10C970005C3200005D3200005E3200005F32000079
-:10C980006032000061320000623200006332000059
-:10C990006432000065320000663200006732000039
-:10C9A00068320000693200006A3200006B32000019
-:10C9B0006C3200006D3200006E3200006F320000F9
-:10C9C00070320000713200007232000073320000D9
-:10C9D00074320000753200007632000077320000B9
-:10C9E00078320000793200007A3200007B32000099
-:10C9F0007C3200007D3200007E3200007F32000079
-:10CA00008032000081320000823200008332000058
-:10CA10008432000085320000863200008732000038
-:10CA200088320000893200008A3200008B32000018
-:10CA30008C3200008D3200008E3200008F320000F8
-:10CA400090320000913200009232000093320000D8
-:10CA500094320000953200009632000097320000B8
-:10CA600098320000993200009A3200009B32000098
-:10CA70009C3200009D3200009E3200009F32000078
-:10CA8000A0320000A1320000A2320000A332000058
-:10CA9000A4320000A5320000A6320000A732000038
-:10CAA000A8320000A9320000AA320000AB32000018
-:10CAB000AC320000AD320000AE320000AF320000F8
-:10CAC000B0320000B1320000B2320000B3320000D8
-:10CAD000B4320000B5320000B6320000B7320000B8
-:10CAE000B8320000B9320000BA320000BB32000098
-:10CAF000BC320000BD320000BE320000BF32000078
-:10CB0000C0320000C1320000C2320000C332000057
-:10CB1000C4320000C5320000C6320000C732000037
-:10CB2000C8320000C9320000CA320000CB32000017
-:10CB3000CC320000CD320000CE320000CF320000F7
-:10CB4000D0320000D1320000D2320000D3320000D7
-:10CB5000D4320000D5320000D6320000D7320000B7
-:10CB6000D8320000D9320000DA320000DB32000097
-:10CB7000DC320000DD320000DE320000DF32000077
-:10CB8000E0320000E1320000E2320000E332000057
-:10CB9000E4320000E5320000E6320000E732000037
-:10CBA000E8320000E9320000EA320000EB32000017
-:10CBB000EC320000ED320000EE320000EF320000F7
-:10CBC000F0320000F1320000F2320000F3320000D7
-:10CBD000F4320000F5320000F6320000F7320000B7
-:10CBE000F8320000F9320000FA320000FB32000097
-:10CBF000FC320000FD320000FE320000FF32000077
-:10CC00000033000001330000023300000333000052
-:10CC10000433000005330000063300000733000032
-:10CC200008330000093300000A3300000B33000012
-:10CC30000C3300000D3300000E3300000F330000F2
-:10CC400010330000113300001233000013330000D2
-:10CC500014330000153300001633000017330000B2
-:10CC600018330000193300001A3300001B33000092
-:10CC70001C3300001D3300001E3300001F33000072
-:10CC80002033000021330000223300002333000052
-:10CC90002433000025330000263300002733000032
-:10CCA00028330000293300002A3300002B33000012
-:10CCB0002C3300002D3300002E3300002F330000F2
-:10CCC00030330000313300003233000033330000D2
-:10CCD00034330000353300003633000037330000B2
-:10CCE00038330000393300003A3300003B33000092
-:10CCF0003C3300003D3300003E3300003F33000072
-:10CD00004033000041330000423300004333000051
-:10CD10004433000045330000463300004733000031
-:10CD200048330000493300004A3300004B33000011
-:10CD30004C3300004D3300004E3300004F330000F1
-:10CD400050330000513300005233000053330000D1
-:10CD500054330000553300005633000057330000B1
-:10CD600058330000593300005A3300005B33000091
-:10CD70005C3300005D3300005E3300005F33000071
-:10CD80006033000061330000623300006333000051
-:10CD90006433000065330000663300006733000031
-:10CDA00068330000693300006A3300006B33000011
-:10CDB0006C3300006D3300006E3300006F330000F1
-:10CDC00070330000713300007233000073330000D1
-:10CDD00074330000753300007633000077330000B1
-:10CDE00078330000793300007A3300007B33000091
-:10CDF0007C3300007D3300007E3300007F33000071
-:10CE00008033000081330000823300008333000050
-:10CE10008433000085330000863300008733000030
-:10CE200088330000893300008A3300008B33000010
-:10CE30008C3300008D3300008E3300008F330000F0
-:10CE400090330000913300009233000093330000D0
-:10CE500094330000953300009633000097330000B0
-:10CE600098330000993300009A3300009B33000090
-:10CE70009C3300009D3300009E3300009F33000070
-:10CE8000A0330000A1330000A2330000A333000050
-:10CE9000A4330000A5330000A6330000A733000030
-:10CEA000A8330000A9330000AA330000AB33000010
-:10CEB000AC330000AD330000AE330000AF330000F0
-:10CEC000B0330000B1330000B2330000B3330000D0
-:10CED000B4330000B5330000B6330000B7330000B0
-:10CEE000B8330000B9330000BA330000BB33000090
-:10CEF000BC330000BD330000BE330000BF33000070
-:10CF0000C0330000C1330000C2330000C33300004F
-:10CF1000C4330000C5330000C6330000C73300002F
-:10CF2000C8330000C9330000CA330000CB3300000F
-:10CF3000CC330000CD330000CE330000CF330000EF
-:10CF4000D0330000D1330000D2330000D3330000CF
-:10CF5000D4330000D5330000D6330000D7330000AF
-:10CF6000D8330000D9330000DA330000DB3300008F
-:10CF7000DC330000DD330000DE330000DF3300006F
-:10CF8000E0330000E1330000E2330000E33300004F
-:10CF9000E4330000E5330000E6330000E73300002F
-:10CFA000E8330000E9330000EA330000EB3300000F
-:10CFB000EC330000ED330000EE330000EF330000EF
-:10CFC000F0330000F1330000F2330000F3330000CF
-:10CFD000F4330000F5330000F6330000F7330000AF
-:10CFE000F8330000F9330000FA330000FB3300008F
-:10CFF000FC330000FD330000FE330000FF3300006F
-:10D00000003400000134000002340000033400004A
-:10D01000043400000534000006340000073400002A
-:10D0200008340000093400000A3400000B3400000A
-:10D030000C3400000D3400000E3400000F340000EA
-:10D0400010340000113400001234000013340000CA
-:10D0500014340000153400001634000017340000AA
-:10D0600018340000193400001A3400001B3400008A
-:10D070001C3400001D3400001E3400001F3400006A
-:10D08000203400002134000022340000233400004A
-:10D09000243400002534000026340000273400002A
-:10D0A00028340000293400002A3400002B3400000A
-:10D0B0002C3400002D3400002E3400002F340000EA
-:10D0C00030340000313400003234000033340000CA
-:10D0D00034340000353400003634000037340000AA
-:10D0E00038340000393400003A3400003B3400008A
-:10D0F0003C3400003D3400003E3400003F3400006A
-:10D100004034000041340000423400004334000049
-:10D110004434000045340000463400004734000029
-:10D1200048340000493400004A3400004B34000009
-:10D130004C3400004D3400004E3400004F340000E9
-:10D1400050340000513400005234000053340000C9
-:10D1500054340000553400005634000057340000A9
-:10D1600058340000593400005A3400005B34000089
-:10D170005C3400005D3400005E3400005F34000069
-:10D180006034000061340000623400006334000049
-:10D190006434000065340000663400006734000029
-:10D1A00068340000693400006A3400006B34000009
-:10D1B0006C3400006D3400006E3400006F340000E9
-:10D1C00070340000713400007234000073340000C9
-:10D1D00074340000753400007634000077340000A9
-:10D1E00078340000793400007A3400007B34000089
-:10D1F0007C3400007D3400007E3400007F34000069
-:10D200008034000081340000823400008334000048
-:10D210008434000085340000863400008734000028
-:10D2200088340000893400008A3400008B34000008
-:10D230008C3400008D3400008E3400008F340000E8
-:10D2400090340000913400009234000093340000C8
-:10D2500094340000953400009634000097340000A8
-:10D2600098340000993400009A3400009B34000088
-:10D270009C3400009D3400009E3400009F34000068
-:10D28000A0340000A1340000A2340000A334000048
-:10D29000A4340000A5340000A6340000A734000028
-:10D2A000A8340000A9340000AA340000AB34000008
-:10D2B000AC340000AD340000AE340000AF340000E8
-:10D2C000B0340000B1340000B2340000B3340000C8
-:10D2D000B4340000B5340000B6340000B7340000A8
-:10D2E000B8340000B9340000BA340000BB34000088
-:10D2F000BC340000BD340000BE340000BF34000068
-:10D30000C0340000C1340000C2340000C334000047
-:10D31000C4340000C5340000C6340000C734000027
-:10D32000C8340000C9340000CA340000CB34000007
-:10D33000CC340000CD340000CE340000CF340000E7
-:10D34000D0340000D1340000D2340000D3340000C7
-:10D35000D4340000D5340000D6340000D7340000A7
-:10D36000D8340000D9340000DA340000DB34000087
-:10D37000DC340000DD340000DE340000DF34000067
-:10D38000E0340000E1340000E2340000E334000047
-:10D39000E4340000E5340000E6340000E734000027
-:10D3A000E8340000E9340000EA340000EB34000007
-:10D3B000EC340000ED340000EE340000EF340000E7
-:10D3C000F0340000F1340000F2340000F3340000C7
-:10D3D000F4340000F5340000F6340000F7340000A7
-:10D3E000F8340000F9340000FA340000FB34000087
-:10D3F000FC340000FD340000FE340000FF34000067
-:10D400000035000001350000023500000335000042
-:10D410000435000005350000063500000735000022
-:10D4200008350000093500000A3500000B35000002
-:10D430000C3500000D3500000E3500000F350000E2
-:10D4400010350000113500001235000013350000C2
-:10D4500014350000153500001635000017350000A2
-:10D4600018350000193500001A3500001B35000082
-:10D470001C3500001D3500001E3500001F35000062
-:10D480002035000021350000223500002335000042
-:10D490002435000025350000263500002735000022
-:10D4A00028350000293500002A3500002B35000002
-:10D4B0002C3500002D3500002E3500002F350000E2
-:10D4C00030350000313500003235000033350000C2
-:10D4D00034350000353500003635000037350000A2
-:10D4E00038350000393500003A3500003B35000082
-:10D4F0003C3500003D3500003E3500003F35000062
-:10D500004035000041350000423500004335000041
-:10D510004435000045350000463500004735000021
-:10D5200048350000493500004A3500004B35000001
-:10D530004C3500004D3500004E3500004F350000E1
-:10D5400050350000513500005235000053350000C1
-:10D5500054350000553500005635000057350000A1
-:10D5600058350000593500005A3500005B35000081
-:10D570005C3500005D3500005E3500005F35000061
-:10D580006035000061350000623500006335000041
-:10D590006435000065350000663500006735000021
-:10D5A00068350000693500006A3500006B35000001
-:10D5B0006C3500006D3500006E3500006F350000E1
-:10D5C00070350000713500007235000073350000C1
-:10D5D00074350000753500007635000077350000A1
-:10D5E00078350000793500007A3500007B35000081
-:10D5F0007C3500007D3500007E3500007F35000061
-:10D600008035000081350000823500008335000040
-:10D610008435000085350000863500008735000020
-:10D6200088350000893500008A3500008B35000000
-:10D630008C3500008D3500008E3500008F350000E0
-:10D6400090350000913500009235000093350000C0
-:10D6500094350000953500009635000097350000A0
-:10D6600098350000993500009A3500009B35000080
-:10D670009C3500009D3500009E3500009F35000060
-:10D68000A0350000A1350000A2350000A335000040
-:10D69000A4350000A5350000A6350000A735000020
-:10D6A000A8350000A9350000AA350000AB35000000
-:10D6B000AC350000AD350000AE350000AF350000E0
-:10D6C000B0350000B1350000B2350000B3350000C0
-:10D6D000B4350000B5350000B6350000B7350000A0
-:10D6E000B8350000B9350000BA350000BB35000080
-:10D6F000BC350000BD350000BE350000BF35000060
-:10D70000C0350000C1350000C2350000C33500003F
-:10D71000C4350000C5350000C6350000C73500001F
-:10D72000C8350000C9350000CA350000CB350000FF
-:10D73000CC350000CD350000CE350000CF350000DF
-:10D74000D0350000D1350000D2350000D3350000BF
-:10D75000D4350000D5350000D6350000D73500009F
-:10D76000D8350000D9350000DA350000DB3500007F
-:10D77000DC350000DD350000DE350000DF3500005F
-:10D78000E0350000E1350000E2350000E33500003F
-:10D79000E4350000E5350000E6350000E73500001F
-:10D7A000E8350000E9350000EA350000EB350000FF
-:10D7B000EC350000ED350000EE350000EF350000DF
-:10D7C000F0350000F1350000F2350000F3350000BF
-:10D7D000F4350000F5350000F6350000F73500009F
-:10D7E000F8350000F9350000FA350000FB3500007F
-:10D7F000FC350000FD350000FE350000FF3500005F
-:10D80000003600000136000002360000033600003A
-:10D81000043600000536000006360000073600001A
-:10D8200008360000093600000A3600000B360000FA
-:10D830000C3600000D3600000E3600000F360000DA
-:10D8400010360000113600001236000013360000BA
-:10D85000143600001536000016360000173600009A
-:10D8600018360000193600001A3600001B3600007A
-:10D870001C3600001D3600001E3600001F3600005A
-:10D88000203600002136000022360000233600003A
-:10D89000243600002536000026360000273600001A
-:10D8A00028360000293600002A3600002B360000FA
-:10D8B0002C3600002D3600002E3600002F360000DA
-:10D8C00030360000313600003236000033360000BA
-:10D8D000343600003536000036360000373600009A
-:10D8E00038360000393600003A3600003B3600007A
-:10D8F0003C3600003D3600003E3600003F3600005A
-:10D900004036000041360000423600004336000039
-:10D910004436000045360000463600004736000019
-:10D9200048360000493600004A3600004B360000F9
-:10D930004C3600004D3600004E3600004F360000D9
-:10D9400050360000513600005236000053360000B9
-:10D950005436000055360000563600005736000099
-:10D9600058360000593600005A3600005B36000079
-:10D970005C3600005D3600005E3600005F36000059
-:10D980006036000061360000623600006336000039
-:10D990006436000065360000663600006736000019
-:10D9A00068360000693600006A3600006B360000F9
-:10D9B0006C3600006D3600006E3600006F360000D9
-:10D9C00070360000713600007236000073360000B9
-:10D9D0007436000075360000763600007736000099
-:10D9E00078360000793600007A3600007B36000079
-:10D9F0007C3600007D3600007E3600007F36000059
-:10DA00008036000081360000823600008336000038
-:10DA10008436000085360000863600008736000018
-:10DA200088360000893600008A3600008B360000F8
-:10DA30008C3600008D3600008E3600008F360000D8
-:10DA400090360000913600009236000093360000B8
-:10DA50009436000095360000963600009736000098
-:10DA600098360000993600009A3600009B36000078
-:10DA70009C3600009D3600009E3600009F36000058
-:10DA8000A0360000A1360000A2360000A336000038
-:10DA9000A4360000A5360000A6360000A736000018
-:10DAA000A8360000A9360000AA360000AB360000F8
-:10DAB000AC360000AD360000AE360000AF360000D8
-:10DAC000B0360000B1360000B2360000B3360000B8
-:10DAD000B4360000B5360000B6360000B736000098
-:10DAE000B8360000B9360000BA360000BB36000078
-:10DAF000BC360000BD360000BE360000BF36000058
-:10DB0000C0360000C1360000C2360000C336000037
-:10DB1000C4360000C5360000C6360000C736000017
-:10DB2000C8360000C9360000CA360000CB360000F7
-:10DB3000CC360000CD360000CE360000CF360000D7
-:10DB4000D0360000D1360000D2360000D3360000B7
-:10DB5000D4360000D5360000D6360000D736000097
-:10DB6000D8360000D9360000DA360000DB36000077
-:10DB7000DC360000DD360000DE360000DF36000057
-:10DB8000E0360000E1360000E2360000E336000037
-:10DB9000E4360000E5360000E6360000E736000017
-:10DBA000E8360000E9360000EA360000EB360000F7
-:10DBB000EC360000ED360000EE360000EF360000D7
-:10DBC000F0360000F1360000F2360000F3360000B7
-:10DBD000F4360000F5360000F6360000F736000097
-:10DBE000F8360000F9360000FA360000FB36000077
-:10DBF000FC360000FD360000FE360000FF36000057
-:10DC00000037000001370000023700000337000032
-:10DC10000437000005370000063700000737000012
-:10DC200008370000093700000A3700000B370000F2
-:10DC30000C3700000D3700000E3700000F370000D2
-:10DC400010370000113700001237000013370000B2
-:10DC50001437000015370000163700001737000092
-:10DC600018370000193700001A3700001B37000072
-:10DC70001C3700001D3700001E3700001F37000052
-:10DC80002037000021370000223700002337000032
-:10DC90002437000025370000263700002737000012
-:10DCA00028370000293700002A3700002B370000F2
-:10DCB0002C3700002D3700002E3700002F370000D2
-:10DCC00030370000313700003237000033370000B2
-:10DCD0003437000035370000363700003737000092
-:10DCE00038370000393700003A3700003B37000072
-:10DCF0003C3700003D3700003E3700003F37000052
-:10DD00004037000041370000423700004337000031
-:10DD10004437000045370000463700004737000011
-:10DD200048370000493700004A3700004B370000F1
-:10DD30004C3700004D3700004E3700004F370000D1
-:10DD400050370000513700005237000053370000B1
-:10DD50005437000055370000563700005737000091
-:10DD600058370000593700005A3700005B37000071
-:10DD70005C3700005D3700005E3700005F37000051
-:10DD80006037000061370000623700006337000031
-:10DD90006437000065370000663700006737000011
-:10DDA00068370000693700006A3700006B370000F1
-:10DDB0006C3700006D3700006E3700006F370000D1
-:10DDC00070370000713700007237000073370000B1
-:10DDD0007437000075370000763700007737000091
-:10DDE00078370000793700007A3700007B37000071
-:10DDF0007C3700007D3700007E3700007F37000051
-:10DE00008037000081370000823700008337000030
-:10DE10008437000085370000863700008737000010
-:10DE200088370000893700008A3700008B370000F0
-:10DE30008C3700008D3700008E3700008F370000D0
-:10DE400090370000913700009237000093370000B0
-:10DE50009437000095370000963700009737000090
-:10DE600098370000993700009A3700009B37000070
-:10DE70009C3700009D3700009E3700009F37000050
-:10DE8000A0370000A1370000A2370000A337000030
-:10DE9000A4370000A5370000A6370000A737000010
-:10DEA000A8370000A9370000AA370000AB370000F0
-:10DEB000AC370000AD370000AE370000AF370000D0
-:10DEC000B0370000B1370000B2370000B3370000B0
-:10DED000B4370000B5370000B6370000B737000090
-:10DEE000B8370000B9370000BA370000BB37000070
-:10DEF000BC370000BD370000BE370000BF37000050
-:10DF0000C0370000C1370000C2370000C33700002F
-:10DF1000C4370000C5370000C6370000C73700000F
-:10DF2000C8370000C9370000CA370000CB370000EF
-:10DF3000CC370000CD370000CE370000CF370000CF
-:10DF4000D0370000D1370000D2370000D3370000AF
-:10DF5000D4370000D5370000D6370000D73700008F
-:10DF6000D8370000D9370000DA370000DB3700006F
-:10DF7000DC370000DD370000DE370000DF3700004F
-:10DF8000E0370000E1370000E2370000E33700002F
-:10DF9000E4370000E5370000E6370000E73700000F
-:10DFA000E8370000E9370000EA370000EB370000EF
-:10DFB000EC370000ED370000EE370000EF370000CF
-:10DFC000F0370000F1370000F2370000F3370000AF
-:10DFD000F4370000F5370000F6370000F73700008F
-:10DFE000F8370000F9370000FA370000FB3700006F
-:10DFF000FC370000FD370000FE370000FF3700004F
-:10E00000003800000138000002380000033800002A
-:10E01000043800000538000006380000073800000A
-:10E0200008380000093800000A3800000B380000EA
-:10E030000C3800000D3800000E3800000F380000CA
-:10E0400010380000113800001238000013380000AA
-:10E05000143800001538000016380000173800008A
-:10E0600018380000193800001A3800001B3800006A
-:10E070001C3800001D3800001E3800001F3800004A
-:10E08000203800002138000022380000233800002A
-:10E09000243800002538000026380000273800000A
-:10E0A00028380000293800002A3800002B380000EA
-:10E0B0002C3800002D3800002E3800002F380000CA
-:10E0C00030380000313800003238000033380000AA
-:10E0D000343800003538000036380000373800008A
-:10E0E00038380000393800003A3800003B3800006A
-:10E0F0003C3800003D3800003E3800003F3800004A
-:10E100004038000041380000423800004338000029
-:10E110004438000045380000463800004738000009
-:10E1200048380000493800004A3800004B380000E9
-:10E130004C3800004D3800004E3800004F380000C9
-:10E1400050380000513800005238000053380000A9
-:10E150005438000055380000563800005738000089
-:10E1600058380000593800005A3800005B38000069
-:10E170005C3800005D3800005E3800005F38000049
-:10E180006038000061380000623800006338000029
-:10E190006438000065380000663800006738000009
-:10E1A00068380000693800006A3800006B380000E9
-:10E1B0006C3800006D3800006E3800006F380000C9
-:10E1C00070380000713800007238000073380000A9
-:10E1D0007438000075380000763800007738000089
-:10E1E00078380000793800007A3800007B38000069
-:10E1F0007C3800007D3800007E3800007F38000049
-:10E200008038000081380000823800008338000028
-:10E210008438000085380000863800008738000008
-:10E2200088380000893800008A3800008B380000E8
-:10E230008C3800008D3800008E3800008F380000C8
-:10E2400090380000913800009238000093380000A8
-:10E250009438000095380000963800009738000088
-:10E2600098380000993800009A3800009B38000068
-:10E270009C3800009D3800009E3800009F38000048
-:10E28000A0380000A1380000A2380000A338000028
-:10E29000A4380000A5380000A6380000A738000008
-:10E2A000A8380000A9380000AA380000AB380000E8
-:10E2B000AC380000AD380000AE380000AF380000C8
-:10E2C000B0380000B1380000B2380000B3380000A8
-:10E2D000B4380000B5380000B6380000B738000088
-:10E2E000B8380000B9380000BA380000BB38000068
-:10E2F000BC380000BD380000BE380000BF38000048
-:10E30000C0380000C1380000C2380000C338000027
-:10E31000C4380000C5380000C6380000C738000007
-:10E32000C8380000C9380000CA380000CB380000E7
-:10E33000CC380000CD380000CE380000CF380000C7
-:10E34000D0380000D1380000D2380000D3380000A7
-:10E35000D4380000D5380000D6380000D738000087
-:10E36000D8380000D9380000DA380000DB38000067
-:10E37000DC380000DD380000DE380000DF38000047
-:10E38000E0380000E1380000E2380000E338000027
-:10E39000E4380000E5380000E6380000E738000007
-:10E3A000E8380000E9380000EA380000EB380000E7
-:10E3B000EC380000ED380000EE380000EF380000C7
-:10E3C000F0380000F1380000F2380000F3380000A7
-:10E3D000F4380000F5380000F6380000F738000087
-:10E3E000F8380000F9380000FA380000FB38000067
-:10E3F000FC380000FD380000FE380000FF38000047
-:10E400000039000001390000023900000339000022
-:10E410000439000005390000063900000739000002
-:10E4200008390000093900000A3900000B390000E2
-:10E430000C3900000D3900000E3900000F390000C2
-:10E4400010390000113900001239000013390000A2
-:10E450001439000015390000163900001739000082
-:10E4600018390000193900001A3900001B39000062
-:10E470001C3900001D3900001E3900001F39000042
-:10E480002039000021390000223900002339000022
-:10E490002439000025390000263900002739000002
-:10E4A00028390000293900002A3900002B390000E2
-:10E4B0002C3900002D3900002E3900002F390000C2
-:10E4C00030390000313900003239000033390000A2
-:10E4D0003439000035390000363900003739000082
-:10E4E00038390000393900003A3900003B39000062
-:10E4F0003C3900003D3900003E3900003F39000042
-:10E500004039000041390000423900004339000021
-:10E510004439000045390000463900004739000001
-:10E5200048390000493900004A3900004B390000E1
-:10E530004C3900004D3900004E3900004F390000C1
-:10E5400050390000513900005239000053390000A1
-:10E550005439000055390000563900005739000081
-:10E5600058390000593900005A3900005B39000061
-:10E570005C3900005D3900005E3900005F39000041
-:10E580006039000061390000623900006339000021
-:10E590006439000065390000663900006739000001
-:10E5A00068390000693900006A3900006B390000E1
-:10E5B0006C3900006D3900006E3900006F390000C1
-:10E5C00070390000713900007239000073390000A1
-:10E5D0007439000075390000763900007739000081
-:10E5E00078390000793900007A3900007B39000061
-:10E5F0007C3900007D3900007E3900007F39000041
-:10E600008039000081390000823900008339000020
-:10E610008439000085390000863900008739000000
-:10E6200088390000893900008A3900008B390000E0
-:10E630008C3900008D3900008E3900008F390000C0
-:10E6400090390000913900009239000093390000A0
-:10E650009439000095390000963900009739000080
-:10E6600098390000993900009A3900009B39000060
-:10E670009C3900009D3900009E3900009F39000040
-:10E68000A0390000A1390000A2390000A339000020
-:10E69000A4390000A5390000A6390000A739000000
-:10E6A000A8390000A9390000AA390000AB390000E0
-:10E6B000AC390000AD390000AE390000AF390000C0
-:10E6C000B0390000B1390000B2390000B3390000A0
-:10E6D000B4390000B5390000B6390000B739000080
-:10E6E000B8390000B9390000BA390000BB39000060
-:10E6F000BC390000BD390000BE390000BF39000040
-:10E70000C0390000C1390000C2390000C33900001F
-:10E71000C4390000C5390000C6390000C7390000FF
-:10E72000C8390000C9390000CA390000CB390000DF
-:10E73000CC390000CD390000CE390000CF390000BF
-:10E74000D0390000D1390000D2390000D33900009F
-:10E75000D4390000D5390000D6390000D73900007F
-:10E76000D8390000D9390000DA390000DB3900005F
-:10E77000DC390000DD390000DE390000DF3900003F
-:10E78000E0390000E1390000E2390000E33900001F
-:10E79000E4390000E5390000E6390000E7390000FF
-:10E7A000E8390000E9390000EA390000EB390000DF
-:10E7B000EC390000ED390000EE390000EF390000BF
-:10E7C000F0390000F1390000F2390000F33900009F
-:10E7D000F4390000F5390000F6390000F73900007F
-:10E7E000F8390000F9390000FA390000FB3900005F
-:10E7F000FC390000FD390000FE390000FF3900003F
-:10E80000003A0000013A0000023A0000033A00001A
-:10E81000043A0000053A0000063A0000073A0000FA
-:10E82000083A0000093A00000A3A00000B3A0000DA
-:10E830000C3A00000D3A00000E3A00000F3A0000BA
-:10E84000103A0000113A0000123A0000133A00009A
-:10E85000143A0000153A0000163A0000173A00007A
-:10E86000183A0000193A00001A3A00001B3A00005A
-:10E870001C3A00001D3A00001E3A00001F3A00003A
-:10E88000203A0000213A0000223A0000233A00001A
-:10E89000243A0000253A0000263A0000273A0000FA
-:10E8A000283A0000293A00002A3A00002B3A0000DA
-:10E8B0002C3A00002D3A00002E3A00002F3A0000BA
-:10E8C000303A0000313A0000323A0000333A00009A
-:10E8D000343A0000353A0000363A0000373A00007A
-:10E8E000383A0000393A00003A3A00003B3A00005A
-:10E8F0003C3A00003D3A00003E3A00003F3A00003A
-:10E90000403A0000413A0000423A0000433A000019
-:10E91000443A0000453A0000463A0000473A0000F9
-:10E92000483A0000493A00004A3A00004B3A0000D9
-:10E930004C3A00004D3A00004E3A00004F3A0000B9
-:10E94000503A0000513A0000523A0000533A000099
-:10E95000543A0000553A0000563A0000573A000079
-:10E96000583A0000593A00005A3A00005B3A000059
-:10E970005C3A00005D3A00005E3A00005F3A000039
-:10E98000603A0000613A0000623A0000633A000019
-:10E99000643A0000653A0000663A0000673A0000F9
-:10E9A000683A0000693A00006A3A00006B3A0000D9
-:10E9B0006C3A00006D3A00006E3A00006F3A0000B9
-:10E9C000703A0000713A0000723A0000733A000099
-:10E9D000743A0000753A0000763A0000773A000079
-:10E9E000783A0000793A00007A3A00007B3A000059
-:10E9F0007C3A00007D3A00007E3A00007F3A000039
-:10EA0000803A0000813A0000823A0000833A000018
-:10EA1000843A0000853A0000863A0000873A0000F8
-:10EA2000883A0000893A00008A3A00008B3A0000D8
-:10EA30008C3A00008D3A00008E3A00008F3A0000B8
-:10EA4000903A0000913A0000923A0000933A000098
-:10EA5000943A0000953A0000963A0000973A000078
-:10EA6000983A0000993A00009A3A00009B3A000058
-:10EA70009C3A00009D3A00009E3A00009F3A000038
-:10EA8000A03A0000A13A0000A23A0000A33A000018
-:10EA9000A43A0000A53A0000A63A0000A73A0000F8
-:10EAA000A83A0000A93A0000AA3A0000AB3A0000D8
-:10EAB000AC3A0000AD3A0000AE3A0000AF3A0000B8
-:10EAC000B03A0000B13A0000B23A0000B33A000098
-:10EAD000B43A0000B53A0000B63A0000B73A000078
-:10EAE000B83A0000B93A0000BA3A0000BB3A000058
-:10EAF000BC3A0000BD3A0000BE3A0000BF3A000038
-:10EB0000C03A0000C13A0000C23A0000C33A000017
-:10EB1000C43A0000C53A0000C63A0000C73A0000F7
-:10EB2000C83A0000C93A0000CA3A0000CB3A0000D7
-:10EB3000CC3A0000CD3A0000CE3A0000CF3A0000B7
-:10EB4000D03A0000D13A0000D23A0000D33A000097
-:10EB5000D43A0000D53A0000D63A0000D73A000077
-:10EB6000D83A0000D93A0000DA3A0000DB3A000057
-:10EB7000DC3A0000DD3A0000DE3A0000DF3A000037
-:10EB8000E03A0000E13A0000E23A0000E33A000017
-:10EB9000E43A0000E53A0000E63A0000E73A0000F7
-:10EBA000E83A0000E93A0000EA3A0000EB3A0000D7
-:10EBB000EC3A0000ED3A0000EE3A0000EF3A0000B7
-:10EBC000F03A0000F13A0000F23A0000F33A000097
-:10EBD000F43A0000F53A0000F63A0000F73A000077
-:10EBE000F83A0000F93A0000FA3A0000FB3A000057
-:10EBF000FC3A0000FD3A0000FE3A0000FF3A000037
-:10EC0000003B0000013B0000023B0000033B000012
-:10EC1000043B0000053B0000063B0000073B0000F2
-:10EC2000083B0000093B00000A3B00000B3B0000D2
-:10EC30000C3B00000D3B00000E3B00000F3B0000B2
-:10EC4000103B0000113B0000123B0000133B000092
-:10EC5000143B0000153B0000163B0000173B000072
-:10EC6000183B0000193B00001A3B00001B3B000052
-:10EC70001C3B00001D3B00001E3B00001F3B000032
-:10EC8000203B0000213B0000223B0000233B000012
-:10EC9000243B0000253B0000263B0000273B0000F2
-:10ECA000283B0000293B00002A3B00002B3B0000D2
-:10ECB0002C3B00002D3B00002E3B00002F3B0000B2
-:10ECC000303B0000313B0000323B0000333B000092
-:10ECD000343B0000353B0000363B0000373B000072
-:10ECE000383B0000393B00003A3B00003B3B000052
-:10ECF0003C3B00003D3B00003E3B00003F3B000032
-:10ED0000403B0000413B0000423B0000433B000011
-:10ED1000443B0000453B0000463B0000473B0000F1
-:10ED2000483B0000493B00004A3B00004B3B0000D1
-:10ED30004C3B00004D3B00004E3B00004F3B0000B1
-:10ED4000503B0000513B0000523B0000533B000091
-:10ED5000543B0000553B0000563B0000573B000071
-:10ED6000583B0000593B00005A3B00005B3B000051
-:10ED70005C3B00005D3B00005E3B00005F3B000031
-:10ED8000603B0000613B0000623B0000633B000011
-:10ED9000643B0000653B0000663B0000673B0000F1
-:10EDA000683B0000693B00006A3B00006B3B0000D1
-:10EDB0006C3B00006D3B00006E3B00006F3B0000B1
-:10EDC000703B0000713B0000723B0000733B000091
-:10EDD000743B0000753B0000763B0000773B000071
-:10EDE000783B0000793B00007A3B00007B3B000051
-:10EDF0007C3B00007D3B00007E3B00007F3B000031
-:10EE0000803B0000813B0000823B0000833B000010
-:10EE1000843B0000853B0000863B0000873B0000F0
-:10EE2000883B0000893B00008A3B00008B3B0000D0
-:10EE30008C3B00008D3B00008E3B00008F3B0000B0
-:10EE4000903B0000913B0000923B0000933B000090
-:10EE5000943B0000953B0000963B0000973B000070
-:10EE6000983B0000993B00009A3B00009B3B000050
-:10EE70009C3B00009D3B00009E3B00009F3B000030
-:10EE8000A03B0000A13B0000A23B0000A33B000010
-:10EE9000A43B0000A53B0000A63B0000A73B0000F0
-:10EEA000A83B0000A93B0000AA3B0000AB3B0000D0
-:10EEB000AC3B0000AD3B0000AE3B0000AF3B0000B0
-:10EEC000B03B0000B13B0000B23B0000B33B000090
-:10EED000B43B0000B53B0000B63B0000B73B000070
-:10EEE000B83B0000B93B0000BA3B0000BB3B000050
-:10EEF000BC3B0000BD3B0000BE3B0000BF3B000030
-:10EF0000C03B0000C13B0000C23B0000C33B00000F
-:10EF1000C43B0000C53B0000C63B0000C73B0000EF
-:10EF2000C83B0000C93B0000CA3B0000CB3B0000CF
-:10EF3000CC3B0000CD3B0000CE3B0000CF3B0000AF
-:10EF4000D03B0000D13B0000D23B0000D33B00008F
-:10EF5000D43B0000D53B0000D63B0000D73B00006F
-:10EF6000D83B0000D93B0000DA3B0000DB3B00004F
-:10EF7000DC3B0000DD3B0000DE3B0000DF3B00002F
-:10EF8000E03B0000E13B0000E23B0000E33B00000F
-:10EF9000E43B0000E53B0000E63B0000E73B0000EF
-:10EFA000E83B0000E93B0000EA3B0000EB3B0000CF
-:10EFB000EC3B0000ED3B0000EE3B0000EF3B0000AF
-:10EFC000F03B0000F13B0000F23B0000F33B00008F
-:10EFD000F43B0000F53B0000F63B0000F73B00006F
-:10EFE000F83B0000F93B0000FA3B0000FB3B00004F
-:10EFF000FC3B0000FD3B0000FE3B0000FF3B00002F
-:10F00000003C0000013C0000023C0000033C00000A
-:10F01000043C0000053C0000063C0000073C0000EA
-:10F02000083C0000093C00000A3C00000B3C0000CA
-:10F030000C3C00000D3C00000E3C00000F3C0000AA
-:10F04000103C0000113C0000123C0000133C00008A
-:10F05000143C0000153C0000163C0000173C00006A
-:10F06000183C0000193C00001A3C00001B3C00004A
-:10F070001C3C00001D3C00001E3C00001F3C00002A
-:10F08000203C0000213C0000223C0000233C00000A
-:10F09000243C0000253C0000263C0000273C0000EA
-:10F0A000283C0000293C00002A3C00002B3C0000CA
-:10F0B0002C3C00002D3C00002E3C00002F3C0000AA
-:10F0C000303C0000313C0000323C0000333C00008A
-:10F0D000343C0000353C0000363C0000373C00006A
-:10F0E000383C0000393C00003A3C00003B3C00004A
-:10F0F0003C3C00003D3C00003E3C00003F3C00002A
-:10F10000403C0000413C0000423C0000433C000009
-:10F11000443C0000453C0000463C0000473C0000E9
-:10F12000483C0000493C00004A3C00004B3C0000C9
-:10F130004C3C00004D3C00004E3C00004F3C0000A9
-:10F14000503C0000513C0000523C0000533C000089
-:10F15000543C0000553C0000563C0000573C000069
-:10F16000583C0000593C00005A3C00005B3C000049
-:10F170005C3C00005D3C00005E3C00005F3C000029
-:10F18000603C0000613C0000623C0000633C000009
-:10F19000643C0000653C0000663C0000673C0000E9
-:10F1A000683C0000693C00006A3C00006B3C0000C9
-:10F1B0006C3C00006D3C00006E3C00006F3C0000A9
-:10F1C000703C0000713C0000723C0000733C000089
-:10F1D000743C0000753C0000763C0000773C000069
-:10F1E000783C0000793C00007A3C00007B3C000049
-:10F1F0007C3C00007D3C00007E3C00007F3C000029
-:10F20000803C0000813C0000823C0000833C000008
-:10F21000843C0000853C0000863C0000873C0000E8
-:10F22000883C0000893C00008A3C00008B3C0000C8
-:10F230008C3C00008D3C00008E3C00008F3C0000A8
-:10F24000903C0000913C0000923C0000933C000088
-:10F25000943C0000953C0000963C0000973C000068
-:10F26000983C0000993C00009A3C00009B3C000048
-:10F270009C3C00009D3C00009E3C00009F3C000028
-:10F28000A03C0000A13C0000A23C0000A33C000008
-:10F29000A43C0000A53C0000A63C0000A73C0000E8
-:10F2A000A83C0000A93C0000AA3C0000AB3C0000C8
-:10F2B000AC3C0000AD3C0000AE3C0000AF3C0000A8
-:10F2C000B03C0000B13C0000B23C0000B33C000088
-:10F2D000B43C0000B53C0000B63C0000B73C000068
-:10F2E000B83C0000B93C0000BA3C0000BB3C000048
-:10F2F000BC3C0000BD3C0000BE3C0000BF3C000028
-:10F30000C03C0000C13C0000C23C0000C33C000007
-:10F31000C43C0000C53C0000C63C0000C73C0000E7
-:10F32000C83C0000C93C0000CA3C0000CB3C0000C7
-:10F33000CC3C0000CD3C0000CE3C0000CF3C0000A7
-:10F34000D03C0000D13C0000D23C0000D33C000087
-:10F35000D43C0000D53C0000D63C0000D73C000067
-:10F36000D83C0000D93C0000DA3C0000DB3C000047
-:10F37000DC3C0000DD3C0000DE3C0000DF3C000027
-:10F38000E03C0000E13C0000E23C0000E33C000007
-:10F39000E43C0000E53C0000E63C0000E73C0000E7
-:10F3A000E83C0000E93C0000EA3C0000EB3C0000C7
-:10F3B000EC3C0000ED3C0000EE3C0000EF3C0000A7
-:10F3C000F03C0000F13C0000F23C0000F33C000087
-:10F3D000F43C0000F53C0000F63C0000F73C000067
-:10F3E000F83C0000F93C0000FA3C0000FB3C000047
-:10F3F000FC3C0000FD3C0000FE3C0000FF3C000027
-:10F40000003D0000013D0000023D0000033D000002
-:10F41000043D0000053D0000063D0000073D0000E2
-:10F42000083D0000093D00000A3D00000B3D0000C2
-:10F430000C3D00000D3D00000E3D00000F3D0000A2
-:10F44000103D0000113D0000123D0000133D000082
-:10F45000143D0000153D0000163D0000173D000062
-:10F46000183D0000193D00001A3D00001B3D000042
-:10F470001C3D00001D3D00001E3D00001F3D000022
-:10F48000203D0000213D0000223D0000233D000002
-:10F49000243D0000253D0000263D0000273D0000E2
-:10F4A000283D0000293D00002A3D00002B3D0000C2
-:10F4B0002C3D00002D3D00002E3D00002F3D0000A2
-:10F4C000303D0000313D0000323D0000333D000082
-:10F4D000343D0000353D0000363D0000373D000062
-:10F4E000383D0000393D00003A3D00003B3D000042
-:10F4F0003C3D00003D3D00003E3D00003F3D000022
-:10F50000403D0000413D0000423D0000433D000001
-:10F51000443D0000453D0000463D0000473D0000E1
-:10F52000483D0000493D00004A3D00004B3D0000C1
-:10F530004C3D00004D3D00004E3D00004F3D0000A1
-:10F54000503D0000513D0000523D0000533D000081
-:10F55000543D0000553D0000563D0000573D000061
-:10F56000583D0000593D00005A3D00005B3D000041
-:10F570005C3D00005D3D00005E3D00005F3D000021
-:10F58000603D0000613D0000623D0000633D000001
-:10F59000643D0000653D0000663D0000673D0000E1
-:10F5A000683D0000693D00006A3D00006B3D0000C1
-:10F5B0006C3D00006D3D00006E3D00006F3D0000A1
-:10F5C000703D0000713D0000723D0000733D000081
-:10F5D000743D0000753D0000763D0000773D000061
-:10F5E000783D0000793D00007A3D00007B3D000041
-:10F5F0007C3D00007D3D00007E3D00007F3D000021
-:10F60000803D0000813D0000823D0000833D000000
-:10F61000843D0000853D0000863D0000873D0000E0
-:10F62000883D0000893D00008A3D00008B3D0000C0
-:10F630008C3D00008D3D00008E3D00008F3D0000A0
-:10F64000903D0000913D0000923D0000933D000080
-:10F65000943D0000953D0000963D0000973D000060
-:10F66000983D0000993D00009A3D00009B3D000040
-:10F670009C3D00009D3D00009E3D00009F3D000020
-:10F68000A03D0000A13D0000A23D0000A33D000000
-:10F69000A43D0000A53D0000A63D0000A73D0000E0
-:10F6A000A83D0000A93D0000AA3D0000AB3D0000C0
-:10F6B000AC3D0000AD3D0000AE3D0000AF3D0000A0
-:10F6C000B03D0000B13D0000B23D0000B33D000080
-:10F6D000B43D0000B53D0000B63D0000B73D000060
-:10F6E000B83D0000B93D0000BA3D0000BB3D000040
-:10F6F000BC3D0000BD3D0000BE3D0000BF3D000020
-:10F70000C03D0000C13D0000C23D0000C33D0000FF
-:10F71000C43D0000C53D0000C63D0000C73D0000DF
-:10F72000C83D0000C93D0000CA3D0000CB3D0000BF
-:10F73000CC3D0000CD3D0000CE3D0000CF3D00009F
-:10F74000D03D0000D13D0000D23D0000D33D00007F
-:10F75000D43D0000D53D0000D63D0000D73D00005F
-:10F76000D83D0000D93D0000DA3D0000DB3D00003F
-:10F77000DC3D0000DD3D0000DE3D0000DF3D00001F
-:10F78000E03D0000E13D0000E23D0000E33D0000FF
-:10F79000E43D0000E53D0000E63D0000E73D0000DF
-:10F7A000E83D0000E93D0000EA3D0000EB3D0000BF
-:10F7B000EC3D0000ED3D0000EE3D0000EF3D00009F
-:10F7C000F03D0000F13D0000F23D0000F33D00007F
-:10F7D000F43D0000F53D0000F63D0000F73D00005F
-:10F7E000F83D0000F93D0000FA3D0000FB3D00003F
-:10F7F000FC3D0000FD3D0000FE3D0000FF3D00001F
-:10F80000003E0000013E0000023E0000033E0000FA
-:10F81000043E0000053E0000063E0000073E0000DA
-:10F82000083E0000093E00000A3E00000B3E0000BA
-:10F830000C3E00000D3E00000E3E00000F3E00009A
-:10F84000103E0000113E0000123E0000133E00007A
-:10F85000143E0000153E0000163E0000173E00005A
-:10F86000183E0000193E00001A3E00001B3E00003A
-:10F870001C3E00001D3E00001E3E00001F3E00001A
-:10F88000203E0000213E0000223E0000233E0000FA
-:10F89000243E0000253E0000263E0000273E0000DA
-:10F8A000283E0000293E00002A3E00002B3E0000BA
-:10F8B0002C3E00002D3E00002E3E00002F3E00009A
-:10F8C000303E0000313E0000323E0000333E00007A
-:10F8D000343E0000353E0000363E0000373E00005A
-:10F8E000383E0000393E00003A3E00003B3E00003A
-:10F8F0003C3E00003D3E00003E3E00003F3E00001A
-:10F90000403E0000413E0000423E0000433E0000F9
-:10F91000443E0000453E0000463E0000473E0000D9
-:10F92000483E0000493E00004A3E00004B3E0000B9
-:10F930004C3E00004D3E00004E3E00004F3E000099
-:10F94000503E0000513E0000523E0000533E000079
-:10F95000543E0000553E0000563E0000573E000059
-:10F96000583E0000593E00005A3E00005B3E000039
-:10F970005C3E00005D3E00005E3E00005F3E000019
-:10F98000603E0000613E0000623E0000633E0000F9
-:10F99000643E0000653E0000663E0000673E0000D9
-:10F9A000683E0000693E00006A3E00006B3E0000B9
-:10F9B0006C3E00006D3E00006E3E00006F3E000099
-:10F9C000703E0000713E0000723E0000733E000079
-:10F9D000743E0000753E0000763E0000773E000059
-:10F9E000783E0000793E00007A3E00007B3E000039
-:10F9F0007C3E00007D3E00007E3E00007F3E000019
-:10FA0000803E0000813E0000823E0000833E0000F8
-:10FA1000843E0000853E0000863E0000873E0000D8
-:10FA2000883E0000893E00008A3E00008B3E0000B8
-:10FA30008C3E00008D3E00008E3E00008F3E000098
-:10FA4000903E0000913E0000923E0000933E000078
-:10FA5000943E0000953E0000963E0000973E000058
-:10FA6000983E0000993E00009A3E00009B3E000038
-:10FA70009C3E00009D3E00009E3E00009F3E000018
-:10FA8000A03E0000A13E0000A23E0000A33E0000F8
-:10FA9000A43E0000A53E0000A63E0000A73E0000D8
-:10FAA000A83E0000A93E0000AA3E0000AB3E0000B8
-:10FAB000AC3E0000AD3E0000AE3E0000AF3E000098
-:10FAC000B03E0000B13E0000B23E0000B33E000078
-:10FAD000B43E0000B53E0000B63E0000B73E000058
-:10FAE000B83E0000B93E0000BA3E0000BB3E000038
-:10FAF000BC3E0000BD3E0000BE3E0000BF3E000018
-:10FB0000C03E0000C13E0000C23E0000C33E0000F7
-:10FB1000C43E0000C53E0000C63E0000C73E0000D7
-:10FB2000C83E0000C93E0000CA3E0000CB3E0000B7
-:10FB3000CC3E0000CD3E0000CE3E0000CF3E000097
-:10FB4000D03E0000D13E0000D23E0000D33E000077
-:10FB5000D43E0000D53E0000D63E0000D73E000057
-:10FB6000D83E0000D93E0000DA3E0000DB3E000037
-:10FB7000DC3E0000DD3E0000DE3E0000DF3E000017
-:10FB8000E03E0000E13E0000E23E0000E33E0000F7
-:10FB9000E43E0000E53E0000E63E0000E73E0000D7
-:10FBA000E83E0000E93E0000EA3E0000EB3E0000B7
-:10FBB000EC3E0000ED3E0000EE3E0000EF3E000097
-:10FBC000F03E0000F13E0000F23E0000F33E000077
-:10FBD000F43E0000F53E0000F63E0000F73E000057
-:10FBE000F83E0000F93E0000FA3E0000FB3E000037
-:10FBF000FC3E0000FD3E0000FE3E0000FF3E000017
-:10FC0000003F0000013F0000023F0000033F0000F2
-:10FC1000043F0000053F0000063F0000073F0000D2
-:10FC2000083F0000093F00000A3F00000B3F0000B2
-:10FC30000C3F00000D3F00000E3F00000F3F000092
-:10FC4000103F0000113F0000123F0000133F000072
-:10FC5000143F0000153F0000163F0000173F000052
-:10FC6000183F0000193F00001A3F00001B3F000032
-:10FC70001C3F00001D3F00001E3F00001F3F000012
-:10FC8000203F0000213F0000223F0000233F0000F2
-:10FC9000243F0000253F0000263F0000273F0000D2
-:10FCA000283F0000293F00002A3F00002B3F0000B2
-:10FCB0002C3F00002D3F00002E3F00002F3F000092
-:10FCC000303F0000313F0000323F0000333F000072
-:10FCD000343F0000353F0000363F0000373F000052
-:10FCE000383F0000393F00003A3F00003B3F000032
-:10FCF0003C3F00003D3F00003E3F00003F3F000012
-:10FD0000403F0000413F0000423F0000433F0000F1
-:10FD1000443F0000453F0000463F0000473F0000D1
-:10FD2000483F0000493F00004A3F00004B3F0000B1
-:10FD30004C3F00004D3F00004E3F00004F3F000091
-:10FD4000503F0000513F0000523F0000533F000071
-:10FD5000543F0000553F0000563F0000573F000051
-:10FD6000583F0000593F00005A3F00005B3F000031
-:10FD70005C3F00005D3F00005E3F00005F3F000011
-:10FD8000603F0000613F0000623F0000633F0000F1
-:10FD9000643F0000653F0000663F0000673F0000D1
-:10FDA000683F0000693F00006A3F00006B3F0000B1
-:10FDB0006C3F00006D3F00006E3F00006F3F000091
-:10FDC000703F0000713F0000723F0000733F000071
-:10FDD000743F0000753F0000763F0000773F000051
-:10FDE000783F0000793F00007A3F00007B3F000031
-:10FDF0007C3F00007D3F00007E3F00007F3F000011
-:10FE0000803F0000813F0000823F0000833F0000F0
-:10FE1000843F0000853F0000863F0000873F0000D0
-:10FE2000883F0000893F00008A3F00008B3F0000B0
-:10FE30008C3F00008D3F00008E3F00008F3F000090
-:10FE4000903F0000913F0000923F0000933F000070
-:10FE5000943F0000953F0000963F0000973F000050
-:10FE6000983F0000993F00009A3F00009B3F000030
-:10FE70009C3F00009D3F00009E3F00009F3F000010
-:10FE8000A03F0000A13F0000A23F0000A33F0000F0
-:10FE9000A43F0000A53F0000A63F0000A73F0000D0
-:10FEA000A83F0000A93F0000AA3F0000AB3F0000B0
-:10FEB000AC3F0000AD3F0000AE3F0000AF3F000090
-:10FEC000B03F0000B13F0000B23F0000B33F000070
-:10FED000B43F0000B53F0000B63F0000B73F000050
-:10FEE000B83F0000B93F0000BA3F0000BB3F000030
-:10FEF000BC3F0000BD3F0000BE3F0000BF3F000010
-:10FF0000C03F0000C13F0000C23F0000C33F0000EF
-:10FF1000C43F0000C53F0000C63F0000C73F0000CF
-:10FF2000C83F0000C93F0000CA3F0000CB3F0000AF
-:10FF3000CC3F0000CD3F0000CE3F0000CF3F00008F
-:10FF4000D03F0000D13F0000D23F0000D33F00006F
-:10FF5000D43F0000D53F0000D63F0000D73F00004F
-:10FF6000D83F0000D93F0000DA3F0000DB3F00002F
-:10FF7000DC3F0000DD3F0000DE3F0000DF3F00000F
-:10FF8000E03F0000E13F0000E23F0000E33F0000EF
-:10FF9000E43F0000E53F0000E63F0000E73F0000CF
-:10FFA000E83F0000E93F0000EA3F0000EB3F0000AF
-:10FFB000EC3F0000ED3F0000EE3F0000EF3F00008F
-:10FFC000F03F0000F13F0000F23F0000F33F00006F
-:10FFD000F43F0000F53F0000F63F0000F73F00004F
-:10FFE000F83F0000F93F0000FA3F0000FB3F00002F
-:10FFF000FC3F0000FD3F0000FE3F0000FF3F00000F
-:020000021000EC
-:1000000000400000014000000240000003400000EA
-:1000100004400000054000000640000007400000CA
-:1000200008400000094000000A4000000B400000AA
-:100030000C4000000D4000000E4000000F4000008A
-:10004000104000001140000012400000134000006A
-:10005000144000001540000016400000174000004A
-:1000600018400000194000001A4000001B4000002A
-:100070001C4000001D4000001E4000001F4000000A
-:1000800020400000214000002240000023400000EA
-:1000900024400000254000002640000027400000CA
-:1000A00028400000294000002A4000002B400000AA
-:1000B0002C4000002D4000002E4000002F4000008A
-:1000C000304000003140000032400000334000006A
-:1000D000344000003540000036400000374000004A
-:1000E00038400000394000003A4000003B4000002A
-:1000F0003C4000003D4000003E4000003F4000000A
-:1001000040400000414000004240000043400000E9
-:1001100044400000454000004640000047400000C9
-:1001200048400000494000004A4000004B400000A9
-:100130004C4000004D4000004E4000004F40000089
-:100140005040000051400000524000005340000069
-:100150005440000055400000564000005740000049
-:1001600058400000594000005A4000005B40000029
-:100170005C4000005D4000005E4000005F40000009
-:1001800060400000614000006240000063400000E9
-:1001900064400000654000006640000067400000C9
-:1001A00068400000694000006A4000006B400000A9
-:1001B0006C4000006D4000006E4000006F40000089
-:1001C0007040000071400000724000007340000069
-:1001D0007440000075400000764000007740000049
-:1001E00078400000794000007A4000007B40000029
-:1001F0007C4000007D4000007E4000007F40000009
-:1002000080400000814000008240000083400000E8
-:1002100084400000854000008640000087400000C8
-:1002200088400000894000008A4000008B400000A8
-:100230008C4000008D4000008E4000008F40000088
-:100240009040000091400000924000009340000068
-:100250009440000095400000964000009740000048
-:1002600098400000994000009A4000009B40000028
-:100270009C4000009D4000009E4000009F40000008
-:10028000A0400000A1400000A2400000A3400000E8
-:10029000A4400000A5400000A6400000A7400000C8
-:1002A000A8400000A9400000AA400000AB400000A8
-:1002B000AC400000AD400000AE400000AF40000088
-:1002C000B0400000B1400000B2400000B340000068
-:1002D000B4400000B5400000B6400000B740000048
-:1002E000B8400000B9400000BA400000BB40000028
-:1002F000BC400000BD400000BE400000BF40000008
-:10030000C0400000C1400000C2400000C3400000E7
-:10031000C4400000C5400000C6400000C7400000C7
-:10032000C8400000C9400000CA400000CB400000A7
-:10033000CC400000CD400000CE400000CF40000087
-:10034000D0400000D1400000D2400000D340000067
-:10035000D4400000D5400000D6400000D740000047
-:10036000D8400000D9400000DA400000DB40000027
-:10037000DC400000DD400000DE400000DF40000007
-:10038000E0400000E1400000E2400000E3400000E7
-:10039000E4400000E5400000E6400000E7400000C7
-:1003A000E8400000E9400000EA400000EB400000A7
-:1003B000EC400000ED400000EE400000EF40000087
-:1003C000F0400000F1400000F2400000F340000067
-:1003D000F4400000F5400000F6400000F740000047
-:1003E000F8400000F9400000FA400000FB40000027
-:1003F000FC400000FD400000FE400000FF40000007
-:1004000000410000014100000241000003410000E2
-:1004100004410000054100000641000007410000C2
-:1004200008410000094100000A4100000B410000A2
-:100430000C4100000D4100000E4100000F41000082
-:100440001041000011410000124100001341000062
-:100450001441000015410000164100001741000042
-:1004600018410000194100001A4100001B41000022
-:100470001C4100001D4100001E4100001F41000002
-:1004800020410000214100002241000023410000E2
-:1004900024410000254100002641000027410000C2
-:1004A00028410000294100002A4100002B410000A2
-:1004B0002C4100002D4100002E4100002F41000082
-:1004C0003041000031410000324100003341000062
-:1004D0003441000035410000364100003741000042
-:1004E00038410000394100003A4100003B41000022
-:1004F0003C4100003D4100003E4100003F41000002
-:1005000040410000414100004241000043410000E1
-:1005100044410000454100004641000047410000C1
-:1005200048410000494100004A4100004B410000A1
-:100530004C4100004D4100004E4100004F41000081
-:100540005041000051410000524100005341000061
-:100550005441000055410000564100005741000041
-:1005600058410000594100005A4100005B41000021
-:100570005C4100005D4100005E4100005F41000001
-:1005800060410000614100006241000063410000E1
-:1005900064410000654100006641000067410000C1
-:1005A00068410000694100006A4100006B410000A1
-:1005B0006C4100006D4100006E4100006F41000081
-:1005C0007041000071410000724100007341000061
-:1005D0007441000075410000764100007741000041
-:1005E00078410000794100007A4100007B41000021
-:1005F0007C4100007D4100007E4100007F41000001
-:1006000080410000814100008241000083410000E0
-:1006100084410000854100008641000087410000C0
-:1006200088410000894100008A4100008B410000A0
-:100630008C4100008D4100008E4100008F41000080
-:100640009041000091410000924100009341000060
-:100650009441000095410000964100009741000040
-:1006600098410000994100009A4100009B41000020
-:100670009C4100009D4100009E4100009F41000000
-:10068000A0410000A1410000A2410000A3410000E0
-:10069000A4410000A5410000A6410000A7410000C0
-:1006A000A8410000A9410000AA410000AB410000A0
-:1006B000AC410000AD410000AE410000AF41000080
-:1006C000B0410000B1410000B2410000B341000060
-:1006D000B4410000B5410000B6410000B741000040
-:1006E000B8410000B9410000BA410000BB41000020
-:1006F000BC410000BD410000BE410000BF41000000
-:10070000C0410000C1410000C2410000C3410000DF
-:10071000C4410000C5410000C6410000C7410000BF
-:10072000C8410000C9410000CA410000CB4100009F
-:10073000CC410000CD410000CE410000CF4100007F
-:10074000D0410000D1410000D2410000D34100005F
-:10075000D4410000D5410000D6410000D74100003F
-:10076000D8410000D9410000DA410000DB4100001F
-:10077000DC410000DD410000DE410000DF410000FF
-:10078000E0410000E1410000E2410000E3410000DF
-:10079000E4410000E5410000E6410000E7410000BF
-:1007A000E8410000E9410000EA410000EB4100009F
-:1007B000EC410000ED410000EE410000EF4100007F
-:1007C000F0410000F1410000F2410000F34100005F
-:1007D000F4410000F5410000F6410000F74100003F
-:1007E000F8410000F9410000FA410000FB4100001F
-:1007F000FC410000FD410000FE410000FF410000FF
-:1008000000420000014200000242000003420000DA
-:1008100004420000054200000642000007420000BA
-:1008200008420000094200000A4200000B4200009A
-:100830000C4200000D4200000E4200000F4200007A
-:10084000104200001142000012420000134200005A
-:10085000144200001542000016420000174200003A
-:1008600018420000194200001A4200001B4200001A
-:100870001C4200001D4200001E4200001F420000FA
-:1008800020420000214200002242000023420000DA
-:1008900024420000254200002642000027420000BA
-:1008A00028420000294200002A4200002B4200009A
-:1008B0002C4200002D4200002E4200002F4200007A
-:1008C000304200003142000032420000334200005A
-:1008D000344200003542000036420000374200003A
-:1008E00038420000394200003A4200003B4200001A
-:1008F0003C4200003D4200003E4200003F420000FA
-:1009000040420000414200004242000043420000D9
-:1009100044420000454200004642000047420000B9
-:1009200048420000494200004A4200004B42000099
-:100930004C4200004D4200004E4200004F42000079
-:100940005042000051420000524200005342000059
-:100950005442000055420000564200005742000039
-:1009600058420000594200005A4200005B42000019
-:100970005C4200005D4200005E4200005F420000F9
-:1009800060420000614200006242000063420000D9
-:1009900064420000654200006642000067420000B9
-:1009A00068420000694200006A4200006B42000099
-:1009B0006C4200006D4200006E4200006F42000079
-:1009C0007042000071420000724200007342000059
-:1009D0007442000075420000764200007742000039
-:1009E00078420000794200007A4200007B42000019
-:1009F0007C4200007D4200007E4200007F420000F9
-:100A000080420000814200008242000083420000D8
-:100A100084420000854200008642000087420000B8
-:100A200088420000894200008A4200008B42000098
-:100A30008C4200008D4200008E4200008F42000078
-:100A40009042000091420000924200009342000058
-:100A50009442000095420000964200009742000038
-:100A600098420000994200009A4200009B42000018
-:100A70009C4200009D4200009E4200009F420000F8
-:100A8000A0420000A1420000A2420000A3420000D8
-:100A9000A4420000A5420000A6420000A7420000B8
-:100AA000A8420000A9420000AA420000AB42000098
-:100AB000AC420000AD420000AE420000AF42000078
-:100AC000B0420000B1420000B2420000B342000058
-:100AD000B4420000B5420000B6420000B742000038
-:100AE000B8420000B9420000BA420000BB42000018
-:100AF000BC420000BD420000BE420000BF420000F8
-:100B0000C0420000C1420000C2420000C3420000D7
-:100B1000C4420000C5420000C6420000C7420000B7
-:100B2000C8420000C9420000CA420000CB42000097
-:100B3000CC420000CD420000CE420000CF42000077
-:100B4000D0420000D1420000D2420000D342000057
-:100B5000D4420000D5420000D6420000D742000037
-:100B6000D8420000D9420000DA420000DB42000017
-:100B7000DC420000DD420000DE420000DF420000F7
-:100B8000E0420000E1420000E2420000E3420000D7
-:100B9000E4420000E5420000E6420000E7420000B7
-:100BA000E8420000E9420000EA420000EB42000097
-:100BB000EC420000ED420000EE420000EF42000077
-:100BC000F0420000F1420000F2420000F342000057
-:100BD000F4420000F5420000F6420000F742000037
-:100BE000F8420000F9420000FA420000FB42000017
-:100BF000FC420000FD420000FE420000FF420000F7
-:100C000000430000014300000243000003430000D2
-:100C100004430000054300000643000007430000B2
-:100C200008430000094300000A4300000B43000092
-:100C30000C4300000D4300000E4300000F43000072
-:100C40001043000011430000124300001343000052
-:100C50001443000015430000164300001743000032
-:100C600018430000194300001A4300001B43000012
-:100C70001C4300001D4300001E4300001F430000F2
-:100C800020430000214300002243000023430000D2
-:100C900024430000254300002643000027430000B2
-:100CA00028430000294300002A4300002B43000092
-:100CB0002C4300002D4300002E4300002F43000072
-:100CC0003043000031430000324300003343000052
-:100CD0003443000035430000364300003743000032
-:100CE00038430000394300003A4300003B43000012
-:100CF0003C4300003D4300003E4300003F430000F2
-:100D000040430000414300004243000043430000D1
-:100D100044430000454300004643000047430000B1
-:100D200048430000494300004A4300004B43000091
-:100D30004C4300004D4300004E4300004F43000071
-:100D40005043000051430000524300005343000051
-:100D50005443000055430000564300005743000031
-:100D600058430000594300005A4300005B43000011
-:100D70005C4300005D4300005E4300005F430000F1
-:100D800060430000614300006243000063430000D1
-:100D900064430000654300006643000067430000B1
-:100DA00068430000694300006A4300006B43000091
-:100DB0006C4300006D4300006E4300006F43000071
-:100DC0007043000071430000724300007343000051
-:100DD0007443000075430000764300007743000031
-:100DE00078430000794300007A4300007B43000011
-:100DF0007C4300007D4300007E4300007F430000F1
-:100E000080430000814300008243000083430000D0
-:100E100084430000854300008643000087430000B0
-:100E200088430000894300008A4300008B43000090
-:100E30008C4300008D4300008E4300008F43000070
-:100E40009043000091430000924300009343000050
-:100E50009443000095430000964300009743000030
-:100E600098430000994300009A4300009B43000010
-:100E70009C4300009D4300009E4300009F430000F0
-:100E8000A0430000A1430000A2430000A3430000D0
-:100E9000A4430000A5430000A6430000A7430000B0
-:100EA000A8430000A9430000AA430000AB43000090
-:100EB000AC430000AD430000AE430000AF43000070
-:100EC000B0430000B1430000B2430000B343000050
-:100ED000B4430000B5430000B6430000B743000030
-:100EE000B8430000B9430000BA430000BB43000010
-:100EF000BC430000BD430000BE430000BF430000F0
-:100F0000C0430000C1430000C2430000C3430000CF
-:100F1000C4430000C5430000C6430000C7430000AF
-:100F2000C8430000C9430000CA430000CB4300008F
-:100F3000CC430000CD430000CE430000CF4300006F
-:100F4000D0430000D1430000D2430000D34300004F
-:100F5000D4430000D5430000D6430000D74300002F
-:100F6000D8430000D9430000DA430000DB4300000F
-:100F7000DC430000DD430000DE430000DF430000EF
-:100F8000E0430000E1430000E2430000E3430000CF
-:100F9000E4430000E5430000E6430000E7430000AF
-:100FA000E8430000E9430000EA430000EB4300008F
-:100FB000EC430000ED430000EE430000EF4300006F
-:100FC000F0430000F1430000F2430000F34300004F
-:100FD000F4430000F5430000F6430000F74300002F
-:100FE000F8430000F9430000FA430000FB4300000F
-:100FF000FC430000FD430000FE430000FF430000EF
-:1010000000440000014400000244000003440000CA
-:1010100004440000054400000644000007440000AA
-:1010200008440000094400000A4400000B4400008A
-:101030000C4400000D4400000E4400000F4400006A
-:10104000104400001144000012440000134400004A
-:10105000144400001544000016440000174400002A
-:1010600018440000194400001A4400001B4400000A
-:101070001C4400001D4400001E4400001F440000EA
-:1010800020440000214400002244000023440000CA
-:1010900024440000254400002644000027440000AA
-:1010A00028440000294400002A4400002B4400008A
-:1010B0002C4400002D4400002E4400002F4400006A
-:1010C000304400003144000032440000334400004A
-:1010D000344400003544000036440000374400002A
-:1010E00038440000394400003A4400003B4400000A
-:1010F0003C4400003D4400003E4400003F440000EA
-:1011000040440000414400004244000043440000C9
-:1011100044440000454400004644000047440000A9
-:1011200048440000494400004A4400004B44000089
-:101130004C4400004D4400004E4400004F44000069
-:101140005044000051440000524400005344000049
-:101150005444000055440000564400005744000029
-:1011600058440000594400005A4400005B44000009
-:101170005C4400005D4400005E4400005F440000E9
-:1011800060440000614400006244000063440000C9
-:1011900064440000654400006644000067440000A9
-:1011A00068440000694400006A4400006B44000089
-:1011B0006C4400006D4400006E4400006F44000069
-:1011C0007044000071440000724400007344000049
-:1011D0007444000075440000764400007744000029
-:1011E00078440000794400007A4400007B44000009
-:1011F0007C4400007D4400007E4400007F440000E9
-:1012000080440000814400008244000083440000C8
-:1012100084440000854400008644000087440000A8
-:1012200088440000894400008A4400008B44000088
-:101230008C4400008D4400008E4400008F44000068
-:101240009044000091440000924400009344000048
-:101250009444000095440000964400009744000028
-:1012600098440000994400009A4400009B44000008
-:101270009C4400009D4400009E4400009F440000E8
-:10128000A0440000A1440000A2440000A3440000C8
-:10129000A4440000A5440000A6440000A7440000A8
-:1012A000A8440000A9440000AA440000AB44000088
-:1012B000AC440000AD440000AE440000AF44000068
-:1012C000B0440000B1440000B2440000B344000048
-:1012D000B4440000B5440000B6440000B744000028
-:1012E000B8440000B9440000BA440000BB44000008
-:1012F000BC440000BD440000BE440000BF440000E8
-:10130000C0440000C1440000C2440000C3440000C7
-:10131000C4440000C5440000C6440000C7440000A7
-:10132000C8440000C9440000CA440000CB44000087
-:10133000CC440000CD440000CE440000CF44000067
-:10134000D0440000D1440000D2440000D344000047
-:10135000D4440000D5440000D6440000D744000027
-:10136000D8440000D9440000DA440000DB44000007
-:10137000DC440000DD440000DE440000DF440000E7
-:10138000E0440000E1440000E2440000E3440000C7
-:10139000E4440000E5440000E6440000E7440000A7
-:1013A000E8440000E9440000EA440000EB44000087
-:1013B000EC440000ED440000EE440000EF44000067
-:1013C000F0440000F1440000F2440000F344000047
-:1013D000F4440000F5440000F6440000F744000027
-:1013E000F8440000F9440000FA440000FB44000007
-:1013F000FC440000FD440000FE440000FF440000E7
-:1014000000450000014500000245000003450000C2
-:1014100004450000054500000645000007450000A2
-:1014200008450000094500000A4500000B45000082
-:101430000C4500000D4500000E4500000F45000062
-:101440001045000011450000124500001345000042
-:101450001445000015450000164500001745000022
-:1014600018450000194500001A4500001B45000002
-:101470001C4500001D4500001E4500001F450000E2
-:1014800020450000214500002245000023450000C2
-:1014900024450000254500002645000027450000A2
-:1014A00028450000294500002A4500002B45000082
-:1014B0002C4500002D4500002E4500002F45000062
-:1014C0003045000031450000324500003345000042
-:1014D0003445000035450000364500003745000022
-:1014E00038450000394500003A4500003B45000002
-:1014F0003C4500003D4500003E4500003F450000E2
-:1015000040450000414500004245000043450000C1
-:1015100044450000454500004645000047450000A1
-:1015200048450000494500004A4500004B45000081
-:101530004C4500004D4500004E4500004F45000061
-:101540005045000051450000524500005345000041
-:101550005445000055450000564500005745000021
-:1015600058450000594500005A4500005B45000001
-:101570005C4500005D4500005E4500005F450000E1
-:1015800060450000614500006245000063450000C1
-:1015900064450000654500006645000067450000A1
-:1015A00068450000694500006A4500006B45000081
-:1015B0006C4500006D4500006E4500006F45000061
-:1015C0007045000071450000724500007345000041
-:1015D0007445000075450000764500007745000021
-:1015E00078450000794500007A4500007B45000001
-:1015F0007C4500007D4500007E4500007F450000E1
-:1016000080450000814500008245000083450000C0
-:1016100084450000854500008645000087450000A0
-:1016200088450000894500008A4500008B45000080
-:101630008C4500008D4500008E4500008F45000060
-:101640009045000091450000924500009345000040
-:101650009445000095450000964500009745000020
-:1016600098450000994500009A4500009B45000000
-:101670009C4500009D4500009E4500009F450000E0
-:10168000A0450000A1450000A2450000A3450000C0
-:10169000A4450000A5450000A6450000A7450000A0
-:1016A000A8450000A9450000AA450000AB45000080
-:1016B000AC450000AD450000AE450000AF45000060
-:1016C000B0450000B1450000B2450000B345000040
-:1016D000B4450000B5450000B6450000B745000020
-:1016E000B8450000B9450000BA450000BB45000000
-:1016F000BC450000BD450000BE450000BF450000E0
-:10170000C0450000C1450000C2450000C3450000BF
-:10171000C4450000C5450000C6450000C74500009F
-:10172000C8450000C9450000CA450000CB4500007F
-:10173000CC450000CD450000CE450000CF4500005F
-:10174000D0450000D1450000D2450000D34500003F
-:10175000D4450000D5450000D6450000D74500001F
-:10176000D8450000D9450000DA450000DB450000FF
-:10177000DC450000DD450000DE450000DF450000DF
-:10178000E0450000E1450000E2450000E3450000BF
-:10179000E4450000E5450000E6450000E74500009F
-:1017A000E8450000E9450000EA450000EB4500007F
-:1017B000EC450000ED450000EE450000EF4500005F
-:1017C000F0450000F1450000F2450000F34500003F
-:1017D000F4450000F5450000F6450000F74500001F
-:1017E000F8450000F9450000FA450000FB450000FF
-:1017F000FC450000FD450000FE450000FF450000DF
-:1018000000460000014600000246000003460000BA
-:10181000044600000546000006460000074600009A
-:1018200008460000094600000A4600000B4600007A
-:101830000C4600000D4600000E4600000F4600005A
-:10184000104600001146000012460000134600003A
-:10185000144600001546000016460000174600001A
-:1018600018460000194600001A4600001B460000FA
-:101870001C4600001D4600001E4600001F460000DA
-:1018800020460000214600002246000023460000BA
-:10189000244600002546000026460000274600009A
-:1018A00028460000294600002A4600002B4600007A
-:1018B0002C4600002D4600002E4600002F4600005A
-:1018C000304600003146000032460000334600003A
-:1018D000344600003546000036460000374600001A
-:1018E00038460000394600003A4600003B460000FA
-:1018F0003C4600003D4600003E4600003F460000DA
-:1019000040460000414600004246000043460000B9
-:101910004446000045460000464600004746000099
-:1019200048460000494600004A4600004B46000079
-:101930004C4600004D4600004E4600004F46000059
-:101940005046000051460000524600005346000039
-:101950005446000055460000564600005746000019
-:1019600058460000594600005A4600005B460000F9
-:101970005C4600005D4600005E4600005F460000D9
-:1019800060460000614600006246000063460000B9
-:101990006446000065460000664600006746000099
-:1019A00068460000694600006A4600006B46000079
-:1019B0006C4600006D4600006E4600006F46000059
-:1019C0007046000071460000724600007346000039
-:1019D0007446000075460000764600007746000019
-:1019E00078460000794600007A4600007B460000F9
-:1019F0007C4600007D4600007E4600007F460000D9
-:101A000080460000814600008246000083460000B8
-:101A10008446000085460000864600008746000098
-:101A200088460000894600008A4600008B46000078
-:101A30008C4600008D4600008E4600008F46000058
-:101A40009046000091460000924600009346000038
-:101A50009446000095460000964600009746000018
-:101A600098460000994600009A4600009B460000F8
-:101A70009C4600009D4600009E4600009F460000D8
-:101A8000A0460000A1460000A2460000A3460000B8
-:101A9000A4460000A5460000A6460000A746000098
-:101AA000A8460000A9460000AA460000AB46000078
-:101AB000AC460000AD460000AE460000AF46000058
-:101AC000B0460000B1460000B2460000B346000038
-:101AD000B4460000B5460000B6460000B746000018
-:101AE000B8460000B9460000BA460000BB460000F8
-:101AF000BC460000BD460000BE460000BF460000D8
-:101B0000C0460000C1460000C2460000C3460000B7
-:101B1000C4460000C5460000C6460000C746000097
-:101B2000C8460000C9460000CA460000CB46000077
-:101B3000CC460000CD460000CE460000CF46000057
-:101B4000D0460000D1460000D2460000D346000037
-:101B5000D4460000D5460000D6460000D746000017
-:101B6000D8460000D9460000DA460000DB460000F7
-:101B7000DC460000DD460000DE460000DF460000D7
-:101B8000E0460000E1460000E2460000E3460000B7
-:101B9000E4460000E5460000E6460000E746000097
-:101BA000E8460000E9460000EA460000EB46000077
-:101BB000EC460000ED460000EE460000EF46000057
-:101BC000F0460000F1460000F2460000F346000037
-:101BD000F4460000F5460000F6460000F746000017
-:101BE000F8460000F9460000FA460000FB460000F7
-:101BF000FC460000FD460000FE460000FF460000D7
-:101C000000470000014700000247000003470000B2
-:101C10000447000005470000064700000747000092
-:101C200008470000094700000A4700000B47000072
-:101C30000C4700000D4700000E4700000F47000052
-:101C40001047000011470000124700001347000032
-:101C50001447000015470000164700001747000012
-:101C600018470000194700001A4700001B470000F2
-:101C70001C4700001D4700001E4700001F470000D2
-:101C800020470000214700002247000023470000B2
-:101C90002447000025470000264700002747000092
-:101CA00028470000294700002A4700002B47000072
-:101CB0002C4700002D4700002E4700002F47000052
-:101CC0003047000031470000324700003347000032
-:101CD0003447000035470000364700003747000012
-:101CE00038470000394700003A4700003B470000F2
-:101CF0003C4700003D4700003E4700003F470000D2
-:101D000040470000414700004247000043470000B1
-:101D10004447000045470000464700004747000091
-:101D200048470000494700004A4700004B47000071
-:101D30004C4700004D4700004E4700004F47000051
-:101D40005047000051470000524700005347000031
-:101D50005447000055470000564700005747000011
-:101D600058470000594700005A4700005B470000F1
-:101D70005C4700005D4700005E4700005F470000D1
-:101D800060470000614700006247000063470000B1
-:101D90006447000065470000664700006747000091
-:101DA00068470000694700006A4700006B47000071
-:101DB0006C4700006D4700006E4700006F47000051
-:101DC0007047000071470000724700007347000031
-:101DD0007447000075470000764700007747000011
-:101DE00078470000794700007A4700007B470000F1
-:101DF0007C4700007D4700007E4700007F470000D1
-:101E000080470000814700008247000083470000B0
-:101E10008447000085470000864700008747000090
-:101E200088470000894700008A4700008B47000070
-:101E30008C4700008D4700008E4700008F47000050
-:101E40009047000091470000924700009347000030
-:101E50009447000095470000964700009747000010
-:101E600098470000994700009A4700009B470000F0
-:101E70009C4700009D4700009E4700009F470000D0
-:101E8000A0470000A1470000A2470000A3470000B0
-:101E9000A4470000A5470000A6470000A747000090
-:101EA000A8470000A9470000AA470000AB47000070
-:101EB000AC470000AD470000AE470000AF47000050
-:101EC000B0470000B1470000B2470000B347000030
-:101ED000B4470000B5470000B6470000B747000010
-:101EE000B8470000B9470000BA470000BB470000F0
-:101EF000BC470000BD470000BE470000BF470000D0
-:101F0000C0470000C1470000C2470000C3470000AF
-:101F1000C4470000C5470000C6470000C74700008F
-:101F2000C8470000C9470000CA470000CB4700006F
-:101F3000CC470000CD470000CE470000CF4700004F
-:101F4000D0470000D1470000D2470000D34700002F
-:101F5000D4470000D5470000D6470000D74700000F
-:101F6000D8470000D9470000DA470000DB470000EF
-:101F7000DC470000DD470000DE470000DF470000CF
-:101F8000E0470000E1470000E2470000E3470000AF
-:101F9000E4470000E5470000E6470000E74700008F
-:101FA000E8470000E9470000EA470000EB4700006F
-:101FB000EC470000ED470000EE470000EF4700004F
-:101FC000F0470000F1470000F2470000F34700002F
-:101FD000F4470000F5470000F6470000F74700000F
-:101FE000F8470000F9470000FA470000FB470000EF
-:101FF000FC470000FD470000FE470000FF470000CF
-:1020000000480000014800000248000003480000AA
-:10201000044800000548000006480000074800008A
-:1020200008480000094800000A4800000B4800006A
-:102030000C4800000D4800000E4800000F4800004A
-:10204000104800001148000012480000134800002A
-:10205000144800001548000016480000174800000A
-:1020600018480000194800001A4800001B480000EA
-:102070001C4800001D4800001E4800001F480000CA
-:1020800020480000214800002248000023480000AA
-:10209000244800002548000026480000274800008A
-:1020A00028480000294800002A4800002B4800006A
-:1020B0002C4800002D4800002E4800002F4800004A
-:1020C000304800003148000032480000334800002A
-:1020D000344800003548000036480000374800000A
-:1020E00038480000394800003A4800003B480000EA
-:1020F0003C4800003D4800003E4800003F480000CA
-:1021000040480000414800004248000043480000A9
-:102110004448000045480000464800004748000089
-:1021200048480000494800004A4800004B48000069
-:102130004C4800004D4800004E4800004F48000049
-:102140005048000051480000524800005348000029
-:102150005448000055480000564800005748000009
-:1021600058480000594800005A4800005B480000E9
-:102170005C4800005D4800005E4800005F480000C9
-:1021800060480000614800006248000063480000A9
-:102190006448000065480000664800006748000089
-:1021A00068480000694800006A4800006B48000069
-:1021B0006C4800006D4800006E4800006F48000049
-:1021C0007048000071480000724800007348000029
-:1021D0007448000075480000764800007748000009
-:1021E00078480000794800007A4800007B480000E9
-:1021F0007C4800007D4800007E4800007F480000C9
-:1022000080480000814800008248000083480000A8
-:102210008448000085480000864800008748000088
-:1022200088480000894800008A4800008B48000068
-:102230008C4800008D4800008E4800008F48000048
-:102240009048000091480000924800009348000028
-:102250009448000095480000964800009748000008
-:1022600098480000994800009A4800009B480000E8
-:102270009C4800009D4800009E4800009F480000C8
-:10228000A0480000A1480000A2480000A3480000A8
-:10229000A4480000A5480000A6480000A748000088
-:1022A000A8480000A9480000AA480000AB48000068
-:1022B000AC480000AD480000AE480000AF48000048
-:1022C000B0480000B1480000B2480000B348000028
-:1022D000B4480000B5480000B6480000B748000008
-:1022E000B8480000B9480000BA480000BB480000E8
-:1022F000BC480000BD480000BE480000BF480000C8
-:10230000C0480000C1480000C2480000C3480000A7
-:10231000C4480000C5480000C6480000C748000087
-:10232000C8480000C9480000CA480000CB48000067
-:10233000CC480000CD480000CE480000CF48000047
-:10234000D0480000D1480000D2480000D348000027
-:10235000D4480000D5480000D6480000D748000007
-:10236000D8480000D9480000DA480000DB480000E7
-:10237000DC480000DD480000DE480000DF480000C7
-:10238000E0480000E1480000E2480000E3480000A7
-:10239000E4480000E5480000E6480000E748000087
-:1023A000E8480000E9480000EA480000EB48000067
-:1023B000EC480000ED480000EE480000EF48000047
-:1023C000F0480000F1480000F2480000F348000027
-:1023D000F4480000F5480000F6480000F748000007
-:1023E000F8480000F9480000FA480000FB480000E7
-:1023F000FC480000FD480000FE480000FF480000C7
-:1024000000490000014900000249000003490000A2
-:102410000449000005490000064900000749000082
-:1024200008490000094900000A4900000B49000062
-:102430000C4900000D4900000E4900000F49000042
-:102440001049000011490000124900001349000022
-:102450001449000015490000164900001749000002
-:1024600018490000194900001A4900001B490000E2
-:102470001C4900001D4900001E4900001F490000C2
-:1024800020490000214900002249000023490000A2
-:102490002449000025490000264900002749000082
-:1024A00028490000294900002A4900002B49000062
-:1024B0002C4900002D4900002E4900002F49000042
-:1024C0003049000031490000324900003349000022
-:1024D0003449000035490000364900003749000002
-:1024E00038490000394900003A4900003B490000E2
-:1024F0003C4900003D4900003E4900003F490000C2
-:1025000040490000414900004249000043490000A1
-:102510004449000045490000464900004749000081
-:1025200048490000494900004A4900004B49000061
-:102530004C4900004D4900004E4900004F49000041
-:102540005049000051490000524900005349000021
-:102550005449000055490000564900005749000001
-:1025600058490000594900005A4900005B490000E1
-:102570005C4900005D4900005E4900005F490000C1
-:1025800060490000614900006249000063490000A1
-:102590006449000065490000664900006749000081
-:1025A00068490000694900006A4900006B49000061
-:1025B0006C4900006D4900006E4900006F49000041
-:1025C0007049000071490000724900007349000021
-:1025D0007449000075490000764900007749000001
-:1025E00078490000794900007A4900007B490000E1
-:1025F0007C4900007D4900007E4900007F490000C1
-:1026000080490000814900008249000083490000A0
-:102610008449000085490000864900008749000080
-:1026200088490000894900008A4900008B49000060
-:102630008C4900008D4900008E4900008F49000040
-:102640009049000091490000924900009349000020
-:102650009449000095490000964900009749000000
-:1026600098490000994900009A4900009B490000E0
-:102670009C4900009D4900009E4900009F490000C0
-:10268000A0490000A1490000A2490000A3490000A0
-:10269000A4490000A5490000A6490000A749000080
-:1026A000A8490000A9490000AA490000AB49000060
-:1026B000AC490000AD490000AE490000AF49000040
-:1026C000B0490000B1490000B2490000B349000020
-:1026D000B4490000B5490000B6490000B749000000
-:1026E000B8490000B9490000BA490000BB490000E0
-:1026F000BC490000BD490000BE490000BF490000C0
-:10270000C0490000C1490000C2490000C34900009F
-:10271000C4490000C5490000C6490000C74900007F
-:10272000C8490000C9490000CA490000CB4900005F
-:10273000CC490000CD490000CE490000CF4900003F
-:10274000D0490000D1490000D2490000D34900001F
-:10275000D4490000D5490000D6490000D7490000FF
-:10276000D8490000D9490000DA490000DB490000DF
-:10277000DC490000DD490000DE490000DF490000BF
-:10278000E0490000E1490000E2490000E34900009F
-:10279000E4490000E5490000E6490000E74900007F
-:1027A000E8490000E9490000EA490000EB4900005F
-:1027B000EC490000ED490000EE490000EF4900003F
-:1027C000F0490000F1490000F2490000F34900001F
-:1027D000F4490000F5490000F6490000F7490000FF
-:1027E000F8490000F9490000FA490000FB490000DF
-:1027F000FC490000FD490000FE490000FF490000BF
-:10280000004A0000014A0000024A0000034A00009A
-:10281000044A0000054A0000064A0000074A00007A
-:10282000084A0000094A00000A4A00000B4A00005A
-:102830000C4A00000D4A00000E4A00000F4A00003A
-:10284000104A0000114A0000124A0000134A00001A
-:10285000144A0000154A0000164A0000174A0000FA
-:10286000184A0000194A00001A4A00001B4A0000DA
-:102870001C4A00001D4A00001E4A00001F4A0000BA
-:10288000204A0000214A0000224A0000234A00009A
-:10289000244A0000254A0000264A0000274A00007A
-:1028A000284A0000294A00002A4A00002B4A00005A
-:1028B0002C4A00002D4A00002E4A00002F4A00003A
-:1028C000304A0000314A0000324A0000334A00001A
-:1028D000344A0000354A0000364A0000374A0000FA
-:1028E000384A0000394A00003A4A00003B4A0000DA
-:1028F0003C4A00003D4A00003E4A00003F4A0000BA
-:10290000404A0000414A0000424A0000434A000099
-:10291000444A0000454A0000464A0000474A000079
-:10292000484A0000494A00004A4A00004B4A000059
-:102930004C4A00004D4A00004E4A00004F4A000039
-:10294000504A0000514A0000524A0000534A000019
-:10295000544A0000554A0000564A0000574A0000F9
-:10296000584A0000594A00005A4A00005B4A0000D9
-:102970005C4A00005D4A00005E4A00005F4A0000B9
-:10298000604A0000614A0000624A0000634A000099
-:10299000644A0000654A0000664A0000674A000079
-:1029A000684A0000694A00006A4A00006B4A000059
-:1029B0006C4A00006D4A00006E4A00006F4A000039
-:1029C000704A0000714A0000724A0000734A000019
-:1029D000744A0000754A0000764A0000774A0000F9
-:1029E000784A0000794A00007A4A00007B4A0000D9
-:1029F0007C4A00007D4A00007E4A00007F4A0000B9
-:102A0000804A0000814A0000824A0000834A000098
-:102A1000844A0000854A0000864A0000874A000078
-:102A2000884A0000894A00008A4A00008B4A000058
-:102A30008C4A00008D4A00008E4A00008F4A000038
-:102A4000904A0000914A0000924A0000934A000018
-:102A5000944A0000954A0000964A0000974A0000F8
-:102A6000984A0000994A00009A4A00009B4A0000D8
-:102A70009C4A00009D4A00009E4A00009F4A0000B8
-:102A8000A04A0000A14A0000A24A0000A34A000098
-:102A9000A44A0000A54A0000A64A0000A74A000078
-:102AA000A84A0000A94A0000AA4A0000AB4A000058
-:102AB000AC4A0000AD4A0000AE4A0000AF4A000038
-:102AC000B04A0000B14A0000B24A0000B34A000018
-:102AD000B44A0000B54A0000B64A0000B74A0000F8
-:102AE000B84A0000B94A0000BA4A0000BB4A0000D8
-:102AF000BC4A0000BD4A0000BE4A0000BF4A0000B8
-:102B0000C04A0000C14A0000C24A0000C34A000097
-:102B1000C44A0000C54A0000C64A0000C74A000077
-:102B2000C84A0000C94A0000CA4A0000CB4A000057
-:102B3000CC4A0000CD4A0000CE4A0000CF4A000037
-:102B4000D04A0000D14A0000D24A0000D34A000017
-:102B5000D44A0000D54A0000D64A0000D74A0000F7
-:102B6000D84A0000D94A0000DA4A0000DB4A0000D7
-:102B7000DC4A0000DD4A0000DE4A0000DF4A0000B7
-:102B8000E04A0000E14A0000E24A0000E34A000097
-:102B9000E44A0000E54A0000E64A0000E74A000077
-:102BA000E84A0000E94A0000EA4A0000EB4A000057
-:102BB000EC4A0000ED4A0000EE4A0000EF4A000037
-:102BC000F04A0000F14A0000F24A0000F34A000017
-:102BD000F44A0000F54A0000F64A0000F74A0000F7
-:102BE000F84A0000F94A0000FA4A0000FB4A0000D7
-:102BF000FC4A0000FD4A0000FE4A0000FF4A0000B7
-:102C0000004B0000014B0000024B0000034B000092
-:102C1000044B0000054B0000064B0000074B000072
-:102C2000084B0000094B00000A4B00000B4B000052
-:102C30000C4B00000D4B00000E4B00000F4B000032
-:102C4000104B0000114B0000124B0000134B000012
-:102C5000144B0000154B0000164B0000174B0000F2
-:102C6000184B0000194B00001A4B00001B4B0000D2
-:102C70001C4B00001D4B00001E4B00001F4B0000B2
-:102C8000204B0000214B0000224B0000234B000092
-:102C9000244B0000254B0000264B0000274B000072
-:102CA000284B0000294B00002A4B00002B4B000052
-:102CB0002C4B00002D4B00002E4B00002F4B000032
-:102CC000304B0000314B0000324B0000334B000012
-:102CD000344B0000354B0000364B0000374B0000F2
-:102CE000384B0000394B00003A4B00003B4B0000D2
-:102CF0003C4B00003D4B00003E4B00003F4B0000B2
-:102D0000404B0000414B0000424B0000434B000091
-:102D1000444B0000454B0000464B0000474B000071
-:102D2000484B0000494B00004A4B00004B4B000051
-:102D30004C4B00004D4B00004E4B00004F4B000031
-:102D4000504B0000514B0000524B0000534B000011
-:102D5000544B0000554B0000564B0000574B0000F1
-:102D6000584B0000594B00005A4B00005B4B0000D1
-:102D70005C4B00005D4B00005E4B00005F4B0000B1
-:102D8000604B0000614B0000624B0000634B000091
-:102D9000644B0000654B0000664B0000674B000071
-:102DA000684B0000694B00006A4B00006B4B000051
-:102DB0006C4B00006D4B00006E4B00006F4B000031
-:102DC000704B0000714B0000724B0000734B000011
-:102DD000744B0000754B0000764B0000774B0000F1
-:102DE000784B0000794B00007A4B00007B4B0000D1
-:102DF0007C4B00007D4B00007E4B00007F4B0000B1
-:102E0000804B0000814B0000824B0000834B000090
-:102E1000844B0000854B0000864B0000874B000070
-:102E2000884B0000894B00008A4B00008B4B000050
-:102E30008C4B00008D4B00008E4B00008F4B000030
-:102E4000904B0000914B0000924B0000934B000010
-:102E5000944B0000954B0000964B0000974B0000F0
-:102E6000984B0000994B00009A4B00009B4B0000D0
-:102E70009C4B00009D4B00009E4B00009F4B0000B0
-:102E8000A04B0000A14B0000A24B0000A34B000090
-:102E9000A44B0000A54B0000A64B0000A74B000070
-:102EA000A84B0000A94B0000AA4B0000AB4B000050
-:102EB000AC4B0000AD4B0000AE4B0000AF4B000030
-:102EC000B04B0000B14B0000B24B0000B34B000010
-:102ED000B44B0000B54B0000B64B0000B74B0000F0
-:102EE000B84B0000B94B0000BA4B0000BB4B0000D0
-:102EF000BC4B0000BD4B0000BE4B0000BF4B0000B0
-:102F0000C04B0000C14B0000C24B0000C34B00008F
-:102F1000C44B0000C54B0000C64B0000C74B00006F
-:102F2000C84B0000C94B0000CA4B0000CB4B00004F
-:102F3000CC4B0000CD4B0000CE4B0000CF4B00002F
-:102F4000D04B0000D14B0000D24B0000D34B00000F
-:102F5000D44B0000D54B0000D64B0000D74B0000EF
-:102F6000D84B0000D94B0000DA4B0000DB4B0000CF
-:102F7000DC4B0000DD4B0000DE4B0000DF4B0000AF
-:102F8000E04B0000E14B0000E24B0000E34B00008F
-:102F9000E44B0000E54B0000E64B0000E74B00006F
-:102FA000E84B0000E94B0000EA4B0000EB4B00004F
-:102FB000EC4B0000ED4B0000EE4B0000EF4B00002F
-:102FC000F04B0000F14B0000F24B0000F34B00000F
-:102FD000F44B0000F54B0000F64B0000F74B0000EF
-:102FE000F84B0000F94B0000FA4B0000FB4B0000CF
-:102FF000FC4B0000FD4B0000FE4B0000FF4B0000AF
-:10300000004C0000014C0000024C0000034C00008A
-:10301000044C0000054C0000064C0000074C00006A
-:10302000084C0000094C00000A4C00000B4C00004A
-:103030000C4C00000D4C00000E4C00000F4C00002A
-:10304000104C0000114C0000124C0000134C00000A
-:10305000144C0000154C0000164C0000174C0000EA
-:10306000184C0000194C00001A4C00001B4C0000CA
-:103070001C4C00001D4C00001E4C00001F4C0000AA
-:10308000204C0000214C0000224C0000234C00008A
-:10309000244C0000254C0000264C0000274C00006A
-:1030A000284C0000294C00002A4C00002B4C00004A
-:1030B0002C4C00002D4C00002E4C00002F4C00002A
-:1030C000304C0000314C0000324C0000334C00000A
-:1030D000344C0000354C0000364C0000374C0000EA
-:1030E000384C0000394C00003A4C00003B4C0000CA
-:1030F0003C4C00003D4C00003E4C00003F4C0000AA
-:10310000404C0000414C0000424C0000434C000089
-:10311000444C0000454C0000464C0000474C000069
-:10312000484C0000494C00004A4C00004B4C000049
-:103130004C4C00004D4C00004E4C00004F4C000029
-:10314000504C0000514C0000524C0000534C000009
-:10315000544C0000554C0000564C0000574C0000E9
-:10316000584C0000594C00005A4C00005B4C0000C9
-:103170005C4C00005D4C00005E4C00005F4C0000A9
-:10318000604C0000614C0000624C0000634C000089
-:10319000644C0000654C0000664C0000674C000069
-:1031A000684C0000694C00006A4C00006B4C000049
-:1031B0006C4C00006D4C00006E4C00006F4C000029
-:1031C000704C0000714C0000724C0000734C000009
-:1031D000744C0000754C0000764C0000774C0000E9
-:1031E000784C0000794C00007A4C00007B4C0000C9
-:1031F0007C4C00007D4C00007E4C00007F4C0000A9
-:10320000804C0000814C0000824C0000834C000088
-:10321000844C0000854C0000864C0000874C000068
-:10322000884C0000894C00008A4C00008B4C000048
-:103230008C4C00008D4C00008E4C00008F4C000028
-:10324000904C0000914C0000924C0000934C000008
-:10325000944C0000954C0000964C0000974C0000E8
-:10326000984C0000994C00009A4C00009B4C0000C8
-:103270009C4C00009D4C00009E4C00009F4C0000A8
-:10328000A04C0000A14C0000A24C0000A34C000088
-:10329000A44C0000A54C0000A64C0000A74C000068
-:1032A000A84C0000A94C0000AA4C0000AB4C000048
-:1032B000AC4C0000AD4C0000AE4C0000AF4C000028
-:1032C000B04C0000B14C0000B24C0000B34C000008
-:1032D000B44C0000B54C0000B64C0000B74C0000E8
-:1032E000B84C0000B94C0000BA4C0000BB4C0000C8
-:1032F000BC4C0000BD4C0000BE4C0000BF4C0000A8
-:10330000C04C0000C14C0000C24C0000C34C000087
-:10331000C44C0000C54C0000C64C0000C74C000067
-:10332000C84C0000C94C0000CA4C0000CB4C000047
-:10333000CC4C0000CD4C0000CE4C0000CF4C000027
-:10334000D04C0000D14C0000D24C0000D34C000007
-:10335000D44C0000D54C0000D64C0000D74C0000E7
-:10336000D84C0000D94C0000DA4C0000DB4C0000C7
-:10337000DC4C0000DD4C0000DE4C0000DF4C0000A7
-:10338000E04C0000E14C0000E24C0000E34C000087
-:10339000E44C0000E54C0000E64C0000E74C000067
-:1033A000E84C0000E94C0000EA4C0000EB4C000047
-:1033B000EC4C0000ED4C0000EE4C0000EF4C000027
-:1033C000F04C0000F14C0000F24C0000F34C000007
-:1033D000F44C0000F54C0000F64C0000F74C0000E7
-:1033E000F84C0000F94C0000FA4C0000FB4C0000C7
-:1033F000FC4C0000FD4C0000FE4C0000FF4C0000A7
-:10340000004D0000014D0000024D0000034D000082
-:10341000044D0000054D0000064D0000074D000062
-:10342000084D0000094D00000A4D00000B4D000042
-:103430000C4D00000D4D00000E4D00000F4D000022
-:10344000104D0000114D0000124D0000134D000002
-:10345000144D0000154D0000164D0000174D0000E2
-:10346000184D0000194D00001A4D00001B4D0000C2
-:103470001C4D00001D4D00001E4D00001F4D0000A2
-:10348000204D0000214D0000224D0000234D000082
-:10349000244D0000254D0000264D0000274D000062
-:1034A000284D0000294D00002A4D00002B4D000042
-:1034B0002C4D00002D4D00002E4D00002F4D000022
-:1034C000304D0000314D0000324D0000334D000002
-:1034D000344D0000354D0000364D0000374D0000E2
-:1034E000384D0000394D00003A4D00003B4D0000C2
-:1034F0003C4D00003D4D00003E4D00003F4D0000A2
-:10350000404D0000414D0000424D0000434D000081
-:10351000444D0000454D0000464D0000474D000061
-:10352000484D0000494D00004A4D00004B4D000041
-:103530004C4D00004D4D00004E4D00004F4D000021
-:10354000504D0000514D0000524D0000534D000001
-:10355000544D0000554D0000564D0000574D0000E1
-:10356000584D0000594D00005A4D00005B4D0000C1
-:103570005C4D00005D4D00005E4D00005F4D0000A1
-:10358000604D0000614D0000624D0000634D000081
-:10359000644D0000654D0000664D0000674D000061
-:1035A000684D0000694D00006A4D00006B4D000041
-:1035B0006C4D00006D4D00006E4D00006F4D000021
-:1035C000704D0000714D0000724D0000734D000001
-:1035D000744D0000754D0000764D0000774D0000E1
-:1035E000784D0000794D00007A4D00007B4D0000C1
-:1035F0007C4D00007D4D00007E4D00007F4D0000A1
-:10360000804D0000814D0000824D0000834D000080
-:10361000844D0000854D0000864D0000874D000060
-:10362000884D0000894D00008A4D00008B4D000040
-:103630008C4D00008D4D00008E4D00008F4D000020
-:10364000904D0000914D0000924D0000934D000000
-:10365000944D0000954D0000964D0000974D0000E0
-:10366000984D0000994D00009A4D00009B4D0000C0
-:103670009C4D00009D4D00009E4D00009F4D0000A0
-:10368000A04D0000A14D0000A24D0000A34D000080
-:10369000A44D0000A54D0000A64D0000A74D000060
-:1036A000A84D0000A94D0000AA4D0000AB4D000040
-:1036B000AC4D0000AD4D0000AE4D0000AF4D000020
-:1036C000B04D0000B14D0000B24D0000B34D000000
-:1036D000B44D0000B54D0000B64D0000B74D0000E0
-:1036E000B84D0000B94D0000BA4D0000BB4D0000C0
-:1036F000BC4D0000BD4D0000BE4D0000BF4D0000A0
-:10370000C04D0000C14D0000C24D0000C34D00007F
-:10371000C44D0000C54D0000C64D0000C74D00005F
-:10372000C84D0000C94D0000CA4D0000CB4D00003F
-:10373000CC4D0000CD4D0000CE4D0000CF4D00001F
-:10374000D04D0000D14D0000D24D0000D34D0000FF
-:10375000D44D0000D54D0000D64D0000D74D0000DF
-:10376000D84D0000D94D0000DA4D0000DB4D0000BF
-:10377000DC4D0000DD4D0000DE4D0000DF4D00009F
-:10378000E04D0000E14D0000E24D0000E34D00007F
-:10379000E44D0000E54D0000E64D0000E74D00005F
-:1037A000E84D0000E94D0000EA4D0000EB4D00003F
-:1037B000EC4D0000ED4D0000EE4D0000EF4D00001F
-:1037C000F04D0000F14D0000F24D0000F34D0000FF
-:1037D000F44D0000F54D0000F64D0000F74D0000DF
-:1037E000F84D0000F94D0000FA4D0000FB4D0000BF
-:1037F000FC4D0000FD4D0000FE4D0000FF4D00009F
-:10380000004E0000014E0000024E0000034E00007A
-:10381000044E0000054E0000064E0000074E00005A
-:10382000084E0000094E00000A4E00000B4E00003A
-:103830000C4E00000D4E00000E4E00000F4E00001A
-:10384000104E0000114E0000124E0000134E0000FA
-:10385000144E0000154E0000164E0000174E0000DA
-:10386000184E0000194E00001A4E00001B4E0000BA
-:103870001C4E00001D4E00001E4E00001F4E00009A
-:10388000204E0000214E0000224E0000234E00007A
-:10389000244E0000254E0000264E0000274E00005A
-:1038A000284E0000294E00002A4E00002B4E00003A
-:1038B0002C4E00002D4E00002E4E00002F4E00001A
-:1038C000304E0000314E0000324E0000334E0000FA
-:1038D000344E0000354E0000364E0000374E0000DA
-:1038E000384E0000394E00003A4E00003B4E0000BA
-:1038F0003C4E00003D4E00003E4E00003F4E00009A
-:10390000404E0000414E0000424E0000434E000079
-:10391000444E0000454E0000464E0000474E000059
-:10392000484E0000494E00004A4E00004B4E000039
-:103930004C4E00004D4E00004E4E00004F4E000019
-:10394000504E0000514E0000524E0000534E0000F9
-:10395000544E0000554E0000564E0000574E0000D9
-:10396000584E0000594E00005A4E00005B4E0000B9
-:103970005C4E00005D4E00005E4E00005F4E000099
-:10398000604E0000614E0000624E0000634E000079
-:10399000644E0000654E0000664E0000674E000059
-:1039A000684E0000694E00006A4E00006B4E000039
-:1039B0006C4E00006D4E00006E4E00006F4E000019
-:1039C000704E0000714E0000724E0000734E0000F9
-:1039D000744E0000754E0000764E0000774E0000D9
-:1039E000784E0000794E00007A4E00007B4E0000B9
-:1039F0007C4E00007D4E00007E4E00007F4E000099
-:103A0000804E0000814E0000824E0000834E000078
-:103A1000844E0000854E0000864E0000874E000058
-:103A2000884E0000894E00008A4E00008B4E000038
-:103A30008C4E00008D4E00008E4E00008F4E000018
-:103A4000904E0000914E0000924E0000934E0000F8
-:103A5000944E0000954E0000964E0000974E0000D8
-:103A6000984E0000994E00009A4E00009B4E0000B8
-:103A70009C4E00009D4E00009E4E00009F4E000098
-:103A8000A04E0000A14E0000A24E0000A34E000078
-:103A9000A44E0000A54E0000A64E0000A74E000058
-:103AA000A84E0000A94E0000AA4E0000AB4E000038
-:103AB000AC4E0000AD4E0000AE4E0000AF4E000018
-:103AC000B04E0000B14E0000B24E0000B34E0000F8
-:103AD000B44E0000B54E0000B64E0000B74E0000D8
-:103AE000B84E0000B94E0000BA4E0000BB4E0000B8
-:103AF000BC4E0000BD4E0000BE4E0000BF4E000098
-:103B0000C04E0000C14E0000C24E0000C34E000077
-:103B1000C44E0000C54E0000C64E0000C74E000057
-:103B2000C84E0000C94E0000CA4E0000CB4E000037
-:103B3000CC4E0000CD4E0000CE4E0000CF4E000017
-:103B4000D04E0000D14E0000D24E0000D34E0000F7
-:103B5000D44E0000D54E0000D64E0000D74E0000D7
-:103B6000D84E0000D94E0000DA4E0000DB4E0000B7
-:103B7000DC4E0000DD4E0000DE4E0000DF4E000097
-:103B8000E04E0000E14E0000E24E0000E34E000077
-:103B9000E44E0000E54E0000E64E0000E74E000057
-:103BA000E84E0000E94E0000EA4E0000EB4E000037
-:103BB000EC4E0000ED4E0000EE4E0000EF4E000017
-:103BC000F04E0000F14E0000F24E0000F34E0000F7
-:103BD000F44E0000F54E0000F64E0000F74E0000D7
-:103BE000F84E0000F94E0000FA4E0000FB4E0000B7
-:103BF000FC4E0000FD4E0000FE4E0000FF4E000097
-:103C0000004F0000014F0000024F0000034F000072
-:103C1000044F0000054F0000064F0000074F000052
-:103C2000084F0000094F00000A4F00000B4F000032
-:103C30000C4F00000D4F00000E4F00000F4F000012
-:103C4000104F0000114F0000124F0000134F0000F2
-:103C5000144F0000154F0000164F0000174F0000D2
-:103C6000184F0000194F00001A4F00001B4F0000B2
-:103C70001C4F00001D4F00001E4F00001F4F000092
-:103C8000204F0000214F0000224F0000234F000072
-:103C9000244F0000254F0000264F0000274F000052
-:103CA000284F0000294F00002A4F00002B4F000032
-:103CB0002C4F00002D4F00002E4F00002F4F000012
-:103CC000304F0000314F0000324F0000334F0000F2
-:103CD000344F0000354F0000364F0000374F0000D2
-:103CE000384F0000394F00003A4F00003B4F0000B2
-:103CF0003C4F00003D4F00003E4F00003F4F000092
-:103D0000404F0000414F0000424F0000434F000071
-:103D1000444F0000454F0000464F0000474F000051
-:103D2000484F0000494F00004A4F00004B4F000031
-:103D30004C4F00004D4F00004E4F00004F4F000011
-:103D4000504F0000514F0000524F0000534F0000F1
-:103D5000544F0000554F0000564F0000574F0000D1
-:103D6000584F0000594F00005A4F00005B4F0000B1
-:103D70005C4F00005D4F00005E4F00005F4F000091
-:103D8000604F0000614F0000624F0000634F000071
-:103D9000644F0000654F0000664F0000674F000051
-:103DA000684F0000694F00006A4F00006B4F000031
-:103DB0006C4F00006D4F00006E4F00006F4F000011
-:103DC000704F0000714F0000724F0000734F0000F1
-:103DD000744F0000754F0000764F0000774F0000D1
-:103DE000784F0000794F00007A4F00007B4F0000B1
-:103DF0007C4F00007D4F00007E4F00007F4F000091
-:103E0000804F0000814F0000824F0000834F000070
-:103E1000844F0000854F0000864F0000874F000050
-:103E2000884F0000894F00008A4F00008B4F000030
-:103E30008C4F00008D4F00008E4F00008F4F000010
-:103E4000904F0000914F0000924F0000934F0000F0
-:103E5000944F0000954F0000964F0000974F0000D0
-:103E6000984F0000994F00009A4F00009B4F0000B0
-:103E70009C4F00009D4F00009E4F00009F4F000090
-:103E8000A04F0000A14F0000A24F0000A34F000070
-:103E9000A44F0000A54F0000A64F0000A74F000050
-:103EA000A84F0000A94F0000AA4F0000AB4F000030
-:103EB000AC4F0000AD4F0000AE4F0000AF4F000010
-:103EC000B04F0000B14F0000B24F0000B34F0000F0
-:103ED000B44F0000B54F0000B64F0000B74F0000D0
-:103EE000B84F0000B94F0000BA4F0000BB4F0000B0
-:103EF000BC4F0000BD4F0000BE4F0000BF4F000090
-:103F0000C04F0000C14F0000C24F0000C34F00006F
-:103F1000C44F0000C54F0000C64F0000C74F00004F
-:103F2000C84F0000C94F0000CA4F0000CB4F00002F
-:103F3000CC4F0000CD4F0000CE4F0000CF4F00000F
-:103F4000D04F0000D14F0000D24F0000D34F0000EF
-:103F5000D44F0000D54F0000D64F0000D74F0000CF
-:103F6000D84F0000D94F0000DA4F0000DB4F0000AF
-:103F7000DC4F0000DD4F0000DE4F0000DF4F00008F
-:103F8000E04F0000E14F0000E24F0000E34F00006F
-:103F9000E44F0000E54F0000E64F0000E74F00004F
-:103FA000E84F0000E94F0000EA4F0000EB4F00002F
-:103FB000EC4F0000ED4F0000EE4F0000EF4F00000F
-:103FC000F04F0000F14F0000F24F0000F34F0000EF
-:103FD000F44F0000F54F0000F64F0000F74F0000CF
-:103FE000F84F0000F94F0000FA4F0000FB4F0000AF
-:103FF000FC4F0000FD4F0000FE4F0000FF4F00008F
-:10400000005000000150000002500000035000006A
-:10401000045000000550000006500000075000004A
-:1040200008500000095000000A5000000B5000002A
-:104030000C5000000D5000000E5000000F5000000A
-:1040400010500000115000001250000013500000EA
-:1040500014500000155000001650000017500000CA
-:1040600018500000195000001A5000001B500000AA
-:104070001C5000001D5000001E5000001F5000008A
-:10408000205000002150000022500000235000006A
-:10409000245000002550000026500000275000004A
-:1040A00028500000295000002A5000002B5000002A
-:1040B0002C5000002D5000002E5000002F5000000A
-:1040C00030500000315000003250000033500000EA
-:1040D00034500000355000003650000037500000CA
-:1040E00038500000395000003A5000003B500000AA
-:1040F0003C5000003D5000003E5000003F5000008A
-:104100004050000041500000425000004350000069
-:104110004450000045500000465000004750000049
-:1041200048500000495000004A5000004B50000029
-:104130004C5000004D5000004E5000004F50000009
-:1041400050500000515000005250000053500000E9
-:1041500054500000555000005650000057500000C9
-:1041600058500000595000005A5000005B500000A9
-:104170005C5000005D5000005E5000005F50000089
-:104180006050000061500000625000006350000069
-:104190006450000065500000665000006750000049
-:1041A00068500000695000006A5000006B50000029
-:1041B0006C5000006D5000006E5000006F50000009
-:1041C00070500000715000007250000073500000E9
-:1041D00074500000755000007650000077500000C9
-:1041E00078500000795000007A5000007B500000A9
-:1041F0007C5000007D5000007E5000007F50000089
-:104200008050000081500000825000008350000068
-:104210008450000085500000865000008750000048
-:1042200088500000895000008A5000008B50000028
-:104230008C5000008D5000008E5000008F50000008
-:1042400090500000915000009250000093500000E8
-:1042500094500000955000009650000097500000C8
-:1042600098500000995000009A5000009B500000A8
-:104270009C5000009D5000009E5000009F50000088
-:10428000A0500000A1500000A2500000A350000068
-:10429000A4500000A5500000A6500000A750000048
-:1042A000A8500000A9500000AA500000AB50000028
-:1042B000AC500000AD500000AE500000AF50000008
-:1042C000B0500000B1500000B2500000B3500000E8
-:1042D000B4500000B5500000B6500000B7500000C8
-:1042E000B8500000B9500000BA500000BB500000A8
-:1042F000BC500000BD500000BE500000BF50000088
-:10430000C0500000C1500000C2500000C350000067
-:10431000C4500000C5500000C6500000C750000047
-:10432000C8500000C9500000CA500000CB50000027
-:10433000CC500000CD500000CE500000CF50000007
-:10434000D0500000D1500000D2500000D3500000E7
-:10435000D4500000D5500000D6500000D7500000C7
-:10436000D8500000D9500000DA500000DB500000A7
-:10437000DC500000DD500000DE500000DF50000087
-:10438000E0500000E1500000E2500000E350000067
-:10439000E4500000E5500000E6500000E750000047
-:1043A000E8500000E9500000EA500000EB50000027
-:1043B000EC500000ED500000EE500000EF50000007
-:1043C000F0500000F1500000F2500000F3500000E7
-:1043D000F4500000F5500000F6500000F7500000C7
-:1043E000F8500000F9500000FA500000FB500000A7
-:1043F000FC500000FD500000FE500000FF50000087
-:104400000051000001510000025100000351000062
-:104410000451000005510000065100000751000042
-:1044200008510000095100000A5100000B51000022
-:104430000C5100000D5100000E5100000F51000002
-:1044400010510000115100001251000013510000E2
-:1044500014510000155100001651000017510000C2
-:1044600018510000195100001A5100001B510000A2
-:104470001C5100001D5100001E5100001F51000082
-:104480002051000021510000225100002351000062
-:104490002451000025510000265100002751000042
-:1044A00028510000295100002A5100002B51000022
-:1044B0002C5100002D5100002E5100002F51000002
-:1044C00030510000315100003251000033510000E2
-:1044D00034510000355100003651000037510000C2
-:1044E00038510000395100003A5100003B510000A2
-:1044F0003C5100003D5100003E5100003F51000082
-:104500004051000041510000425100004351000061
-:104510004451000045510000465100004751000041
-:1045200048510000495100004A5100004B51000021
-:104530004C5100004D5100004E5100004F51000001
-:1045400050510000515100005251000053510000E1
-:1045500054510000555100005651000057510000C1
-:1045600058510000595100005A5100005B510000A1
-:104570005C5100005D5100005E5100005F51000081
-:104580006051000061510000625100006351000061
-:104590006451000065510000665100006751000041
-:1045A00068510000695100006A5100006B51000021
-:1045B0006C5100006D5100006E5100006F51000001
-:1045C00070510000715100007251000073510000E1
-:1045D00074510000755100007651000077510000C1
-:1045E00078510000795100007A5100007B510000A1
-:1045F0007C5100007D5100007E5100007F51000081
-:104600008051000081510000825100008351000060
-:104610008451000085510000865100008751000040
-:1046200088510000895100008A5100008B51000020
-:104630008C5100008D5100008E5100008F51000000
-:1046400090510000915100009251000093510000E0
-:1046500094510000955100009651000097510000C0
-:1046600098510000995100009A5100009B510000A0
-:104670009C5100009D5100009E5100009F51000080
-:10468000A0510000A1510000A2510000A351000060
-:10469000A4510000A5510000A6510000A751000040
-:1046A000A8510000A9510000AA510000AB51000020
-:1046B000AC510000AD510000AE510000AF51000000
-:1046C000B0510000B1510000B2510000B3510000E0
-:1046D000B4510000B5510000B6510000B7510000C0
-:1046E000B8510000B9510000BA510000BB510000A0
-:1046F000BC510000BD510000BE510000BF51000080
-:10470000C0510000C1510000C2510000C35100005F
-:10471000C4510000C5510000C6510000C75100003F
-:10472000C8510000C9510000CA510000CB5100001F
-:10473000CC510000CD510000CE510000CF510000FF
-:10474000D0510000D1510000D2510000D3510000DF
-:10475000D4510000D5510000D6510000D7510000BF
-:10476000D8510000D9510000DA510000DB5100009F
-:10477000DC510000DD510000DE510000DF5100007F
-:10478000E0510000E1510000E2510000E35100005F
-:10479000E4510000E5510000E6510000E75100003F
-:1047A000E8510000E9510000EA510000EB5100001F
-:1047B000EC510000ED510000EE510000EF510000FF
-:1047C000F0510000F1510000F2510000F3510000DF
-:1047D000F4510000F5510000F6510000F7510000BF
-:1047E000F8510000F9510000FA510000FB5100009F
-:1047F000FC510000FD510000FE510000FF5100007F
-:10480000005200000152000002520000035200005A
-:10481000045200000552000006520000075200003A
-:1048200008520000095200000A5200000B5200001A
-:104830000C5200000D5200000E5200000F520000FA
-:1048400010520000115200001252000013520000DA
-:1048500014520000155200001652000017520000BA
-:1048600018520000195200001A5200001B5200009A
-:104870001C5200001D5200001E5200001F5200007A
-:10488000205200002152000022520000235200005A
-:10489000245200002552000026520000275200003A
-:1048A00028520000295200002A5200002B5200001A
-:1048B0002C5200002D5200002E5200002F520000FA
-:1048C00030520000315200003252000033520000DA
-:1048D00034520000355200003652000037520000BA
-:1048E00038520000395200003A5200003B5200009A
-:1048F0003C5200003D5200003E5200003F5200007A
-:104900004052000041520000425200004352000059
-:104910004452000045520000465200004752000039
-:1049200048520000495200004A5200004B52000019
-:104930004C5200004D5200004E5200004F520000F9
-:1049400050520000515200005252000053520000D9
-:1049500054520000555200005652000057520000B9
-:1049600058520000595200005A5200005B52000099
-:104970005C5200005D5200005E5200005F52000079
-:104980006052000061520000625200006352000059
-:104990006452000065520000665200006752000039
-:1049A00068520000695200006A5200006B52000019
-:1049B0006C5200006D5200006E5200006F520000F9
-:1049C00070520000715200007252000073520000D9
-:1049D00074520000755200007652000077520000B9
-:1049E00078520000795200007A5200007B52000099
-:1049F0007C5200007D5200007E5200007F52000079
-:104A00008052000081520000825200008352000058
-:104A10008452000085520000865200008752000038
-:104A200088520000895200008A5200008B52000018
-:104A30008C5200008D5200008E5200008F520000F8
-:104A400090520000915200009252000093520000D8
-:104A500094520000955200009652000097520000B8
-:104A600098520000995200009A5200009B52000098
-:104A70009C5200009D5200009E5200009F52000078
-:104A8000A0520000A1520000A2520000A352000058
-:104A9000A4520000A5520000A6520000A752000038
-:104AA000A8520000A9520000AA520000AB52000018
-:104AB000AC520000AD520000AE520000AF520000F8
-:104AC000B0520000B1520000B2520000B3520000D8
-:104AD000B4520000B5520000B6520000B7520000B8
-:104AE000B8520000B9520000BA520000BB52000098
-:104AF000BC520000BD520000BE520000BF52000078
-:104B0000C0520000C1520000C2520000C352000057
-:104B1000C4520000C5520000C6520000C752000037
-:104B2000C8520000C9520000CA520000CB52000017
-:104B3000CC520000CD520000CE520000CF520000F7
-:104B4000D0520000D1520000D2520000D3520000D7
-:104B5000D4520000D5520000D6520000D7520000B7
-:104B6000D8520000D9520000DA520000DB52000097
-:104B7000DC520000DD520000DE520000DF52000077
-:104B8000E0520000E1520000E2520000E352000057
-:104B9000E4520000E5520000E6520000E752000037
-:104BA000E8520000E9520000EA520000EB52000017
-:104BB000EC520000ED520000EE520000EF520000F7
-:104BC000F0520000F1520000F2520000F3520000D7
-:104BD000F4520000F5520000F6520000F7520000B7
-:104BE000F8520000F9520000FA520000FB52000097
-:104BF000FC520000FD520000FE520000FF52000077
-:104C00000053000001530000025300000353000052
-:104C10000453000005530000065300000753000032
-:104C200008530000095300000A5300000B53000012
-:104C30000C5300000D5300000E5300000F530000F2
-:104C400010530000115300001253000013530000D2
-:104C500014530000155300001653000017530000B2
-:104C600018530000195300001A5300001B53000092
-:104C70001C5300001D5300001E5300001F53000072
-:104C80002053000021530000225300002353000052
-:104C90002453000025530000265300002753000032
-:104CA00028530000295300002A5300002B53000012
-:104CB0002C5300002D5300002E5300002F530000F2
-:104CC00030530000315300003253000033530000D2
-:104CD00034530000355300003653000037530000B2
-:104CE00038530000395300003A5300003B53000092
-:104CF0003C5300003D5300003E5300003F53000072
-:104D00004053000041530000425300004353000051
-:104D10004453000045530000465300004753000031
-:104D200048530000495300004A5300004B53000011
-:104D30004C5300004D5300004E5300004F530000F1
-:104D400050530000515300005253000053530000D1
-:104D500054530000555300005653000057530000B1
-:104D600058530000595300005A5300005B53000091
-:104D70005C5300005D5300005E5300005F53000071
-:104D80006053000061530000625300006353000051
-:104D90006453000065530000665300006753000031
-:104DA00068530000695300006A5300006B53000011
-:104DB0006C5300006D5300006E5300006F530000F1
-:104DC00070530000715300007253000073530000D1
-:104DD00074530000755300007653000077530000B1
-:104DE00078530000795300007A5300007B53000091
-:104DF0007C5300007D5300007E5300007F53000071
-:104E00008053000081530000825300008353000050
-:104E10008453000085530000865300008753000030
-:104E200088530000895300008A5300008B53000010
-:104E30008C5300008D5300008E5300008F530000F0
-:104E400090530000915300009253000093530000D0
-:104E500094530000955300009653000097530000B0
-:104E600098530000995300009A5300009B53000090
-:104E70009C5300009D5300009E5300009F53000070
-:104E8000A0530000A1530000A2530000A353000050
-:104E9000A4530000A5530000A6530000A753000030
-:104EA000A8530000A9530000AA530000AB53000010
-:104EB000AC530000AD530000AE530000AF530000F0
-:104EC000B0530000B1530000B2530000B3530000D0
-:104ED000B4530000B5530000B6530000B7530000B0
-:104EE000B8530000B9530000BA530000BB53000090
-:104EF000BC530000BD530000BE530000BF53000070
-:104F0000C0530000C1530000C2530000C35300004F
-:104F1000C4530000C5530000C6530000C75300002F
-:104F2000C8530000C9530000CA530000CB5300000F
-:104F3000CC530000CD530000CE530000CF530000EF
-:104F4000D0530000D1530000D2530000D3530000CF
-:104F5000D4530000D5530000D6530000D7530000AF
-:104F6000D8530000D9530000DA530000DB5300008F
-:104F7000DC530000DD530000DE530000DF5300006F
-:104F8000E0530000E1530000E2530000E35300004F
-:104F9000E4530000E5530000E6530000E75300002F
-:104FA000E8530000E9530000EA530000EB5300000F
-:104FB000EC530000ED530000EE530000EF530000EF
-:104FC000F0530000F1530000F2530000F3530000CF
-:104FD000F4530000F5530000F6530000F7530000AF
-:104FE000F8530000F9530000FA530000FB5300008F
-:104FF000FC530000FD530000FE530000FF5300006F
-:10500000005400000154000002540000035400004A
-:10501000045400000554000006540000075400002A
-:1050200008540000095400000A5400000B5400000A
-:105030000C5400000D5400000E5400000F540000EA
-:1050400010540000115400001254000013540000CA
-:1050500014540000155400001654000017540000AA
-:1050600018540000195400001A5400001B5400008A
-:105070001C5400001D5400001E5400001F5400006A
-:10508000205400002154000022540000235400004A
-:10509000245400002554000026540000275400002A
-:1050A00028540000295400002A5400002B5400000A
-:1050B0002C5400002D5400002E5400002F540000EA
-:1050C00030540000315400003254000033540000CA
-:1050D00034540000355400003654000037540000AA
-:1050E00038540000395400003A5400003B5400008A
-:1050F0003C5400003D5400003E5400003F5400006A
-:105100004054000041540000425400004354000049
-:105110004454000045540000465400004754000029
-:1051200048540000495400004A5400004B54000009
-:105130004C5400004D5400004E5400004F540000E9
-:1051400050540000515400005254000053540000C9
-:1051500054540000555400005654000057540000A9
-:1051600058540000595400005A5400005B54000089
-:105170005C5400005D5400005E5400005F54000069
-:105180006054000061540000625400006354000049
-:105190006454000065540000665400006754000029
-:1051A00068540000695400006A5400006B54000009
-:1051B0006C5400006D5400006E5400006F540000E9
-:1051C00070540000715400007254000073540000C9
-:1051D00074540000755400007654000077540000A9
-:1051E00078540000795400007A5400007B54000089
-:1051F0007C5400007D5400007E5400007F54000069
-:105200008054000081540000825400008354000048
-:105210008454000085540000865400008754000028
-:1052200088540000895400008A5400008B54000008
-:105230008C5400008D5400008E5400008F540000E8
-:1052400090540000915400009254000093540000C8
-:1052500094540000955400009654000097540000A8
-:1052600098540000995400009A5400009B54000088
-:105270009C5400009D5400009E5400009F54000068
-:10528000A0540000A1540000A2540000A354000048
-:10529000A4540000A5540000A6540000A754000028
-:1052A000A8540000A9540000AA540000AB54000008
-:1052B000AC540000AD540000AE540000AF540000E8
-:1052C000B0540000B1540000B2540000B3540000C8
-:1052D000B4540000B5540000B6540000B7540000A8
-:1052E000B8540000B9540000BA540000BB54000088
-:1052F000BC540000BD540000BE540000BF54000068
-:10530000C0540000C1540000C2540000C354000047
-:10531000C4540000C5540000C6540000C754000027
-:10532000C8540000C9540000CA540000CB54000007
-:10533000CC540000CD540000CE540000CF540000E7
-:10534000D0540000D1540000D2540000D3540000C7
-:10535000D4540000D5540000D6540000D7540000A7
-:10536000D8540000D9540000DA540000DB54000087
-:10537000DC540000DD540000DE540000DF54000067
-:10538000E0540000E1540000E2540000E354000047
-:10539000E4540000E5540000E6540000E754000027
-:1053A000E8540000E9540000EA540000EB54000007
-:1053B000EC540000ED540000EE540000EF540000E7
-:1053C000F0540000F1540000F2540000F3540000C7
-:1053D000F4540000F5540000F6540000F7540000A7
-:1053E000F8540000F9540000FA540000FB54000087
-:1053F000FC540000FD540000FE540000FF54000067
-:105400000055000001550000025500000355000042
-:105410000455000005550000065500000755000022
-:1054200008550000095500000A5500000B55000002
-:105430000C5500000D5500000E5500000F550000E2
-:1054400010550000115500001255000013550000C2
-:1054500014550000155500001655000017550000A2
-:1054600018550000195500001A5500001B55000082
-:105470001C5500001D5500001E5500001F55000062
-:105480002055000021550000225500002355000042
-:105490002455000025550000265500002755000022
-:1054A00028550000295500002A5500002B55000002
-:1054B0002C5500002D5500002E5500002F550000E2
-:1054C00030550000315500003255000033550000C2
-:1054D00034550000355500003655000037550000A2
-:1054E00038550000395500003A5500003B55000082
-:1054F0003C5500003D5500003E5500003F55000062
-:105500004055000041550000425500004355000041
-:105510004455000045550000465500004755000021
-:1055200048550000495500004A5500004B55000001
-:105530004C5500004D5500004E5500004F550000E1
-:1055400050550000515500005255000053550000C1
-:1055500054550000555500005655000057550000A1
-:1055600058550000595500005A5500005B55000081
-:105570005C5500005D5500005E5500005F55000061
-:105580006055000061550000625500006355000041
-:105590006455000065550000665500006755000021
-:1055A00068550000695500006A5500006B55000001
-:1055B0006C5500006D5500006E5500006F550000E1
-:1055C00070550000715500007255000073550000C1
-:1055D00074550000755500007655000077550000A1
-:1055E00078550000795500007A5500007B55000081
-:1055F0007C5500007D5500007E5500007F55000061
-:105600008055000081550000825500008355000040
-:105610008455000085550000865500008755000020
-:1056200088550000895500008A5500008B55000000
-:105630008C5500008D5500008E5500008F550000E0
-:1056400090550000915500009255000093550000C0
-:1056500094550000955500009655000097550000A0
-:1056600098550000995500009A5500009B55000080
-:105670009C5500009D5500009E5500009F55000060
-:10568000A0550000A1550000A2550000A355000040
-:10569000A4550000A5550000A6550000A755000020
-:1056A000A8550000A9550000AA550000AB55000000
-:1056B000AC550000AD550000AE550000AF550000E0
-:1056C000B0550000B1550000B2550000B3550000C0
-:1056D000B4550000B5550000B6550000B7550000A0
-:1056E000B8550000B9550000BA550000BB55000080
-:1056F000BC550000BD550000BE550000BF55000060
-:10570000C0550000C1550000C2550000C35500003F
-:10571000C4550000C5550000C6550000C75500001F
-:10572000C8550000C9550000CA550000CB550000FF
-:10573000CC550000CD550000CE550000CF550000DF
-:10574000D0550000D1550000D2550000D3550000BF
-:10575000D4550000D5550000D6550000D75500009F
-:10576000D8550000D9550000DA550000DB5500007F
-:10577000DC550000DD550000DE550000DF5500005F
-:10578000E0550000E1550000E2550000E35500003F
-:10579000E4550000E5550000E6550000E75500001F
-:1057A000E8550000E9550000EA550000EB550000FF
-:1057B000EC550000ED550000EE550000EF550000DF
-:1057C000F0550000F1550000F2550000F3550000BF
-:1057D000F4550000F5550000F6550000F75500009F
-:1057E000F8550000F9550000FA550000FB5500007F
-:1057F000FC550000FD550000FE550000FF5500005F
-:10580000005600000156000002560000035600003A
-:10581000045600000556000006560000075600001A
-:1058200008560000095600000A5600000B560000FA
-:105830000C5600000D5600000E5600000F560000DA
-:1058400010560000115600001256000013560000BA
-:10585000145600001556000016560000175600009A
-:1058600018560000195600001A5600001B5600007A
-:105870001C5600001D5600001E5600001F5600005A
-:10588000205600002156000022560000235600003A
-:10589000245600002556000026560000275600001A
-:1058A00028560000295600002A5600002B560000FA
-:1058B0002C5600002D5600002E5600002F560000DA
-:1058C00030560000315600003256000033560000BA
-:1058D000345600003556000036560000375600009A
-:1058E00038560000395600003A5600003B5600007A
-:1058F0003C5600003D5600003E5600003F5600005A
-:105900004056000041560000425600004356000039
-:105910004456000045560000465600004756000019
-:1059200048560000495600004A5600004B560000F9
-:105930004C5600004D5600004E5600004F560000D9
-:1059400050560000515600005256000053560000B9
-:105950005456000055560000565600005756000099
-:1059600058560000595600005A5600005B56000079
-:105970005C5600005D5600005E5600005F56000059
-:105980006056000061560000625600006356000039
-:105990006456000065560000665600006756000019
-:1059A00068560000695600006A5600006B560000F9
-:1059B0006C5600006D5600006E5600006F560000D9
-:1059C00070560000715600007256000073560000B9
-:1059D0007456000075560000765600007756000099
-:1059E00078560000795600007A5600007B56000079
-:1059F0007C5600007D5600007E5600007F56000059
-:105A00008056000081560000825600008356000038
-:105A10008456000085560000865600008756000018
-:105A200088560000895600008A5600008B560000F8
-:105A30008C5600008D5600008E5600008F560000D8
-:105A400090560000915600009256000093560000B8
-:105A50009456000095560000965600009756000098
-:105A600098560000995600009A5600009B56000078
-:105A70009C5600009D5600009E5600009F56000058
-:105A8000A0560000A1560000A2560000A356000038
-:105A9000A4560000A5560000A6560000A756000018
-:105AA000A8560000A9560000AA560000AB560000F8
-:105AB000AC560000AD560000AE560000AF560000D8
-:105AC000B0560000B1560000B2560000B3560000B8
-:105AD000B4560000B5560000B6560000B756000098
-:105AE000B8560000B9560000BA560000BB56000078
-:105AF000BC560000BD560000BE560000BF56000058
-:105B0000C0560000C1560000C2560000C356000037
-:105B1000C4560000C5560000C6560000C756000017
-:105B2000C8560000C9560000CA560000CB560000F7
-:105B3000CC560000CD560000CE560000CF560000D7
-:105B4000D0560000D1560000D2560000D3560000B7
-:105B5000D4560000D5560000D6560000D756000097
-:105B6000D8560000D9560000DA560000DB56000077
-:105B7000DC560000DD560000DE560000DF56000057
-:105B8000E0560000E1560000E2560000E356000037
-:105B9000E4560000E5560000E6560000E756000017
-:105BA000E8560000E9560000EA560000EB560000F7
-:105BB000EC560000ED560000EE560000EF560000D7
-:105BC000F0560000F1560000F2560000F3560000B7
-:105BD000F4560000F5560000F6560000F756000097
-:105BE000F8560000F9560000FA560000FB56000077
-:105BF000FC560000FD560000FE560000FF56000057
-:105C00000057000001570000025700000357000032
-:105C10000457000005570000065700000757000012
-:105C200008570000095700000A5700000B570000F2
-:105C30000C5700000D5700000E5700000F570000D2
-:105C400010570000115700001257000013570000B2
-:105C50001457000015570000165700001757000092
-:105C600018570000195700001A5700001B57000072
-:105C70001C5700001D5700001E5700001F57000052
-:105C80002057000021570000225700002357000032
-:105C90002457000025570000265700002757000012
-:105CA00028570000295700002A5700002B570000F2
-:105CB0002C5700002D5700002E5700002F570000D2
-:105CC00030570000315700003257000033570000B2
-:105CD0003457000035570000365700003757000092
-:105CE00038570000395700003A5700003B57000072
-:105CF0003C5700003D5700003E5700003F57000052
-:105D00004057000041570000425700004357000031
-:105D10004457000045570000465700004757000011
-:105D200048570000495700004A5700004B570000F1
-:105D30004C5700004D5700004E5700004F570000D1
-:105D400050570000515700005257000053570000B1
-:105D50005457000055570000565700005757000091
-:105D600058570000595700005A5700005B57000071
-:105D70005C5700005D5700005E5700005F57000051
-:105D80006057000061570000625700006357000031
-:105D90006457000065570000665700006757000011
-:105DA00068570000695700006A5700006B570000F1
-:105DB0006C5700006D5700006E5700006F570000D1
-:105DC00070570000715700007257000073570000B1
-:105DD0007457000075570000765700007757000091
-:105DE00078570000795700007A5700007B57000071
-:105DF0007C5700007D5700007E5700007F57000051
-:105E00008057000081570000825700008357000030
-:105E10008457000085570000865700008757000010
-:105E200088570000895700008A5700008B570000F0
-:105E30008C5700008D5700008E5700008F570000D0
-:105E400090570000915700009257000093570000B0
-:105E50009457000095570000965700009757000090
-:105E600098570000995700009A5700009B57000070
-:105E70009C5700009D5700009E5700009F57000050
-:105E8000A0570000A1570000A2570000A357000030
-:105E9000A4570000A5570000A6570000A757000010
-:105EA000A8570000A9570000AA570000AB570000F0
-:105EB000AC570000AD570000AE570000AF570000D0
-:105EC000B0570000B1570000B2570000B3570000B0
-:105ED000B4570000B5570000B6570000B757000090
-:105EE000B8570000B9570000BA570000BB57000070
-:105EF000BC570000BD570000BE570000BF57000050
-:105F0000C0570000C1570000C2570000C35700002F
-:105F1000C4570000C5570000C6570000C75700000F
-:105F2000C8570000C9570000CA570000CB570000EF
-:105F3000CC570000CD570000CE570000CF570000CF
-:105F4000D0570000D1570000D2570000D3570000AF
-:105F5000D4570000D5570000D6570000D75700008F
-:105F6000D8570000D9570000DA570000DB5700006F
-:105F7000DC570000DD570000DE570000DF5700004F
-:105F8000E0570000E1570000E2570000E35700002F
-:105F9000E4570000E5570000E6570000E75700000F
-:105FA000E8570000E9570000EA570000EB570000EF
-:105FB000EC570000ED570000EE570000EF570000CF
-:105FC000F0570000F1570000F2570000F3570000AF
-:105FD000F4570000F5570000F6570000F75700008F
-:105FE000F8570000F9570000FA570000FB5700006F
-:105FF000FC570000FD570000FE570000FF5700004F
-:10600000005800000158000002580000035800002A
-:10601000045800000558000006580000075800000A
-:1060200008580000095800000A5800000B580000EA
-:106030000C5800000D5800000E5800000F580000CA
-:1060400010580000115800001258000013580000AA
-:10605000145800001558000016580000175800008A
-:1060600018580000195800001A5800001B5800006A
-:106070001C5800001D5800001E5800001F5800004A
-:10608000205800002158000022580000235800002A
-:10609000245800002558000026580000275800000A
-:1060A00028580000295800002A5800002B580000EA
-:1060B0002C5800002D5800002E5800002F580000CA
-:1060C00030580000315800003258000033580000AA
-:1060D000345800003558000036580000375800008A
-:1060E00038580000395800003A5800003B5800006A
-:1060F0003C5800003D5800003E5800003F5800004A
-:106100004058000041580000425800004358000029
-:106110004458000045580000465800004758000009
-:1061200048580000495800004A5800004B580000E9
-:106130004C5800004D5800004E5800004F580000C9
-:1061400050580000515800005258000053580000A9
-:106150005458000055580000565800005758000089
-:1061600058580000595800005A5800005B58000069
-:106170005C5800005D5800005E5800005F58000049
-:106180006058000061580000625800006358000029
-:106190006458000065580000665800006758000009
-:1061A00068580000695800006A5800006B580000E9
-:1061B0006C5800006D5800006E5800006F580000C9
-:1061C00070580000715800007258000073580000A9
-:1061D0007458000075580000765800007758000089
-:1061E00078580000795800007A5800007B58000069
-:1061F0007C5800007D5800007E5800007F58000049
-:106200008058000081580000825800008358000028
-:106210008458000085580000865800008758000008
-:1062200088580000895800008A5800008B580000E8
-:106230008C5800008D5800008E5800008F580000C8
-:1062400090580000915800009258000093580000A8
-:106250009458000095580000965800009758000088
-:1062600098580000995800009A5800009B58000068
-:106270009C5800009D5800009E5800009F58000048
-:10628000A0580000A1580000A2580000A358000028
-:10629000A4580000A5580000A6580000A758000008
-:1062A000A8580000A9580000AA580000AB580000E8
-:1062B000AC580000AD580000AE580000AF580000C8
-:1062C000B0580000B1580000B2580000B3580000A8
-:1062D000B4580000B5580000B6580000B758000088
-:1062E000B8580000B9580000BA580000BB58000068
-:1062F000BC580000BD580000BE580000BF58000048
-:10630000C0580000C1580000C2580000C358000027
-:10631000C4580000C5580000C6580000C758000007
-:10632000C8580000C9580000CA580000CB580000E7
-:10633000CC580000CD580000CE580000CF580000C7
-:10634000D0580000D1580000D2580000D3580000A7
-:10635000D4580000D5580000D6580000D758000087
-:10636000D8580000D9580000DA580000DB58000067
-:10637000DC580000DD580000DE580000DF58000047
-:10638000E0580000E1580000E2580000E358000027
-:10639000E4580000E5580000E6580000E758000007
-:1063A000E8580000E9580000EA580000EB580000E7
-:1063B000EC580000ED580000EE580000EF580000C7
-:1063C000F0580000F1580000F2580000F3580000A7
-:1063D000F4580000F5580000F6580000F758000087
-:1063E000F8580000F9580000FA580000FB58000067
-:1063F000FC580000FD580000FE580000FF58000047
-:106400000059000001590000025900000359000022
-:106410000459000005590000065900000759000002
-:1064200008590000095900000A5900000B590000E2
-:106430000C5900000D5900000E5900000F590000C2
-:1064400010590000115900001259000013590000A2
-:106450001459000015590000165900001759000082
-:1064600018590000195900001A5900001B59000062
-:106470001C5900001D5900001E5900001F59000042
-:106480002059000021590000225900002359000022
-:106490002459000025590000265900002759000002
-:1064A00028590000295900002A5900002B590000E2
-:1064B0002C5900002D5900002E5900002F590000C2
-:1064C00030590000315900003259000033590000A2
-:1064D0003459000035590000365900003759000082
-:1064E00038590000395900003A5900003B59000062
-:1064F0003C5900003D5900003E5900003F59000042
-:106500004059000041590000425900004359000021
-:106510004459000045590000465900004759000001
-:1065200048590000495900004A5900004B590000E1
-:106530004C5900004D5900004E5900004F590000C1
-:1065400050590000515900005259000053590000A1
-:106550005459000055590000565900005759000081
-:1065600058590000595900005A5900005B59000061
-:106570005C5900005D5900005E5900005F59000041
-:106580006059000061590000625900006359000021
-:106590006459000065590000665900006759000001
-:1065A00068590000695900006A5900006B590000E1
-:1065B0006C5900006D5900006E5900006F590000C1
-:1065C00070590000715900007259000073590000A1
-:1065D0007459000075590000765900007759000081
-:1065E00078590000795900007A5900007B59000061
-:1065F0007C5900007D5900007E5900007F59000041
-:106600008059000081590000825900008359000020
-:106610008459000085590000865900008759000000
-:1066200088590000895900008A5900008B590000E0
-:106630008C5900008D5900008E5900008F590000C0
-:1066400090590000915900009259000093590000A0
-:106650009459000095590000965900009759000080
-:1066600098590000995900009A5900009B59000060
-:106670009C5900009D5900009E5900009F59000040
-:10668000A0590000A1590000A2590000A359000020
-:10669000A4590000A5590000A6590000A759000000
-:1066A000A8590000A9590000AA590000AB590000E0
-:1066B000AC590000AD590000AE590000AF590000C0
-:1066C000B0590000B1590000B2590000B3590000A0
-:1066D000B4590000B5590000B6590000B759000080
-:1066E000B8590000B9590000BA590000BB59000060
-:1066F000BC590000BD590000BE590000BF59000040
-:10670000C0590000C1590000C2590000C35900001F
-:10671000C4590000C5590000C6590000C7590000FF
-:10672000C8590000C9590000CA590000CB590000DF
-:10673000CC590000CD590000CE590000CF590000BF
-:10674000D0590000D1590000D2590000D35900009F
-:10675000D4590000D5590000D6590000D75900007F
-:10676000D8590000D9590000DA590000DB5900005F
-:10677000DC590000DD590000DE590000DF5900003F
-:10678000E0590000E1590000E2590000E35900001F
-:10679000E4590000E5590000E6590000E7590000FF
-:1067A000E8590000E9590000EA590000EB590000DF
-:1067B000EC590000ED590000EE590000EF590000BF
-:1067C000F0590000F1590000F2590000F35900009F
-:1067D000F4590000F5590000F6590000F75900007F
-:1067E000F8590000F9590000FA590000FB5900005F
-:1067F000FC590000FD590000FE590000FF5900003F
-:10680000005A0000015A0000025A0000035A00001A
-:10681000045A0000055A0000065A0000075A0000FA
-:10682000085A0000095A00000A5A00000B5A0000DA
-:106830000C5A00000D5A00000E5A00000F5A0000BA
-:10684000105A0000115A0000125A0000135A00009A
-:10685000145A0000155A0000165A0000175A00007A
-:10686000185A0000195A00001A5A00001B5A00005A
-:106870001C5A00001D5A00001E5A00001F5A00003A
-:10688000205A0000215A0000225A0000235A00001A
-:10689000245A0000255A0000265A0000275A0000FA
-:1068A000285A0000295A00002A5A00002B5A0000DA
-:1068B0002C5A00002D5A00002E5A00002F5A0000BA
-:1068C000305A0000315A0000325A0000335A00009A
-:1068D000345A0000355A0000365A0000375A00007A
-:1068E000385A0000395A00003A5A00003B5A00005A
-:1068F0003C5A00003D5A00003E5A00003F5A00003A
-:10690000405A0000415A0000425A0000435A000019
-:10691000445A0000455A0000465A0000475A0000F9
-:10692000485A0000495A00004A5A00004B5A0000D9
-:106930004C5A00004D5A00004E5A00004F5A0000B9
-:10694000505A0000515A0000525A0000535A000099
-:10695000545A0000555A0000565A0000575A000079
-:10696000585A0000595A00005A5A00005B5A000059
-:106970005C5A00005D5A00005E5A00005F5A000039
-:10698000605A0000615A0000625A0000635A000019
-:10699000645A0000655A0000665A0000675A0000F9
-:1069A000685A0000695A00006A5A00006B5A0000D9
-:1069B0006C5A00006D5A00006E5A00006F5A0000B9
-:1069C000705A0000715A0000725A0000735A000099
-:1069D000745A0000755A0000765A0000775A000079
-:1069E000785A0000795A00007A5A00007B5A000059
-:1069F0007C5A00007D5A00007E5A00007F5A000039
-:106A0000805A0000815A0000825A0000835A000018
-:106A1000845A0000855A0000865A0000875A0000F8
-:106A2000885A0000895A00008A5A00008B5A0000D8
-:106A30008C5A00008D5A00008E5A00008F5A0000B8
-:106A4000905A0000915A0000925A0000935A000098
-:106A5000945A0000955A0000965A0000975A000078
-:106A6000985A0000995A00009A5A00009B5A000058
-:106A70009C5A00009D5A00009E5A00009F5A000038
-:106A8000A05A0000A15A0000A25A0000A35A000018
-:106A9000A45A0000A55A0000A65A0000A75A0000F8
-:106AA000A85A0000A95A0000AA5A0000AB5A0000D8
-:106AB000AC5A0000AD5A0000AE5A0000AF5A0000B8
-:106AC000B05A0000B15A0000B25A0000B35A000098
-:106AD000B45A0000B55A0000B65A0000B75A000078
-:106AE000B85A0000B95A0000BA5A0000BB5A000058
-:106AF000BC5A0000BD5A0000BE5A0000BF5A000038
-:106B0000C05A0000C15A0000C25A0000C35A000017
-:106B1000C45A0000C55A0000C65A0000C75A0000F7
-:106B2000C85A0000C95A0000CA5A0000CB5A0000D7
-:106B3000CC5A0000CD5A0000CE5A0000CF5A0000B7
-:106B4000D05A0000D15A0000D25A0000D35A000097
-:106B5000D45A0000D55A0000D65A0000D75A000077
-:106B6000D85A0000D95A0000DA5A0000DB5A000057
-:106B7000DC5A0000DD5A0000DE5A0000DF5A000037
-:106B8000E05A0000E15A0000E25A0000E35A000017
-:106B9000E45A0000E55A0000E65A0000E75A0000F7
-:106BA000E85A0000E95A0000EA5A0000EB5A0000D7
-:106BB000EC5A0000ED5A0000EE5A0000EF5A0000B7
-:106BC000F05A0000F15A0000F25A0000F35A000097
-:106BD000F45A0000F55A0000F65A0000F75A000077
-:106BE000F85A0000F95A0000FA5A0000FB5A000057
-:106BF000FC5A0000FD5A0000FE5A0000FF5A000037
-:106C0000005B0000015B0000025B0000035B000012
-:106C1000045B0000055B0000065B0000075B0000F2
-:106C2000085B0000095B00000A5B00000B5B0000D2
-:106C30000C5B00000D5B00000E5B00000F5B0000B2
-:106C4000105B0000115B0000125B0000135B000092
-:106C5000145B0000155B0000165B0000175B000072
-:106C6000185B0000195B00001A5B00001B5B000052
-:106C70001C5B00001D5B00001E5B00001F5B000032
-:106C8000205B0000215B0000225B0000235B000012
-:106C9000245B0000255B0000265B0000275B0000F2
-:106CA000285B0000295B00002A5B00002B5B0000D2
-:106CB0002C5B00002D5B00002E5B00002F5B0000B2
-:106CC000305B0000315B0000325B0000335B000092
-:106CD000345B0000355B0000365B0000375B000072
-:106CE000385B0000395B00003A5B00003B5B000052
-:106CF0003C5B00003D5B00003E5B00003F5B000032
-:106D0000405B0000415B0000425B0000435B000011
-:106D1000445B0000455B0000465B0000475B0000F1
-:106D2000485B0000495B00004A5B00004B5B0000D1
-:106D30004C5B00004D5B00004E5B00004F5B0000B1
-:106D4000505B0000515B0000525B0000535B000091
-:106D5000545B0000555B0000565B0000575B000071
-:106D6000585B0000595B00005A5B00005B5B000051
-:106D70005C5B00005D5B00005E5B00005F5B000031
-:106D8000605B0000615B0000625B0000635B000011
-:106D9000645B0000655B0000665B0000675B0000F1
-:106DA000685B0000695B00006A5B00006B5B0000D1
-:106DB0006C5B00006D5B00006E5B00006F5B0000B1
-:106DC000705B0000715B0000725B0000735B000091
-:106DD000745B0000755B0000765B0000775B000071
-:106DE000785B0000795B00007A5B00007B5B000051
-:106DF0007C5B00007D5B00007E5B00007F5B000031
-:106E0000805B0000815B0000825B0000835B000010
-:106E1000845B0000855B0000865B0000875B0000F0
-:106E2000885B0000895B00008A5B00008B5B0000D0
-:106E30008C5B00008D5B00008E5B00008F5B0000B0
-:106E4000905B0000915B0000925B0000935B000090
-:106E5000945B0000955B0000965B0000975B000070
-:106E6000985B0000995B00009A5B00009B5B000050
-:106E70009C5B00009D5B00009E5B00009F5B000030
-:106E8000A05B0000A15B0000A25B0000A35B000010
-:106E9000A45B0000A55B0000A65B0000A75B0000F0
-:106EA000A85B0000A95B0000AA5B0000AB5B0000D0
-:106EB000AC5B0000AD5B0000AE5B0000AF5B0000B0
-:106EC000B05B0000B15B0000B25B0000B35B000090
-:106ED000B45B0000B55B0000B65B0000B75B000070
-:106EE000B85B0000B95B0000BA5B0000BB5B000050
-:106EF000BC5B0000BD5B0000BE5B0000BF5B000030
-:106F0000C05B0000C15B0000C25B0000C35B00000F
-:106F1000C45B0000C55B0000C65B0000C75B0000EF
-:106F2000C85B0000C95B0000CA5B0000CB5B0000CF
-:106F3000CC5B0000CD5B0000CE5B0000CF5B0000AF
-:106F4000D05B0000D15B0000D25B0000D35B00008F
-:106F5000D45B0000D55B0000D65B0000D75B00006F
-:106F6000D85B0000D95B0000DA5B0000DB5B00004F
-:106F7000DC5B0000DD5B0000DE5B0000DF5B00002F
-:106F8000E05B0000E15B0000E25B0000E35B00000F
-:106F9000E45B0000E55B0000E65B0000E75B0000EF
-:106FA000E85B0000E95B0000EA5B0000EB5B0000CF
-:106FB000EC5B0000ED5B0000EE5B0000EF5B0000AF
-:106FC000F05B0000F15B0000F25B0000F35B00008F
-:106FD000F45B0000F55B0000F65B0000F75B00006F
-:106FE000F85B0000F95B0000FA5B0000FB5B00004F
-:106FF000FC5B0000FD5B0000FE5B0000FF5B00002F
-:10700000005C0000015C0000025C0000035C00000A
-:10701000045C0000055C0000065C0000075C0000EA
-:10702000085C0000095C00000A5C00000B5C0000CA
-:107030000C5C00000D5C00000E5C00000F5C0000AA
-:10704000105C0000115C0000125C0000135C00008A
-:10705000145C0000155C0000165C0000175C00006A
-:10706000185C0000195C00001A5C00001B5C00004A
-:107070001C5C00001D5C00001E5C00001F5C00002A
-:10708000205C0000215C0000225C0000235C00000A
-:10709000245C0000255C0000265C0000275C0000EA
-:1070A000285C0000295C00002A5C00002B5C0000CA
-:1070B0002C5C00002D5C00002E5C00002F5C0000AA
-:1070C000305C0000315C0000325C0000335C00008A
-:1070D000345C0000355C0000365C0000375C00006A
-:1070E000385C0000395C00003A5C00003B5C00004A
-:1070F0003C5C00003D5C00003E5C00003F5C00002A
-:10710000405C0000415C0000425C0000435C000009
-:10711000445C0000455C0000465C0000475C0000E9
-:10712000485C0000495C00004A5C00004B5C0000C9
-:107130004C5C00004D5C00004E5C00004F5C0000A9
-:10714000505C0000515C0000525C0000535C000089
-:10715000545C0000555C0000565C0000575C000069
-:10716000585C0000595C00005A5C00005B5C000049
-:107170005C5C00005D5C00005E5C00005F5C000029
-:10718000605C0000615C0000625C0000635C000009
-:10719000645C0000655C0000665C0000675C0000E9
-:1071A000685C0000695C00006A5C00006B5C0000C9
-:1071B0006C5C00006D5C00006E5C00006F5C0000A9
-:1071C000705C0000715C0000725C0000735C000089
-:1071D000745C0000755C0000765C0000775C000069
-:1071E000785C0000795C00007A5C00007B5C000049
-:1071F0007C5C00007D5C00007E5C00007F5C000029
-:10720000805C0000815C0000825C0000835C000008
-:10721000845C0000855C0000865C0000875C0000E8
-:10722000885C0000895C00008A5C00008B5C0000C8
-:107230008C5C00008D5C00008E5C00008F5C0000A8
-:10724000905C0000915C0000925C0000935C000088
-:10725000945C0000955C0000965C0000975C000068
-:10726000985C0000995C00009A5C00009B5C000048
-:107270009C5C00009D5C00009E5C00009F5C000028
-:10728000A05C0000A15C0000A25C0000A35C000008
-:10729000A45C0000A55C0000A65C0000A75C0000E8
-:1072A000A85C0000A95C0000AA5C0000AB5C0000C8
-:1072B000AC5C0000AD5C0000AE5C0000AF5C0000A8
-:1072C000B05C0000B15C0000B25C0000B35C000088
-:1072D000B45C0000B55C0000B65C0000B75C000068
-:1072E000B85C0000B95C0000BA5C0000BB5C000048
-:1072F000BC5C0000BD5C0000BE5C0000BF5C000028
-:10730000C05C0000C15C0000C25C0000C35C000007
-:10731000C45C0000C55C0000C65C0000C75C0000E7
-:10732000C85C0000C95C0000CA5C0000CB5C0000C7
-:10733000CC5C0000CD5C0000CE5C0000CF5C0000A7
-:10734000D05C0000D15C0000D25C0000D35C000087
-:10735000D45C0000D55C0000D65C0000D75C000067
-:10736000D85C0000D95C0000DA5C0000DB5C000047
-:10737000DC5C0000DD5C0000DE5C0000DF5C000027
-:10738000E05C0000E15C0000E25C0000E35C000007
-:10739000E45C0000E55C0000E65C0000E75C0000E7
-:1073A000E85C0000E95C0000EA5C0000EB5C0000C7
-:1073B000EC5C0000ED5C0000EE5C0000EF5C0000A7
-:1073C000F05C0000F15C0000F25C0000F35C000087
-:1073D000F45C0000F55C0000F65C0000F75C000067
-:1073E000F85C0000F95C0000FA5C0000FB5C000047
-:1073F000FC5C0000FD5C0000FE5C0000FF5C000027
-:10740000005D0000015D0000025D0000035D000002
-:10741000045D0000055D0000065D0000075D0000E2
-:10742000085D0000095D00000A5D00000B5D0000C2
-:107430000C5D00000D5D00000E5D00000F5D0000A2
-:10744000105D0000115D0000125D0000135D000082
-:10745000145D0000155D0000165D0000175D000062
-:10746000185D0000195D00001A5D00001B5D000042
-:107470001C5D00001D5D00001E5D00001F5D000022
-:10748000205D0000215D0000225D0000235D000002
-:10749000245D0000255D0000265D0000275D0000E2
-:1074A000285D0000295D00002A5D00002B5D0000C2
-:1074B0002C5D00002D5D00002E5D00002F5D0000A2
-:1074C000305D0000315D0000325D0000335D000082
-:1074D000345D0000355D0000365D0000375D000062
-:1074E000385D0000395D00003A5D00003B5D000042
-:1074F0003C5D00003D5D00003E5D00003F5D000022
-:10750000405D0000415D0000425D0000435D000001
-:10751000445D0000455D0000465D0000475D0000E1
-:10752000485D0000495D00004A5D00004B5D0000C1
-:107530004C5D00004D5D00004E5D00004F5D0000A1
-:10754000505D0000515D0000525D0000535D000081
-:10755000545D0000555D0000565D0000575D000061
-:10756000585D0000595D00005A5D00005B5D000041
-:107570005C5D00005D5D00005E5D00005F5D000021
-:10758000605D0000615D0000625D0000635D000001
-:10759000645D0000655D0000665D0000675D0000E1
-:1075A000685D0000695D00006A5D00006B5D0000C1
-:1075B0006C5D00006D5D00006E5D00006F5D0000A1
-:1075C000705D0000715D0000725D0000735D000081
-:1075D000745D0000755D0000765D0000775D000061
-:1075E000785D0000795D00007A5D00007B5D000041
-:1075F0007C5D00007D5D00007E5D00007F5D000021
-:10760000805D0000815D0000825D0000835D000000
-:10761000845D0000855D0000865D0000875D0000E0
-:10762000885D0000895D00008A5D00008B5D0000C0
-:107630008C5D00008D5D00008E5D00008F5D0000A0
-:10764000905D0000915D0000925D0000935D000080
-:10765000945D0000955D0000965D0000975D000060
-:10766000985D0000995D00009A5D00009B5D000040
-:107670009C5D00009D5D00009E5D00009F5D000020
-:10768000A05D0000A15D0000A25D0000A35D000000
-:10769000A45D0000A55D0000A65D0000A75D0000E0
-:1076A000A85D0000A95D0000AA5D0000AB5D0000C0
-:1076B000AC5D0000AD5D0000AE5D0000AF5D0000A0
-:1076C000B05D0000B15D0000B25D0000B35D000080
-:1076D000B45D0000B55D0000B65D0000B75D000060
-:1076E000B85D0000B95D0000BA5D0000BB5D000040
-:1076F000BC5D0000BD5D0000BE5D0000BF5D000020
-:10770000C05D0000C15D0000C25D0000C35D0000FF
-:10771000C45D0000C55D0000C65D0000C75D0000DF
-:10772000C85D0000C95D0000CA5D0000CB5D0000BF
-:10773000CC5D0000CD5D0000CE5D0000CF5D00009F
-:10774000D05D0000D15D0000D25D0000D35D00007F
-:10775000D45D0000D55D0000D65D0000D75D00005F
-:10776000D85D0000D95D0000DA5D0000DB5D00003F
-:10777000DC5D0000DD5D0000DE5D0000DF5D00001F
-:10778000E05D0000E15D0000E25D0000E35D0000FF
-:10779000E45D0000E55D0000E65D0000E75D0000DF
-:1077A000E85D0000E95D0000EA5D0000EB5D0000BF
-:1077B000EC5D0000ED5D0000EE5D0000EF5D00009F
-:1077C000F05D0000F15D0000F25D0000F35D00007F
-:1077D000F45D0000F55D0000F65D0000F75D00005F
-:1077E000F85D0000F95D0000FA5D0000FB5D00003F
-:1077F000FC5D0000FD5D0000FE5D0000FF5D00001F
-:10780000005E0000015E0000025E0000035E0000FA
-:10781000045E0000055E0000065E0000075E0000DA
-:10782000085E0000095E00000A5E00000B5E0000BA
-:107830000C5E00000D5E00000E5E00000F5E00009A
-:10784000105E0000115E0000125E0000135E00007A
-:10785000145E0000155E0000165E0000175E00005A
-:10786000185E0000195E00001A5E00001B5E00003A
-:107870001C5E00001D5E00001E5E00001F5E00001A
-:10788000205E0000215E0000225E0000235E0000FA
-:10789000245E0000255E0000265E0000275E0000DA
-:1078A000285E0000295E00002A5E00002B5E0000BA
-:1078B0002C5E00002D5E00002E5E00002F5E00009A
-:1078C000305E0000315E0000325E0000335E00007A
-:1078D000345E0000355E0000365E0000375E00005A
-:1078E000385E0000395E00003A5E00003B5E00003A
-:1078F0003C5E00003D5E00003E5E00003F5E00001A
-:10790000405E0000415E0000425E0000435E0000F9
-:10791000445E0000455E0000465E0000475E0000D9
-:10792000485E0000495E00004A5E00004B5E0000B9
-:107930004C5E00004D5E00004E5E00004F5E000099
-:10794000505E0000515E0000525E0000535E000079
-:10795000545E0000555E0000565E0000575E000059
-:10796000585E0000595E00005A5E00005B5E000039
-:107970005C5E00005D5E00005E5E00005F5E000019
-:10798000605E0000615E0000625E0000635E0000F9
-:10799000645E0000655E0000665E0000675E0000D9
-:1079A000685E0000695E00006A5E00006B5E0000B9
-:1079B0006C5E00006D5E00006E5E00006F5E000099
-:1079C000705E0000715E0000725E0000735E000079
-:1079D000745E0000755E0000765E0000775E000059
-:1079E000785E0000795E00007A5E00007B5E000039
-:1079F0007C5E00007D5E00007E5E00007F5E000019
-:107A0000805E0000815E0000825E0000835E0000F8
-:107A1000845E0000855E0000865E0000875E0000D8
-:107A2000885E0000895E00008A5E00008B5E0000B8
-:107A30008C5E00008D5E00008E5E00008F5E000098
-:107A4000905E0000915E0000925E0000935E000078
-:107A5000945E0000955E0000965E0000975E000058
-:107A6000985E0000995E00009A5E00009B5E000038
-:107A70009C5E00009D5E00009E5E00009F5E000018
-:107A8000A05E0000A15E0000A25E0000A35E0000F8
-:107A9000A45E0000A55E0000A65E0000A75E0000D8
-:107AA000A85E0000A95E0000AA5E0000AB5E0000B8
-:107AB000AC5E0000AD5E0000AE5E0000AF5E000098
-:107AC000B05E0000B15E0000B25E0000B35E000078
-:107AD000B45E0000B55E0000B65E0000B75E000058
-:107AE000B85E0000B95E0000BA5E0000BB5E000038
-:107AF000BC5E0000BD5E0000BE5E0000BF5E000018
-:107B0000C05E0000C15E0000C25E0000C35E0000F7
-:107B1000C45E0000C55E0000C65E0000C75E0000D7
-:107B2000C85E0000C95E0000CA5E0000CB5E0000B7
-:107B3000CC5E0000CD5E0000CE5E0000CF5E000097
-:107B4000D05E0000D15E0000D25E0000D35E000077
-:107B5000D45E0000D55E0000D65E0000D75E000057
-:107B6000D85E0000D95E0000DA5E0000DB5E000037
-:107B7000DC5E0000DD5E0000DE5E0000DF5E000017
-:107B8000E05E0000E15E0000E25E0000E35E0000F7
-:107B9000E45E0000E55E0000E65E0000E75E0000D7
-:107BA000E85E0000E95E0000EA5E0000EB5E0000B7
-:107BB000EC5E0000ED5E0000EE5E0000EF5E000097
-:107BC000F05E0000F15E0000F25E0000F35E000077
-:107BD000F45E0000F55E0000F65E0000F75E000057
-:107BE000F85E0000F95E0000FA5E0000FB5E000037
-:107BF000FC5E0000FD5E0000FE5E0000FF5E000017
-:107C0000005F0000015F0000025F0000035F0000F2
-:107C1000045F0000055F0000065F0000075F0000D2
-:107C2000085F0000095F00000A5F00000B5F0000B2
-:107C30000C5F00000D5F00000E5F00000F5F000092
-:107C4000105F0000115F0000125F0000135F000072
-:107C5000145F0000155F0000165F0000175F000052
-:107C6000185F0000195F00001A5F00001B5F000032
-:107C70001C5F00001D5F00001E5F00001F5F000012
-:107C8000205F0000215F0000225F0000235F0000F2
-:107C9000245F0000255F0000265F0000275F0000D2
-:107CA000285F0000295F00002A5F00002B5F0000B2
-:107CB0002C5F00002D5F00002E5F00002F5F000092
-:107CC000305F0000315F0000325F0000335F000072
-:107CD000345F0000355F0000365F0000375F000052
-:107CE000385F0000395F00003A5F00003B5F000032
-:107CF0003C5F00003D5F00003E5F00003F5F000012
-:107D0000405F0000415F0000425F0000435F0000F1
-:107D1000445F0000455F0000465F0000475F0000D1
-:107D2000485F0000495F00004A5F00004B5F0000B1
-:107D30004C5F00004D5F00004E5F00004F5F000091
-:107D4000505F0000515F0000525F0000535F000071
-:107D5000545F0000555F0000565F0000575F000051
-:107D6000585F0000595F00005A5F00005B5F000031
-:107D70005C5F00005D5F00005E5F00005F5F000011
-:107D8000605F0000615F0000625F0000635F0000F1
-:107D9000645F0000655F0000665F0000675F0000D1
-:107DA000685F0000695F00006A5F00006B5F0000B1
-:107DB0006C5F00006D5F00006E5F00006F5F000091
-:107DC000705F0000715F0000725F0000735F000071
-:107DD000745F0000755F0000765F0000775F000051
-:107DE000785F0000795F00007A5F00007B5F000031
-:107DF0007C5F00007D5F00007E5F00007F5F000011
-:107E0000805F0000815F0000825F0000835F0000F0
-:107E1000845F0000855F0000865F0000875F0000D0
-:107E2000885F0000895F00008A5F00008B5F0000B0
-:107E30008C5F00008D5F00008E5F00008F5F000090
-:107E4000905F0000915F0000925F0000935F000070
-:107E5000945F0000955F0000965F0000975F000050
-:107E6000985F0000995F00009A5F00009B5F000030
-:107E70009C5F00009D5F00009E5F00009F5F000010
-:107E8000A05F0000A15F0000A25F0000A35F0000F0
-:107E9000A45F0000A55F0000A65F0000A75F0000D0
-:107EA000A85F0000A95F0000AA5F0000AB5F0000B0
-:107EB000AC5F0000AD5F0000AE5F0000AF5F000090
-:107EC000B05F0000B15F0000B25F0000B35F000070
-:107ED000B45F0000B55F0000B65F0000B75F000050
-:107EE000B85F0000B95F0000BA5F0000BB5F000030
-:107EF000BC5F0000BD5F0000BE5F0000BF5F000010
-:107F0000C05F0000C15F0000C25F0000C35F0000EF
-:107F1000C45F0000C55F0000C65F0000C75F0000CF
-:107F2000C85F0000C95F0000CA5F0000CB5F0000AF
-:107F3000CC5F0000CD5F0000CE5F0000CF5F00008F
-:107F4000D05F0000D15F0000D25F0000D35F00006F
-:107F5000D45F0000D55F0000D65F0000D75F00004F
-:107F6000D85F0000D95F0000DA5F0000DB5F00002F
-:107F7000DC5F0000DD5F0000DE5F0000DF5F00000F
-:107F8000E05F0000E15F0000E25F0000E35F0000EF
-:107F9000E45F0000E55F0000E65F0000E75F0000CF
-:107FA000E85F0000E95F0000EA5F0000EB5F0000AF
-:107FB000EC5F0000ED5F0000EE5F0000EF5F00008F
-:107FC000F05F0000F15F0000F25F0000F35F00006F
-:107FD000F45F0000F55F0000F65F0000F75F00004F
-:107FE000F85F0000F95F0000FA5F0000FB5F00002F
-:107FF000FC5F0000FD5F0000FE5F0000FF5F00000F
-:1080000000600000016000000260000003600000EA
-:1080100004600000056000000660000007600000CA
-:1080200008600000096000000A6000000B600000AA
-:108030000C6000000D6000000E6000000F6000008A
-:10804000106000001160000012600000136000006A
-:10805000146000001560000016600000176000004A
-:1080600018600000196000001A6000001B6000002A
-:108070001C6000001D6000001E6000001F6000000A
-:1080800020600000216000002260000023600000EA
-:1080900024600000256000002660000027600000CA
-:1080A00028600000296000002A6000002B600000AA
-:1080B0002C6000002D6000002E6000002F6000008A
-:1080C000306000003160000032600000336000006A
-:1080D000346000003560000036600000376000004A
-:1080E00038600000396000003A6000003B6000002A
-:1080F0003C6000003D6000003E6000003F6000000A
-:1081000040600000416000004260000043600000E9
-:1081100044600000456000004660000047600000C9
-:1081200048600000496000004A6000004B600000A9
-:108130004C6000004D6000004E6000004F60000089
-:108140005060000051600000526000005360000069
-:108150005460000055600000566000005760000049
-:1081600058600000596000005A6000005B60000029
-:108170005C6000005D6000005E6000005F60000009
-:1081800060600000616000006260000063600000E9
-:1081900064600000656000006660000067600000C9
-:1081A00068600000696000006A6000006B600000A9
-:1081B0006C6000006D6000006E6000006F60000089
-:1081C0007060000071600000726000007360000069
-:1081D0007460000075600000766000007760000049
-:1081E00078600000796000007A6000007B60000029
-:1081F0007C6000007D6000007E6000007F60000009
-:1082000080600000816000008260000083600000E8
-:1082100084600000856000008660000087600000C8
-:1082200088600000896000008A6000008B600000A8
-:108230008C6000008D6000008E6000008F60000088
-:108240009060000091600000926000009360000068
-:108250009460000095600000966000009760000048
-:1082600098600000996000009A6000009B60000028
-:108270009C6000009D6000009E6000009F60000008
-:10828000A0600000A1600000A2600000A3600000E8
-:10829000A4600000A5600000A6600000A7600000C8
-:1082A000A8600000A9600000AA600000AB600000A8
-:1082B000AC600000AD600000AE600000AF60000088
-:1082C000B0600000B1600000B2600000B360000068
-:1082D000B4600000B5600000B6600000B760000048
-:1082E000B8600000B9600000BA600000BB60000028
-:1082F000BC600000BD600000BE600000BF60000008
-:10830000C0600000C1600000C2600000C3600000E7
-:10831000C4600000C5600000C6600000C7600000C7
-:10832000C8600000C9600000CA600000CB600000A7
-:10833000CC600000CD600000CE600000CF60000087
-:10834000D0600000D1600000D2600000D360000067
-:10835000D4600000D5600000D6600000D760000047
-:10836000D8600000D9600000DA600000DB60000027
-:10837000DC600000DD600000DE600000DF60000007
-:10838000E0600000E1600000E2600000E3600000E7
-:10839000E4600000E5600000E6600000E7600000C7
-:1083A000E8600000E9600000EA600000EB600000A7
-:1083B000EC600000ED600000EE600000EF60000087
-:1083C000F0600000F1600000F2600000F360000067
-:1083D000F4600000F5600000F6600000F760000047
-:1083E000F8600000F9600000FA600000FB60000027
-:1083F000FC600000FD600000FE600000FF60000007
-:1084000000610000016100000261000003610000E2
-:1084100004610000056100000661000007610000C2
-:1084200008610000096100000A6100000B610000A2
-:108430000C6100000D6100000E6100000F61000082
-:108440001061000011610000126100001361000062
-:108450001461000015610000166100001761000042
-:1084600018610000196100001A6100001B61000022
-:108470001C6100001D6100001E6100001F61000002
-:1084800020610000216100002261000023610000E2
-:1084900024610000256100002661000027610000C2
-:1084A00028610000296100002A6100002B610000A2
-:1084B0002C6100002D6100002E6100002F61000082
-:1084C0003061000031610000326100003361000062
-:1084D0003461000035610000366100003761000042
-:1084E00038610000396100003A6100003B61000022
-:1084F0003C6100003D6100003E6100003F61000002
-:1085000040610000416100004261000043610000E1
-:1085100044610000456100004661000047610000C1
-:1085200048610000496100004A6100004B610000A1
-:108530004C6100004D6100004E6100004F61000081
-:108540005061000051610000526100005361000061
-:108550005461000055610000566100005761000041
-:1085600058610000596100005A6100005B61000021
-:108570005C6100005D6100005E6100005F61000001
-:1085800060610000616100006261000063610000E1
-:1085900064610000656100006661000067610000C1
-:1085A00068610000696100006A6100006B610000A1
-:1085B0006C6100006D6100006E6100006F61000081
-:1085C0007061000071610000726100007361000061
-:1085D0007461000075610000766100007761000041
-:1085E00078610000796100007A6100007B61000021
-:1085F0007C6100007D6100007E6100007F61000001
-:1086000080610000816100008261000083610000E0
-:1086100084610000856100008661000087610000C0
-:1086200088610000896100008A6100008B610000A0
-:108630008C6100008D6100008E6100008F61000080
-:108640009061000091610000926100009361000060
-:108650009461000095610000966100009761000040
-:1086600098610000996100009A6100009B61000020
-:108670009C6100009D6100009E6100009F61000000
-:10868000A0610000A1610000A2610000A3610000E0
-:10869000A4610000A5610000A6610000A7610000C0
-:1086A000A8610000A9610000AA610000AB610000A0
-:1086B000AC610000AD610000AE610000AF61000080
-:1086C000B0610000B1610000B2610000B361000060
-:1086D000B4610000B5610000B6610000B761000040
-:1086E000B8610000B9610000BA610000BB61000020
-:1086F000BC610000BD610000BE610000BF61000000
-:10870000C0610000C1610000C2610000C3610000DF
-:10871000C4610000C5610000C6610000C7610000BF
-:10872000C8610000C9610000CA610000CB6100009F
-:10873000CC610000CD610000CE610000CF6100007F
-:10874000D0610000D1610000D2610000D36100005F
-:10875000D4610000D5610000D6610000D76100003F
-:10876000D8610000D9610000DA610000DB6100001F
-:10877000DC610000DD610000DE610000DF610000FF
-:10878000E0610000E1610000E2610000E3610000DF
-:10879000E4610000E5610000E6610000E7610000BF
-:1087A000E8610000E9610000EA610000EB6100009F
-:1087B000EC610000ED610000EE610000EF6100007F
-:1087C000F0610000F1610000F2610000F36100005F
-:1087D000F4610000F5610000F6610000F76100003F
-:1087E000F8610000F9610000FA610000FB6100001F
-:1087F000FC610000FD610000FE610000FF610000FF
-:1088000000620000016200000262000003620000DA
-:1088100004620000056200000662000007620000BA
-:1088200008620000096200000A6200000B6200009A
-:108830000C6200000D6200000E6200000F6200007A
-:10884000106200001162000012620000136200005A
-:10885000146200001562000016620000176200003A
-:1088600018620000196200001A6200001B6200001A
-:108870001C6200001D6200001E6200001F620000FA
-:1088800020620000216200002262000023620000DA
-:1088900024620000256200002662000027620000BA
-:1088A00028620000296200002A6200002B6200009A
-:1088B0002C6200002D6200002E6200002F6200007A
-:1088C000306200003162000032620000336200005A
-:1088D000346200003562000036620000376200003A
-:1088E00038620000396200003A6200003B6200001A
-:1088F0003C6200003D6200003E6200003F620000FA
-:1089000040620000416200004262000043620000D9
-:1089100044620000456200004662000047620000B9
-:1089200048620000496200004A6200004B62000099
-:108930004C6200004D6200004E6200004F62000079
-:108940005062000051620000526200005362000059
-:108950005462000055620000566200005762000039
-:1089600058620000596200005A6200005B62000019
-:108970005C6200005D6200005E6200005F620000F9
-:1089800060620000616200006262000063620000D9
-:1089900064620000656200006662000067620000B9
-:1089A00068620000696200006A6200006B62000099
-:1089B0006C6200006D6200006E6200006F62000079
-:1089C0007062000071620000726200007362000059
-:1089D0007462000075620000766200007762000039
-:1089E00078620000796200007A6200007B62000019
-:1089F0007C6200007D6200007E6200007F620000F9
-:108A000080620000816200008262000083620000D8
-:108A100084620000856200008662000087620000B8
-:108A200088620000896200008A6200008B62000098
-:108A30008C6200008D6200008E6200008F62000078
-:108A40009062000091620000926200009362000058
-:108A50009462000095620000966200009762000038
-:108A600098620000996200009A6200009B62000018
-:108A70009C6200009D6200009E6200009F620000F8
-:108A8000A0620000A1620000A2620000A3620000D8
-:108A9000A4620000A5620000A6620000A7620000B8
-:108AA000A8620000A9620000AA620000AB62000098
-:108AB000AC620000AD620000AE620000AF62000078
-:108AC000B0620000B1620000B2620000B362000058
-:108AD000B4620000B5620000B6620000B762000038
-:108AE000B8620000B9620000BA620000BB62000018
-:108AF000BC620000BD620000BE620000BF620000F8
-:108B0000C0620000C1620000C2620000C3620000D7
-:108B1000C4620000C5620000C6620000C7620000B7
-:108B2000C8620000C9620000CA620000CB62000097
-:108B3000CC620000CD620000CE620000CF62000077
-:108B4000D0620000D1620000D2620000D362000057
-:108B5000D4620000D5620000D6620000D762000037
-:108B6000D8620000D9620000DA620000DB62000017
-:108B7000DC620000DD620000DE620000DF620000F7
-:108B8000E0620000E1620000E2620000E3620000D7
-:108B9000E4620000E5620000E6620000E7620000B7
-:108BA000E8620000E9620000EA620000EB62000097
-:108BB000EC620000ED620000EE620000EF62000077
-:108BC000F0620000F1620000F2620000F362000057
-:108BD000F4620000F5620000F6620000F762000037
-:108BE000F8620000F9620000FA620000FB62000017
-:108BF000FC620000FD620000FE620000FF620000F7
-:108C000000630000016300000263000003630000D2
-:108C100004630000056300000663000007630000B2
-:108C200008630000096300000A6300000B63000092
-:108C30000C6300000D6300000E6300000F63000072
-:108C40001063000011630000126300001363000052
-:108C50001463000015630000166300001763000032
-:108C600018630000196300001A6300001B63000012
-:108C70001C6300001D6300001E6300001F630000F2
-:108C800020630000216300002263000023630000D2
-:108C900024630000256300002663000027630000B2
-:108CA00028630000296300002A6300002B63000092
-:108CB0002C6300002D6300002E6300002F63000072
-:108CC0003063000031630000326300003363000052
-:108CD0003463000035630000366300003763000032
-:108CE00038630000396300003A6300003B63000012
-:108CF0003C6300003D6300003E6300003F630000F2
-:108D000040630000416300004263000043630000D1
-:108D100044630000456300004663000047630000B1
-:108D200048630000496300004A6300004B63000091
-:108D30004C6300004D6300004E6300004F63000071
-:108D40005063000051630000526300005363000051
-:108D50005463000055630000566300005763000031
-:108D600058630000596300005A6300005B63000011
-:108D70005C6300005D6300005E6300005F630000F1
-:108D800060630000616300006263000063630000D1
-:108D900064630000656300006663000067630000B1
-:108DA00068630000696300006A6300006B63000091
-:108DB0006C6300006D6300006E6300006F63000071
-:108DC0007063000071630000726300007363000051
-:108DD0007463000075630000766300007763000031
-:108DE00078630000796300007A6300007B63000011
-:108DF0007C6300007D6300007E6300007F630000F1
-:108E000080630000816300008263000083630000D0
-:108E100084630000856300008663000087630000B0
-:108E200088630000896300008A6300008B63000090
-:108E30008C6300008D6300008E6300008F63000070
-:108E40009063000091630000926300009363000050
-:108E50009463000095630000966300009763000030
-:108E600098630000996300009A6300009B63000010
-:108E70009C6300009D6300009E6300009F630000F0
-:108E8000A0630000A1630000A2630000A3630000D0
-:108E9000A4630000A5630000A6630000A7630000B0
-:108EA000A8630000A9630000AA630000AB63000090
-:108EB000AC630000AD630000AE630000AF63000070
-:108EC000B0630000B1630000B2630000B363000050
-:108ED000B4630000B5630000B6630000B763000030
-:108EE000B8630000B9630000BA630000BB63000010
-:108EF000BC630000BD630000BE630000BF630000F0
-:108F0000C0630000C1630000C2630000C3630000CF
-:108F1000C4630000C5630000C6630000C7630000AF
-:108F2000C8630000C9630000CA630000CB6300008F
-:108F3000CC630000CD630000CE630000CF6300006F
-:108F4000D0630000D1630000D2630000D36300004F
-:108F5000D4630000D5630000D6630000D76300002F
-:108F6000D8630000D9630000DA630000DB6300000F
-:108F7000DC630000DD630000DE630000DF630000EF
-:108F8000E0630000E1630000E2630000E3630000CF
-:108F9000E4630000E5630000E6630000E7630000AF
-:108FA000E8630000E9630000EA630000EB6300008F
-:108FB000EC630000ED630000EE630000EF6300006F
-:108FC000F0630000F1630000F2630000F36300004F
-:108FD000F4630000F5630000F6630000F76300002F
-:108FE000F8630000F9630000FA630000FB6300000F
-:108FF000FC630000FD630000FE630000FF630000EF
-:1090000000640000016400000264000003640000CA
-:1090100004640000056400000664000007640000AA
-:1090200008640000096400000A6400000B6400008A
-:109030000C6400000D6400000E6400000F6400006A
-:10904000106400001164000012640000136400004A
-:10905000146400001564000016640000176400002A
-:1090600018640000196400001A6400001B6400000A
-:109070001C6400001D6400001E6400001F640000EA
-:1090800020640000216400002264000023640000CA
-:1090900024640000256400002664000027640000AA
-:1090A00028640000296400002A6400002B6400008A
-:1090B0002C6400002D6400002E6400002F6400006A
-:1090C000306400003164000032640000336400004A
-:1090D000346400003564000036640000376400002A
-:1090E00038640000396400003A6400003B6400000A
-:1090F0003C6400003D6400003E6400003F640000EA
-:1091000040640000416400004264000043640000C9
-:1091100044640000456400004664000047640000A9
-:1091200048640000496400004A6400004B64000089
-:109130004C6400004D6400004E6400004F64000069
-:109140005064000051640000526400005364000049
-:109150005464000055640000566400005764000029
-:1091600058640000596400005A6400005B64000009
-:109170005C6400005D6400005E6400005F640000E9
-:1091800060640000616400006264000063640000C9
-:1091900064640000656400006664000067640000A9
-:1091A00068640000696400006A6400006B64000089
-:1091B0006C6400006D6400006E6400006F64000069
-:1091C0007064000071640000726400007364000049
-:1091D0007464000075640000766400007764000029
-:1091E00078640000796400007A6400007B64000009
-:1091F0007C6400007D6400007E6400007F640000E9
-:1092000080640000816400008264000083640000C8
-:1092100084640000856400008664000087640000A8
-:1092200088640000896400008A6400008B64000088
-:109230008C6400008D6400008E6400008F64000068
-:109240009064000091640000926400009364000048
-:109250009464000095640000966400009764000028
-:1092600098640000996400009A6400009B64000008
-:109270009C6400009D6400009E6400009F640000E8
-:10928000A0640000A1640000A2640000A3640000C8
-:10929000A4640000A5640000A6640000A7640000A8
-:1092A000A8640000A9640000AA640000AB64000088
-:1092B000AC640000AD640000AE640000AF64000068
-:1092C000B0640000B1640000B2640000B364000048
-:1092D000B4640000B5640000B6640000B764000028
-:1092E000B8640000B9640000BA640000BB64000008
-:1092F000BC640000BD640000BE640000BF640000E8
-:10930000C0640000C1640000C2640000C3640000C7
-:10931000C4640000C5640000C6640000C7640000A7
-:10932000C8640000C9640000CA640000CB64000087
-:10933000CC640000CD640000CE640000CF64000067
-:10934000D0640000D1640000D2640000D364000047
-:10935000D4640000D5640000D6640000D764000027
-:10936000D8640000D9640000DA640000DB64000007
-:10937000DC640000DD640000DE640000DF640000E7
-:10938000E0640000E1640000E2640000E3640000C7
-:10939000E4640000E5640000E6640000E7640000A7
-:1093A000E8640000E9640000EA640000EB64000087
-:1093B000EC640000ED640000EE640000EF64000067
-:1093C000F0640000F1640000F2640000F364000047
-:1093D000F4640000F5640000F6640000F764000027
-:1093E000F8640000F9640000FA640000FB64000007
-:1093F000FC640000FD640000FE640000FF640000E7
-:1094000000650000016500000265000003650000C2
-:1094100004650000056500000665000007650000A2
-:1094200008650000096500000A6500000B65000082
-:109430000C6500000D6500000E6500000F65000062
-:109440001065000011650000126500001365000042
-:109450001465000015650000166500001765000022
-:1094600018650000196500001A6500001B65000002
-:109470001C6500001D6500001E6500001F650000E2
-:1094800020650000216500002265000023650000C2
-:1094900024650000256500002665000027650000A2
-:1094A00028650000296500002A6500002B65000082
-:1094B0002C6500002D6500002E6500002F65000062
-:1094C0003065000031650000326500003365000042
-:1094D0003465000035650000366500003765000022
-:1094E00038650000396500003A6500003B65000002
-:1094F0003C6500003D6500003E6500003F650000E2
-:1095000040650000416500004265000043650000C1
-:1095100044650000456500004665000047650000A1
-:1095200048650000496500004A6500004B65000081
-:109530004C6500004D6500004E6500004F65000061
-:109540005065000051650000526500005365000041
-:109550005465000055650000566500005765000021
-:1095600058650000596500005A6500005B65000001
-:109570005C6500005D6500005E6500005F650000E1
-:1095800060650000616500006265000063650000C1
-:1095900064650000656500006665000067650000A1
-:1095A00068650000696500006A6500006B65000081
-:1095B0006C6500006D6500006E6500006F65000061
-:1095C0007065000071650000726500007365000041
-:1095D0007465000075650000766500007765000021
-:1095E00078650000796500007A6500007B65000001
-:1095F0007C6500007D6500007E6500007F650000E1
-:1096000080650000816500008265000083650000C0
-:1096100084650000856500008665000087650000A0
-:1096200088650000896500008A6500008B65000080
-:109630008C6500008D6500008E6500008F65000060
-:109640009065000091650000926500009365000040
-:109650009465000095650000966500009765000020
-:1096600098650000996500009A6500009B65000000
-:109670009C6500009D6500009E6500009F650000E0
-:10968000A0650000A1650000A2650000A3650000C0
-:10969000A4650000A5650000A6650000A7650000A0
-:1096A000A8650000A9650000AA650000AB65000080
-:1096B000AC650000AD650000AE650000AF65000060
-:1096C000B0650000B1650000B2650000B365000040
-:1096D000B4650000B5650000B6650000B765000020
-:1096E000B8650000B9650000BA650000BB65000000
-:1096F000BC650000BD650000BE650000BF650000E0
-:10970000C0650000C1650000C2650000C3650000BF
-:10971000C4650000C5650000C6650000C76500009F
-:10972000C8650000C9650000CA650000CB6500007F
-:10973000CC650000CD650000CE650000CF6500005F
-:10974000D0650000D1650000D2650000D36500003F
-:10975000D4650000D5650000D6650000D76500001F
-:10976000D8650000D9650000DA650000DB650000FF
-:10977000DC650000DD650000DE650000DF650000DF
-:10978000E0650000E1650000E2650000E3650000BF
-:10979000E4650000E5650000E6650000E76500009F
-:1097A000E8650000E9650000EA650000EB6500007F
-:1097B000EC650000ED650000EE650000EF6500005F
-:1097C000F0650000F1650000F2650000F36500003F
-:1097D000F4650000F5650000F6650000F76500001F
-:1097E000F8650000F9650000FA650000FB650000FF
-:1097F000FC650000FD650000FE650000FF650000DF
-:1098000000660000016600000266000003660000BA
-:10981000046600000566000006660000076600009A
-:1098200008660000096600000A6600000B6600007A
-:109830000C6600000D6600000E6600000F6600005A
-:10984000106600001166000012660000136600003A
-:10985000146600001566000016660000176600001A
-:1098600018660000196600001A6600001B660000FA
-:109870001C6600001D6600001E6600001F660000DA
-:1098800020660000216600002266000023660000BA
-:10989000246600002566000026660000276600009A
-:1098A00028660000296600002A6600002B6600007A
-:1098B0002C6600002D6600002E6600002F6600005A
-:1098C000306600003166000032660000336600003A
-:1098D000346600003566000036660000376600001A
-:1098E00038660000396600003A6600003B660000FA
-:1098F0003C6600003D6600003E6600003F660000DA
-:1099000040660000416600004266000043660000B9
-:109910004466000045660000466600004766000099
-:1099200048660000496600004A6600004B66000079
-:109930004C6600004D6600004E6600004F66000059
-:109940005066000051660000526600005366000039
-:109950005466000055660000566600005766000019
-:1099600058660000596600005A6600005B660000F9
-:109970005C6600005D6600005E6600005F660000D9
-:1099800060660000616600006266000063660000B9
-:109990006466000065660000666600006766000099
-:1099A00068660000696600006A6600006B66000079
-:1099B0006C6600006D6600006E6600006F66000059
-:1099C0007066000071660000726600007366000039
-:1099D0007466000075660000766600007766000019
-:1099E00078660000796600007A6600007B660000F9
-:1099F0007C6600007D6600007E6600007F660000D9
-:109A000080660000816600008266000083660000B8
-:109A10008466000085660000866600008766000098
-:109A200088660000896600008A6600008B66000078
-:109A30008C6600008D6600008E6600008F66000058
-:109A40009066000091660000926600009366000038
-:109A50009466000095660000966600009766000018
-:109A600098660000996600009A6600009B660000F8
-:109A70009C6600009D6600009E6600009F660000D8
-:109A8000A0660000A1660000A2660000A3660000B8
-:109A9000A4660000A5660000A6660000A766000098
-:109AA000A8660000A9660000AA660000AB66000078
-:109AB000AC660000AD660000AE660000AF66000058
-:109AC000B0660000B1660000B2660000B366000038
-:109AD000B4660000B5660000B6660000B766000018
-:109AE000B8660000B9660000BA660000BB660000F8
-:109AF000BC660000BD660000BE660000BF660000D8
-:109B0000C0660000C1660000C2660000C3660000B7
-:109B1000C4660000C5660000C6660000C766000097
-:109B2000C8660000C9660000CA660000CB66000077
-:109B3000CC660000CD660000CE660000CF66000057
-:109B4000D0660000D1660000D2660000D366000037
-:109B5000D4660000D5660000D6660000D766000017
-:109B6000D8660000D9660000DA660000DB660000F7
-:109B7000DC660000DD660000DE660000DF660000D7
-:109B8000E0660000E1660000E2660000E3660000B7
-:109B9000E4660000E5660000E6660000E766000097
-:109BA000E8660000E9660000EA660000EB66000077
-:109BB000EC660000ED660000EE660000EF66000057
-:109BC000F0660000F1660000F2660000F366000037
-:109BD000F4660000F5660000F6660000F766000017
-:109BE000F8660000F9660000FA660000FB660000F7
-:109BF000FC660000FD660000FE660000FF660000D7
-:109C000000670000016700000267000003670000B2
-:109C10000467000005670000066700000767000092
-:109C200008670000096700000A6700000B67000072
-:109C30000C6700000D6700000E6700000F67000052
-:109C40001067000011670000126700001367000032
-:109C50001467000015670000166700001767000012
-:109C600018670000196700001A6700001B670000F2
-:109C70001C6700001D6700001E6700001F670000D2
-:109C800020670000216700002267000023670000B2
-:109C90002467000025670000266700002767000092
-:109CA00028670000296700002A6700002B67000072
-:109CB0002C6700002D6700002E6700002F67000052
-:109CC0003067000031670000326700003367000032
-:109CD0003467000035670000366700003767000012
-:109CE00038670000396700003A6700003B670000F2
-:109CF0003C6700003D6700003E6700003F670000D2
-:109D000040670000416700004267000043670000B1
-:109D10004467000045670000466700004767000091
-:109D200048670000496700004A6700004B67000071
-:109D30004C6700004D6700004E6700004F67000051
-:109D40005067000051670000526700005367000031
-:109D50005467000055670000566700005767000011
-:109D600058670000596700005A6700005B670000F1
-:109D70005C6700005D6700005E6700005F670000D1
-:109D800060670000616700006267000063670000B1
-:109D90006467000065670000666700006767000091
-:109DA00068670000696700006A6700006B67000071
-:109DB0006C6700006D6700006E6700006F67000051
-:109DC0007067000071670000726700007367000031
-:109DD0007467000075670000766700007767000011
-:109DE00078670000796700007A6700007B670000F1
-:109DF0007C6700007D6700007E6700007F670000D1
-:109E000080670000816700008267000083670000B0
-:109E10008467000085670000866700008767000090
-:109E200088670000896700008A6700008B67000070
-:109E30008C6700008D6700008E6700008F67000050
-:109E40009067000091670000926700009367000030
-:109E50009467000095670000966700009767000010
-:109E600098670000996700009A6700009B670000F0
-:109E70009C6700009D6700009E6700009F670000D0
-:109E8000A0670000A1670000A2670000A3670000B0
-:109E9000A4670000A5670000A6670000A767000090
-:109EA000A8670000A9670000AA670000AB67000070
-:109EB000AC670000AD670000AE670000AF67000050
-:109EC000B0670000B1670000B2670000B367000030
-:109ED000B4670000B5670000B6670000B767000010
-:109EE000B8670000B9670000BA670000BB670000F0
-:109EF000BC670000BD670000BE670000BF670000D0
-:109F0000C0670000C1670000C2670000C3670000AF
-:109F1000C4670000C5670000C6670000C76700008F
-:109F2000C8670000C9670000CA670000CB6700006F
-:109F3000CC670000CD670000CE670000CF6700004F
-:109F4000D0670000D1670000D2670000D36700002F
-:109F5000D4670000D5670000D6670000D76700000F
-:109F6000D8670000D9670000DA670000DB670000EF
-:109F7000DC670000DD670000DE670000DF670000CF
-:109F8000E0670000E1670000E2670000E3670000AF
-:109F9000E4670000E5670000E6670000E76700008F
-:109FA000E8670000E9670000EA670000EB6700006F
-:109FB000EC670000ED670000EE670000EF6700004F
-:109FC000F0670000F1670000F2670000F36700002F
-:109FD000F4670000F5670000F6670000F76700000F
-:109FE000F8670000F9670000FA670000FB670000EF
-:109FF000FC670000FD670000FE670000FF670000CF
-:10A0000000680000016800000268000003680000AA
-:10A01000046800000568000006680000076800008A
-:10A0200008680000096800000A6800000B6800006A
-:10A030000C6800000D6800000E6800000F6800004A
-:10A04000106800001168000012680000136800002A
-:10A05000146800001568000016680000176800000A
-:10A0600018680000196800001A6800001B680000EA
-:10A070001C6800001D6800001E6800001F680000CA
-:10A0800020680000216800002268000023680000AA
-:10A09000246800002568000026680000276800008A
-:10A0A00028680000296800002A6800002B6800006A
-:10A0B0002C6800002D6800002E6800002F6800004A
-:10A0C000306800003168000032680000336800002A
-:10A0D000346800003568000036680000376800000A
-:10A0E00038680000396800003A6800003B680000EA
-:10A0F0003C6800003D6800003E6800003F680000CA
-:10A1000040680000416800004268000043680000A9
-:10A110004468000045680000466800004768000089
-:10A1200048680000496800004A6800004B68000069
-:10A130004C6800004D6800004E6800004F68000049
-:10A140005068000051680000526800005368000029
-:10A150005468000055680000566800005768000009
-:10A1600058680000596800005A6800005B680000E9
-:10A170005C6800005D6800005E6800005F680000C9
-:10A1800060680000616800006268000063680000A9
-:10A190006468000065680000666800006768000089
-:10A1A00068680000696800006A6800006B68000069
-:10A1B0006C6800006D6800006E6800006F68000049
-:10A1C0007068000071680000726800007368000029
-:10A1D0007468000075680000766800007768000009
-:10A1E00078680000796800007A6800007B680000E9
-:10A1F0007C6800007D6800007E6800007F680000C9
-:10A2000080680000816800008268000083680000A8
-:10A210008468000085680000866800008768000088
-:10A2200088680000896800008A6800008B68000068
-:10A230008C6800008D6800008E6800008F68000048
-:10A240009068000091680000926800009368000028
-:10A250009468000095680000966800009768000008
-:10A2600098680000996800009A6800009B680000E8
-:10A270009C6800009D6800009E6800009F680000C8
-:10A28000A0680000A1680000A2680000A3680000A8
-:10A29000A4680000A5680000A6680000A768000088
-:10A2A000A8680000A9680000AA680000AB68000068
-:10A2B000AC680000AD680000AE680000AF68000048
-:10A2C000B0680000B1680000B2680000B368000028
-:10A2D000B4680000B5680000B6680000B768000008
-:10A2E000B8680000B9680000BA680000BB680000E8
-:10A2F000BC680000BD680000BE680000BF680000C8
-:10A30000C0680000C1680000C2680000C3680000A7
-:10A31000C4680000C5680000C6680000C768000087
-:10A32000C8680000C9680000CA680000CB68000067
-:10A33000CC680000CD680000CE680000CF68000047
-:10A34000D0680000D1680000D2680000D368000027
-:10A35000D4680000D5680000D6680000D768000007
-:10A36000D8680000D9680000DA680000DB680000E7
-:10A37000DC680000DD680000DE680000DF680000C7
-:10A38000E0680000E1680000E2680000E3680000A7
-:10A39000E4680000E5680000E6680000E768000087
-:10A3A000E8680000E9680000EA680000EB68000067
-:10A3B000EC680000ED680000EE680000EF68000047
-:10A3C000F0680000F1680000F2680000F368000027
-:10A3D000F4680000F5680000F6680000F768000007
-:10A3E000F8680000F9680000FA680000FB680000E7
-:10A3F000FC680000FD680000FE680000FF680000C7
-:10A4000000690000016900000269000003690000A2
-:10A410000469000005690000066900000769000082
-:10A4200008690000096900000A6900000B69000062
-:10A430000C6900000D6900000E6900000F69000042
-:10A440001069000011690000126900001369000022
-:10A450001469000015690000166900001769000002
-:10A4600018690000196900001A6900001B690000E2
-:10A470001C6900001D6900001E6900001F690000C2
-:10A4800020690000216900002269000023690000A2
-:10A490002469000025690000266900002769000082
-:10A4A00028690000296900002A6900002B69000062
-:10A4B0002C6900002D6900002E6900002F69000042
-:10A4C0003069000031690000326900003369000022
-:10A4D0003469000035690000366900003769000002
-:10A4E00038690000396900003A6900003B690000E2
-:10A4F0003C6900003D6900003E6900003F690000C2
-:10A5000040690000416900004269000043690000A1
-:10A510004469000045690000466900004769000081
-:10A5200048690000496900004A6900004B69000061
-:10A530004C6900004D6900004E6900004F69000041
-:10A540005069000051690000526900005369000021
-:10A550005469000055690000566900005769000001
-:10A5600058690000596900005A6900005B690000E1
-:10A570005C6900005D6900005E6900005F690000C1
-:10A5800060690000616900006269000063690000A1
-:10A590006469000065690000666900006769000081
-:10A5A00068690000696900006A6900006B69000061
-:10A5B0006C6900006D6900006E6900006F69000041
-:10A5C0007069000071690000726900007369000021
-:10A5D0007469000075690000766900007769000001
-:10A5E00078690000796900007A6900007B690000E1
-:10A5F0007C6900007D6900007E6900007F690000C1
-:10A6000080690000816900008269000083690000A0
-:10A610008469000085690000866900008769000080
-:10A6200088690000896900008A6900008B69000060
-:10A630008C6900008D6900008E6900008F69000040
-:10A640009069000091690000926900009369000020
-:10A650009469000095690000966900009769000000
-:10A6600098690000996900009A6900009B690000E0
-:10A670009C6900009D6900009E6900009F690000C0
-:10A68000A0690000A1690000A2690000A3690000A0
-:10A69000A4690000A5690000A6690000A769000080
-:10A6A000A8690000A9690000AA690000AB69000060
-:10A6B000AC690000AD690000AE690000AF69000040
-:10A6C000B0690000B1690000B2690000B369000020
-:10A6D000B4690000B5690000B6690000B769000000
-:10A6E000B8690000B9690000BA690000BB690000E0
-:10A6F000BC690000BD690000BE690000BF690000C0
-:10A70000C0690000C1690000C2690000C36900009F
-:10A71000C4690000C5690000C6690000C76900007F
-:10A72000C8690000C9690000CA690000CB6900005F
-:10A73000CC690000CD690000CE690000CF6900003F
-:10A74000D0690000D1690000D2690000D36900001F
-:10A75000D4690000D5690000D6690000D7690000FF
-:10A76000D8690000D9690000DA690000DB690000DF
-:10A77000DC690000DD690000DE690000DF690000BF
-:10A78000E0690000E1690000E2690000E36900009F
-:10A79000E4690000E5690000E6690000E76900007F
-:10A7A000E8690000E9690000EA690000EB6900005F
-:10A7B000EC690000ED690000EE690000EF6900003F
-:10A7C000F0690000F1690000F2690000F36900001F
-:10A7D000F4690000F5690000F6690000F7690000FF
-:10A7E000F8690000F9690000FA690000FB690000DF
-:10A7F000FC690000FD690000FE690000FF690000BF
-:10A80000006A0000016A0000026A0000036A00009A
-:10A81000046A0000056A0000066A0000076A00007A
-:10A82000086A0000096A00000A6A00000B6A00005A
-:10A830000C6A00000D6A00000E6A00000F6A00003A
-:10A84000106A0000116A0000126A0000136A00001A
-:10A85000146A0000156A0000166A0000176A0000FA
-:10A86000186A0000196A00001A6A00001B6A0000DA
-:10A870001C6A00001D6A00001E6A00001F6A0000BA
-:10A88000206A0000216A0000226A0000236A00009A
-:10A89000246A0000256A0000266A0000276A00007A
-:10A8A000286A0000296A00002A6A00002B6A00005A
-:10A8B0002C6A00002D6A00002E6A00002F6A00003A
-:10A8C000306A0000316A0000326A0000336A00001A
-:10A8D000346A0000356A0000366A0000376A0000FA
-:10A8E000386A0000396A00003A6A00003B6A0000DA
-:10A8F0003C6A00003D6A00003E6A00003F6A0000BA
-:10A90000406A0000416A0000426A0000436A000099
-:10A91000446A0000456A0000466A0000476A000079
-:10A92000486A0000496A00004A6A00004B6A000059
-:10A930004C6A00004D6A00004E6A00004F6A000039
-:10A94000506A0000516A0000526A0000536A000019
-:10A95000546A0000556A0000566A0000576A0000F9
-:10A96000586A0000596A00005A6A00005B6A0000D9
-:10A970005C6A00005D6A00005E6A00005F6A0000B9
-:10A98000606A0000616A0000626A0000636A000099
-:10A99000646A0000656A0000666A0000676A000079
-:10A9A000686A0000696A00006A6A00006B6A000059
-:10A9B0006C6A00006D6A00006E6A00006F6A000039
-:10A9C000706A0000716A0000726A0000736A000019
-:10A9D000746A0000756A0000766A0000776A0000F9
-:10A9E000786A0000796A00007A6A00007B6A0000D9
-:10A9F0007C6A00007D6A00007E6A00007F6A0000B9
-:10AA0000806A0000816A0000826A0000836A000098
-:10AA1000846A0000856A0000866A0000876A000078
-:10AA2000886A0000896A00008A6A00008B6A000058
-:10AA30008C6A00008D6A00008E6A00008F6A000038
-:10AA4000906A0000916A0000926A0000936A000018
-:10AA5000946A0000956A0000966A0000976A0000F8
-:10AA6000986A0000996A00009A6A00009B6A0000D8
-:10AA70009C6A00009D6A00009E6A00009F6A0000B8
-:10AA8000A06A0000A16A0000A26A0000A36A000098
-:10AA9000A46A0000A56A0000A66A0000A76A000078
-:10AAA000A86A0000A96A0000AA6A0000AB6A000058
-:10AAB000AC6A0000AD6A0000AE6A0000AF6A000038
-:10AAC000B06A0000B16A0000B26A0000B36A000018
-:10AAD000B46A0000B56A0000B66A0000B76A0000F8
-:10AAE000B86A0000B96A0000BA6A0000BB6A0000D8
-:10AAF000BC6A0000BD6A0000BE6A0000BF6A0000B8
-:10AB0000C06A0000C16A0000C26A0000C36A000097
-:10AB1000C46A0000C56A0000C66A0000C76A000077
-:10AB2000C86A0000C96A0000CA6A0000CB6A000057
-:10AB3000CC6A0000CD6A0000CE6A0000CF6A000037
-:10AB4000D06A0000D16A0000D26A0000D36A000017
-:10AB5000D46A0000D56A0000D66A0000D76A0000F7
-:10AB6000D86A0000D96A0000DA6A0000DB6A0000D7
-:10AB7000DC6A0000DD6A0000DE6A0000DF6A0000B7
-:10AB8000E06A0000E16A0000E26A0000E36A000097
-:10AB9000E46A0000E56A0000E66A0000E76A000077
-:10ABA000E86A0000E96A0000EA6A0000EB6A000057
-:10ABB000EC6A0000ED6A0000EE6A0000EF6A000037
-:10ABC000F06A0000F16A0000F26A0000F36A000017
-:10ABD000F46A0000F56A0000F66A0000F76A0000F7
-:10ABE000F86A0000F96A0000FA6A0000FB6A0000D7
-:10ABF000FC6A0000FD6A0000FE6A0000FF6A0000B7
-:10AC0000006B0000016B0000026B0000036B000092
-:10AC1000046B0000056B0000066B0000076B000072
-:10AC2000086B0000096B00000A6B00000B6B000052
-:10AC30000C6B00000D6B00000E6B00000F6B000032
-:10AC4000106B0000116B0000126B0000136B000012
-:10AC5000146B0000156B0000166B0000176B0000F2
-:10AC6000186B0000196B00001A6B00001B6B0000D2
-:10AC70001C6B00001D6B00001E6B00001F6B0000B2
-:10AC8000206B0000216B0000226B0000236B000092
-:10AC9000246B0000256B0000266B0000276B000072
-:10ACA000286B0000296B00002A6B00002B6B000052
-:10ACB0002C6B00002D6B00002E6B00002F6B000032
-:10ACC000306B0000316B0000326B0000336B000012
-:10ACD000346B0000356B0000366B0000376B0000F2
-:10ACE000386B0000396B00003A6B00003B6B0000D2
-:10ACF0003C6B00003D6B00003E6B00003F6B0000B2
-:10AD0000406B0000416B0000426B0000436B000091
-:10AD1000446B0000456B0000466B0000476B000071
-:10AD2000486B0000496B00004A6B00004B6B000051
-:10AD30004C6B00004D6B00004E6B00004F6B000031
-:10AD4000506B0000516B0000526B0000536B000011
-:10AD5000546B0000556B0000566B0000576B0000F1
-:10AD6000586B0000596B00005A6B00005B6B0000D1
-:10AD70005C6B00005D6B00005E6B00005F6B0000B1
-:10AD8000606B0000616B0000626B0000636B000091
-:10AD9000646B0000656B0000666B0000676B000071
-:10ADA000686B0000696B00006A6B00006B6B000051
-:10ADB0006C6B00006D6B00006E6B00006F6B000031
-:10ADC000706B0000716B0000726B0000736B000011
-:10ADD000746B0000756B0000766B0000776B0000F1
-:10ADE000786B0000796B00007A6B00007B6B0000D1
-:10ADF0007C6B00007D6B00007E6B00007F6B0000B1
-:10AE0000806B0000816B0000826B0000836B000090
-:10AE1000846B0000856B0000866B0000876B000070
-:10AE2000886B0000896B00008A6B00008B6B000050
-:10AE30008C6B00008D6B00008E6B00008F6B000030
-:10AE4000906B0000916B0000926B0000936B000010
-:10AE5000946B0000956B0000966B0000976B0000F0
-:10AE6000986B0000996B00009A6B00009B6B0000D0
-:10AE70009C6B00009D6B00009E6B00009F6B0000B0
-:10AE8000A06B0000A16B0000A26B0000A36B000090
-:10AE9000A46B0000A56B0000A66B0000A76B000070
-:10AEA000A86B0000A96B0000AA6B0000AB6B000050
-:10AEB000AC6B0000AD6B0000AE6B0000AF6B000030
-:10AEC000B06B0000B16B0000B26B0000B36B000010
-:10AED000B46B0000B56B0000B66B0000B76B0000F0
-:10AEE000B86B0000B96B0000BA6B0000BB6B0000D0
-:10AEF000BC6B0000BD6B0000BE6B0000BF6B0000B0
-:10AF0000C06B0000C16B0000C26B0000C36B00008F
-:10AF1000C46B0000C56B0000C66B0000C76B00006F
-:10AF2000C86B0000C96B0000CA6B0000CB6B00004F
-:10AF3000CC6B0000CD6B0000CE6B0000CF6B00002F
-:10AF4000D06B0000D16B0000D26B0000D36B00000F
-:10AF5000D46B0000D56B0000D66B0000D76B0000EF
-:10AF6000D86B0000D96B0000DA6B0000DB6B0000CF
-:10AF7000DC6B0000DD6B0000DE6B0000DF6B0000AF
-:10AF8000E06B0000E16B0000E26B0000E36B00008F
-:10AF9000E46B0000E56B0000E66B0000E76B00006F
-:10AFA000E86B0000E96B0000EA6B0000EB6B00004F
-:10AFB000EC6B0000ED6B0000EE6B0000EF6B00002F
-:10AFC000F06B0000F16B0000F26B0000F36B00000F
-:10AFD000F46B0000F56B0000F66B0000F76B0000EF
-:10AFE000F86B0000F96B0000FA6B0000FB6B0000CF
-:10AFF000FC6B0000FD6B0000FE6B0000FF6B0000AF
-:10B00000006C0000016C0000026C0000036C00008A
-:10B01000046C0000056C0000066C0000076C00006A
-:10B02000086C0000096C00000A6C00000B6C00004A
-:10B030000C6C00000D6C00000E6C00000F6C00002A
-:10B04000106C0000116C0000126C0000136C00000A
-:10B05000146C0000156C0000166C0000176C0000EA
-:10B06000186C0000196C00001A6C00001B6C0000CA
-:10B070001C6C00001D6C00001E6C00001F6C0000AA
-:10B08000206C0000216C0000226C0000236C00008A
-:10B09000246C0000256C0000266C0000276C00006A
-:10B0A000286C0000296C00002A6C00002B6C00004A
-:10B0B0002C6C00002D6C00002E6C00002F6C00002A
-:10B0C000306C0000316C0000326C0000336C00000A
-:10B0D000346C0000356C0000366C0000376C0000EA
-:10B0E000386C0000396C00003A6C00003B6C0000CA
-:10B0F0003C6C00003D6C00003E6C00003F6C0000AA
-:10B10000406C0000416C0000426C0000436C000089
-:10B11000446C0000456C0000466C0000476C000069
-:10B12000486C0000496C00004A6C00004B6C000049
-:10B130004C6C00004D6C00004E6C00004F6C000029
-:10B14000506C0000516C0000526C0000536C000009
-:10B15000546C0000556C0000566C0000576C0000E9
-:10B16000586C0000596C00005A6C00005B6C0000C9
-:10B170005C6C00005D6C00005E6C00005F6C0000A9
-:10B18000606C0000616C0000626C0000636C000089
-:10B19000646C0000656C0000666C0000676C000069
-:10B1A000686C0000696C00006A6C00006B6C000049
-:10B1B0006C6C00006D6C00006E6C00006F6C000029
-:10B1C000706C0000716C0000726C0000736C000009
-:10B1D000746C0000756C0000766C0000776C0000E9
-:10B1E000786C0000796C00007A6C00007B6C0000C9
-:10B1F0007C6C00007D6C00007E6C00007F6C0000A9
-:10B20000806C0000816C0000826C0000836C000088
-:10B21000846C0000856C0000866C0000876C000068
-:10B22000886C0000896C00008A6C00008B6C000048
-:10B230008C6C00008D6C00008E6C00008F6C000028
-:10B24000906C0000916C0000926C0000936C000008
-:10B25000946C0000956C0000966C0000976C0000E8
-:10B26000986C0000996C00009A6C00009B6C0000C8
-:10B270009C6C00009D6C00009E6C00009F6C0000A8
-:10B28000A06C0000A16C0000A26C0000A36C000088
-:10B29000A46C0000A56C0000A66C0000A76C000068
-:10B2A000A86C0000A96C0000AA6C0000AB6C000048
-:10B2B000AC6C0000AD6C0000AE6C0000AF6C000028
-:10B2C000B06C0000B16C0000B26C0000B36C000008
-:10B2D000B46C0000B56C0000B66C0000B76C0000E8
-:10B2E000B86C0000B96C0000BA6C0000BB6C0000C8
-:10B2F000BC6C0000BD6C0000BE6C0000BF6C0000A8
-:10B30000C06C0000C16C0000C26C0000C36C000087
-:10B31000C46C0000C56C0000C66C0000C76C000067
-:10B32000C86C0000C96C0000CA6C0000CB6C000047
-:10B33000CC6C0000CD6C0000CE6C0000CF6C000027
-:10B34000D06C0000D16C0000D26C0000D36C000007
-:10B35000D46C0000D56C0000D66C0000D76C0000E7
-:10B36000D86C0000D96C0000DA6C0000DB6C0000C7
-:10B37000DC6C0000DD6C0000DE6C0000DF6C0000A7
-:10B38000E06C0000E16C0000E26C0000E36C000087
-:10B39000E46C0000E56C0000E66C0000E76C000067
-:10B3A000E86C0000E96C0000EA6C0000EB6C000047
-:10B3B000EC6C0000ED6C0000EE6C0000EF6C000027
-:10B3C000F06C0000F16C0000F26C0000F36C000007
-:10B3D000F46C0000F56C0000F66C0000F76C0000E7
-:10B3E000F86C0000F96C0000FA6C0000FB6C0000C7
-:10B3F000FC6C0000FD6C0000FE6C0000FF6C0000A7
-:10B40000006D0000016D0000026D0000036D000082
-:10B41000046D0000056D0000066D0000076D000062
-:10B42000086D0000096D00000A6D00000B6D000042
-:10B430000C6D00000D6D00000E6D00000F6D000022
-:10B44000106D0000116D0000126D0000136D000002
-:10B45000146D0000156D0000166D0000176D0000E2
-:10B46000186D0000196D00001A6D00001B6D0000C2
-:10B470001C6D00001D6D00001E6D00001F6D0000A2
-:10B48000206D0000216D0000226D0000236D000082
-:10B49000246D0000256D0000266D0000276D000062
-:10B4A000286D0000296D00002A6D00002B6D000042
-:10B4B0002C6D00002D6D00002E6D00002F6D000022
-:10B4C000306D0000316D0000326D0000336D000002
-:10B4D000346D0000356D0000366D0000376D0000E2
-:10B4E000386D0000396D00003A6D00003B6D0000C2
-:10B4F0003C6D00003D6D00003E6D00003F6D0000A2
-:10B50000406D0000416D0000426D0000436D000081
-:10B51000446D0000456D0000466D0000476D000061
-:10B52000486D0000496D00004A6D00004B6D000041
-:10B530004C6D00004D6D00004E6D00004F6D000021
-:10B54000506D0000516D0000526D0000536D000001
-:10B55000546D0000556D0000566D0000576D0000E1
-:10B56000586D0000596D00005A6D00005B6D0000C1
-:10B570005C6D00005D6D00005E6D00005F6D0000A1
-:10B58000606D0000616D0000626D0000636D000081
-:10B59000646D0000656D0000666D0000676D000061
-:10B5A000686D0000696D00006A6D00006B6D000041
-:10B5B0006C6D00006D6D00006E6D00006F6D000021
-:10B5C000706D0000716D0000726D0000736D000001
-:10B5D000746D0000756D0000766D0000776D0000E1
-:10B5E000786D0000796D00007A6D00007B6D0000C1
-:10B5F0007C6D00007D6D00007E6D00007F6D0000A1
-:10B60000806D0000816D0000826D0000836D000080
-:10B61000846D0000856D0000866D0000876D000060
-:10B62000886D0000896D00008A6D00008B6D000040
-:10B630008C6D00008D6D00008E6D00008F6D000020
-:10B64000906D0000916D0000926D0000936D000000
-:10B65000946D0000956D0000966D0000976D0000E0
-:10B66000986D0000996D00009A6D00009B6D0000C0
-:10B670009C6D00009D6D00009E6D00009F6D0000A0
-:10B68000A06D0000A16D0000A26D0000A36D000080
-:10B69000A46D0000A56D0000A66D0000A76D000060
-:10B6A000A86D0000A96D0000AA6D0000AB6D000040
-:10B6B000AC6D0000AD6D0000AE6D0000AF6D000020
-:10B6C000B06D0000B16D0000B26D0000B36D000000
-:10B6D000B46D0000B56D0000B66D0000B76D0000E0
-:10B6E000B86D0000B96D0000BA6D0000BB6D0000C0
-:10B6F000BC6D0000BD6D0000BE6D0000BF6D0000A0
-:10B70000C06D0000C16D0000C26D0000C36D00007F
-:10B71000C46D0000C56D0000C66D0000C76D00005F
-:10B72000C86D0000C96D0000CA6D0000CB6D00003F
-:10B73000CC6D0000CD6D0000CE6D0000CF6D00001F
-:10B74000D06D0000D16D0000D26D0000D36D0000FF
-:10B75000D46D0000D56D0000D66D0000D76D0000DF
-:10B76000D86D0000D96D0000DA6D0000DB6D0000BF
-:10B77000DC6D0000DD6D0000DE6D0000DF6D00009F
-:10B78000E06D0000E16D0000E26D0000E36D00007F
-:10B79000E46D0000E56D0000E66D0000E76D00005F
-:10B7A000E86D0000E96D0000EA6D0000EB6D00003F
-:10B7B000EC6D0000ED6D0000EE6D0000EF6D00001F
-:10B7C000F06D0000F16D0000F26D0000F36D0000FF
-:10B7D000F46D0000F56D0000F66D0000F76D0000DF
-:10B7E000F86D0000F96D0000FA6D0000FB6D0000BF
-:10B7F000FC6D0000FD6D0000FE6D0000FF6D00009F
-:10B80000006E0000016E0000026E0000036E00007A
-:10B81000046E0000056E0000066E0000076E00005A
-:10B82000086E0000096E00000A6E00000B6E00003A
-:10B830000C6E00000D6E00000E6E00000F6E00001A
-:10B84000106E0000116E0000126E0000136E0000FA
-:10B85000146E0000156E0000166E0000176E0000DA
-:10B86000186E0000196E00001A6E00001B6E0000BA
-:10B870001C6E00001D6E00001E6E00001F6E00009A
-:10B88000206E0000216E0000226E0000236E00007A
-:10B89000246E0000256E0000266E0000276E00005A
-:10B8A000286E0000296E00002A6E00002B6E00003A
-:10B8B0002C6E00002D6E00002E6E00002F6E00001A
-:10B8C000306E0000316E0000326E0000336E0000FA
-:10B8D000346E0000356E0000366E0000376E0000DA
-:10B8E000386E0000396E00003A6E00003B6E0000BA
-:10B8F0003C6E00003D6E00003E6E00003F6E00009A
-:10B90000406E0000416E0000426E0000436E000079
-:10B91000446E0000456E0000466E0000476E000059
-:10B92000486E0000496E00004A6E00004B6E000039
-:10B930004C6E00004D6E00004E6E00004F6E000019
-:10B94000506E0000516E0000526E0000536E0000F9
-:10B95000546E0000556E0000566E0000576E0000D9
-:10B96000586E0000596E00005A6E00005B6E0000B9
-:10B970005C6E00005D6E00005E6E00005F6E000099
-:10B98000606E0000616E0000626E0000636E000079
-:10B99000646E0000656E0000666E0000676E000059
-:10B9A000686E0000696E00006A6E00006B6E000039
-:10B9B0006C6E00006D6E00006E6E00006F6E000019
-:10B9C000706E0000716E0000726E0000736E0000F9
-:10B9D000746E0000756E0000766E0000776E0000D9
-:10B9E000786E0000796E00007A6E00007B6E0000B9
-:10B9F0007C6E00007D6E00007E6E00007F6E000099
-:10BA0000806E0000816E0000826E0000836E000078
-:10BA1000846E0000856E0000866E0000876E000058
-:10BA2000886E0000896E00008A6E00008B6E000038
-:10BA30008C6E00008D6E00008E6E00008F6E000018
-:10BA4000906E0000916E0000926E0000936E0000F8
-:10BA5000946E0000956E0000966E0000976E0000D8
-:10BA6000986E0000996E00009A6E00009B6E0000B8
-:10BA70009C6E00009D6E00009E6E00009F6E000098
-:10BA8000A06E0000A16E0000A26E0000A36E000078
-:10BA9000A46E0000A56E0000A66E0000A76E000058
-:10BAA000A86E0000A96E0000AA6E0000AB6E000038
-:10BAB000AC6E0000AD6E0000AE6E0000AF6E000018
-:10BAC000B06E0000B16E0000B26E0000B36E0000F8
-:10BAD000B46E0000B56E0000B66E0000B76E0000D8
-:10BAE000B86E0000B96E0000BA6E0000BB6E0000B8
-:10BAF000BC6E0000BD6E0000BE6E0000BF6E000098
-:10BB0000C06E0000C16E0000C26E0000C36E000077
-:10BB1000C46E0000C56E0000C66E0000C76E000057
-:10BB2000C86E0000C96E0000CA6E0000CB6E000037
-:10BB3000CC6E0000CD6E0000CE6E0000CF6E000017
-:10BB4000D06E0000D16E0000D26E0000D36E0000F7
-:10BB5000D46E0000D56E0000D66E0000D76E0000D7
-:10BB6000D86E0000D96E0000DA6E0000DB6E0000B7
-:10BB7000DC6E0000DD6E0000DE6E0000DF6E000097
-:10BB8000E06E0000E16E0000E26E0000E36E000077
-:10BB9000E46E0000E56E0000E66E0000E76E000057
-:10BBA000E86E0000E96E0000EA6E0000EB6E000037
-:10BBB000EC6E0000ED6E0000EE6E0000EF6E000017
-:10BBC000F06E0000F16E0000F26E0000F36E0000F7
-:10BBD000F46E0000F56E0000F66E0000F76E0000D7
-:10BBE000F86E0000F96E0000FA6E0000FB6E0000B7
-:10BBF000FC6E0000FD6E0000FE6E0000FF6E000097
-:10BC0000006F0000016F0000026F0000036F000072
-:10BC1000046F0000056F0000066F0000076F000052
-:10BC2000086F0000096F00000A6F00000B6F000032
-:10BC30000C6F00000D6F00000E6F00000F6F000012
-:10BC4000106F0000116F0000126F0000136F0000F2
-:10BC5000146F0000156F0000166F0000176F0000D2
-:10BC6000186F0000196F00001A6F00001B6F0000B2
-:10BC70001C6F00001D6F00001E6F00001F6F000092
-:10BC8000206F0000216F0000226F0000236F000072
-:10BC9000246F0000256F0000266F0000276F000052
-:10BCA000286F0000296F00002A6F00002B6F000032
-:10BCB0002C6F00002D6F00002E6F00002F6F000012
-:10BCC000306F0000316F0000326F0000336F0000F2
-:10BCD000346F0000356F0000366F0000376F0000D2
-:10BCE000386F0000396F00003A6F00003B6F0000B2
-:10BCF0003C6F00003D6F00003E6F00003F6F000092
-:10BD0000406F0000416F0000426F0000436F000071
-:10BD1000446F0000456F0000466F0000476F000051
-:10BD2000486F0000496F00004A6F00004B6F000031
-:10BD30004C6F00004D6F00004E6F00004F6F000011
-:10BD4000506F0000516F0000526F0000536F0000F1
-:10BD5000546F0000556F0000566F0000576F0000D1
-:10BD6000586F0000596F00005A6F00005B6F0000B1
-:10BD70005C6F00005D6F00005E6F00005F6F000091
-:10BD8000606F0000616F0000626F0000636F000071
-:10BD9000646F0000656F0000666F0000676F000051
-:10BDA000686F0000696F00006A6F00006B6F000031
-:10BDB0006C6F00006D6F00006E6F00006F6F000011
-:10BDC000706F0000716F0000726F0000736F0000F1
-:10BDD000746F0000756F0000766F0000776F0000D1
-:10BDE000786F0000796F00007A6F00007B6F0000B1
-:10BDF0007C6F00007D6F00007E6F00007F6F000091
-:10BE0000806F0000816F0000826F0000836F000070
-:10BE1000846F0000856F0000866F0000876F000050
-:10BE2000886F0000896F00008A6F00008B6F000030
-:10BE30008C6F00008D6F00008E6F00008F6F000010
-:10BE4000906F0000916F0000926F0000936F0000F0
-:10BE5000946F0000956F0000966F0000976F0000D0
-:10BE6000986F0000996F00009A6F00009B6F0000B0
-:10BE70009C6F00009D6F00009E6F00009F6F000090
-:10BE8000A06F0000A16F0000A26F0000A36F000070
-:10BE9000A46F0000A56F0000A66F0000A76F000050
-:10BEA000A86F0000A96F0000AA6F0000AB6F000030
-:10BEB000AC6F0000AD6F0000AE6F0000AF6F000010
-:10BEC000B06F0000B16F0000B26F0000B36F0000F0
-:10BED000B46F0000B56F0000B66F0000B76F0000D0
-:10BEE000B86F0000B96F0000BA6F0000BB6F0000B0
-:10BEF000BC6F0000BD6F0000BE6F0000BF6F000090
-:10BF0000C06F0000C16F0000C26F0000C36F00006F
-:10BF1000C46F0000C56F0000C66F0000C76F00004F
-:10BF2000C86F0000C96F0000CA6F0000CB6F00002F
-:10BF3000CC6F0000CD6F0000CE6F0000CF6F00000F
-:10BF4000D06F0000D16F0000D26F0000D36F0000EF
-:10BF5000D46F0000D56F0000D66F0000D76F0000CF
-:10BF6000D86F0000D96F0000DA6F0000DB6F0000AF
-:10BF7000DC6F0000DD6F0000DE6F0000DF6F00008F
-:10BF8000E06F0000E16F0000E26F0000E36F00006F
-:10BF9000E46F0000E56F0000E66F0000E76F00004F
-:10BFA000E86F0000E96F0000EA6F0000EB6F00002F
-:10BFB000EC6F0000ED6F0000EE6F0000EF6F00000F
-:10BFC000F06F0000F16F0000F26F0000F36F0000EF
-:10BFD000F46F0000F56F0000F66F0000F76F0000CF
-:10BFE000F86F0000F96F0000FA6F0000FB6F0000AF
-:10BFF000FC6F0000FD6F0000FE6F0000FF6F00008F
-:10C00000007000000170000002700000037000006A
-:10C01000047000000570000006700000077000004A
-:10C0200008700000097000000A7000000B7000002A
-:10C030000C7000000D7000000E7000000F7000000A
-:10C0400010700000117000001270000013700000EA
-:10C0500014700000157000001670000017700000CA
-:10C0600018700000197000001A7000001B700000AA
-:10C070001C7000001D7000001E7000001F7000008A
-:10C08000207000002170000022700000237000006A
-:10C09000247000002570000026700000277000004A
-:10C0A00028700000297000002A7000002B7000002A
-:10C0B0002C7000002D7000002E7000002F7000000A
-:10C0C00030700000317000003270000033700000EA
-:10C0D00034700000357000003670000037700000CA
-:10C0E00038700000397000003A7000003B700000AA
-:10C0F0003C7000003D7000003E7000003F7000008A
-:10C100004070000041700000427000004370000069
-:10C110004470000045700000467000004770000049
-:10C1200048700000497000004A7000004B70000029
-:10C130004C7000004D7000004E7000004F70000009
-:10C1400050700000517000005270000053700000E9
-:10C1500054700000557000005670000057700000C9
-:10C1600058700000597000005A7000005B700000A9
-:10C170005C7000005D7000005E7000005F70000089
-:10C180006070000061700000627000006370000069
-:10C190006470000065700000667000006770000049
-:10C1A00068700000697000006A7000006B70000029
-:10C1B0006C7000006D7000006E7000006F70000009
-:10C1C00070700000717000007270000073700000E9
-:10C1D00074700000757000007670000077700000C9
-:10C1E00078700000797000007A7000007B700000A9
-:10C1F0007C7000007D7000007E7000007F70000089
-:10C200008070000081700000827000008370000068
-:10C210008470000085700000867000008770000048
-:10C2200088700000897000008A7000008B70000028
-:10C230008C7000008D7000008E7000008F70000008
-:10C2400090700000917000009270000093700000E8
-:10C2500094700000957000009670000097700000C8
-:10C2600098700000997000009A7000009B700000A8
-:10C270009C7000009D7000009E7000009F70000088
-:10C28000A0700000A1700000A2700000A370000068
-:10C29000A4700000A5700000A6700000A770000048
-:10C2A000A8700000A9700000AA700000AB70000028
-:10C2B000AC700000AD700000AE700000AF70000008
-:10C2C000B0700000B1700000B2700000B3700000E8
-:10C2D000B4700000B5700000B6700000B7700000C8
-:10C2E000B8700000B9700000BA700000BB700000A8
-:10C2F000BC700000BD700000BE700000BF70000088
-:10C30000C0700000C1700000C2700000C370000067
-:10C31000C4700000C5700000C6700000C770000047
-:10C32000C8700000C9700000CA700000CB70000027
-:10C33000CC700000CD700000CE700000CF70000007
-:10C34000D0700000D1700000D2700000D3700000E7
-:10C35000D4700000D5700000D6700000D7700000C7
-:10C36000D8700000D9700000DA700000DB700000A7
-:10C37000DC700000DD700000DE700000DF70000087
-:10C38000E0700000E1700000E2700000E370000067
-:10C39000E4700000E5700000E6700000E770000047
-:10C3A000E8700000E9700000EA700000EB70000027
-:10C3B000EC700000ED700000EE700000EF70000007
-:10C3C000F0700000F1700000F2700000F3700000E7
-:10C3D000F4700000F5700000F6700000F7700000C7
-:10C3E000F8700000F9700000FA700000FB700000A7
-:10C3F000FC700000FD700000FE700000FF70000087
-:10C400000071000001710000027100000371000062
-:10C410000471000005710000067100000771000042
-:10C4200008710000097100000A7100000B71000022
-:10C430000C7100000D7100000E7100000F71000002
-:10C4400010710000117100001271000013710000E2
-:10C4500014710000157100001671000017710000C2
-:10C4600018710000197100001A7100001B710000A2
-:10C470001C7100001D7100001E7100001F71000082
-:10C480002071000021710000227100002371000062
-:10C490002471000025710000267100002771000042
-:10C4A00028710000297100002A7100002B71000022
-:10C4B0002C7100002D7100002E7100002F71000002
-:10C4C00030710000317100003271000033710000E2
-:10C4D00034710000357100003671000037710000C2
-:10C4E00038710000397100003A7100003B710000A2
-:10C4F0003C7100003D7100003E7100003F71000082
-:10C500004071000041710000427100004371000061
-:10C510004471000045710000467100004771000041
-:10C5200048710000497100004A7100004B71000021
-:10C530004C7100004D7100004E7100004F71000001
-:10C5400050710000517100005271000053710000E1
-:10C5500054710000557100005671000057710000C1
-:10C5600058710000597100005A7100005B710000A1
-:10C570005C7100005D7100005E7100005F71000081
-:10C580006071000061710000627100006371000061
-:10C590006471000065710000667100006771000041
-:10C5A00068710000697100006A7100006B71000021
-:10C5B0006C7100006D7100006E7100006F71000001
-:10C5C00070710000717100007271000073710000E1
-:10C5D00074710000757100007671000077710000C1
-:10C5E00078710000797100007A7100007B710000A1
-:10C5F0007C7100007D7100007E7100007F71000081
-:10C600008071000081710000827100008371000060
-:10C610008471000085710000867100008771000040
-:10C6200088710000897100008A7100008B71000020
-:10C630008C7100008D7100008E7100008F71000000
-:10C6400090710000917100009271000093710000E0
-:10C6500094710000957100009671000097710000C0
-:10C6600098710000997100009A7100009B710000A0
-:10C670009C7100009D7100009E7100009F71000080
-:10C68000A0710000A1710000A2710000A371000060
-:10C69000A4710000A5710000A6710000A771000040
-:10C6A000A8710000A9710000AA710000AB71000020
-:10C6B000AC710000AD710000AE710000AF71000000
-:10C6C000B0710000B1710000B2710000B3710000E0
-:10C6D000B4710000B5710000B6710000B7710000C0
-:10C6E000B8710000B9710000BA710000BB710000A0
-:10C6F000BC710000BD710000BE710000BF71000080
-:10C70000C0710000C1710000C2710000C37100005F
-:10C71000C4710000C5710000C6710000C77100003F
-:10C72000C8710000C9710000CA710000CB7100001F
-:10C73000CC710000CD710000CE710000CF710000FF
-:10C74000D0710000D1710000D2710000D3710000DF
-:10C75000D4710000D5710000D6710000D7710000BF
-:10C76000D8710000D9710000DA710000DB7100009F
-:10C77000DC710000DD710000DE710000DF7100007F
-:10C78000E0710000E1710000E2710000E37100005F
-:10C79000E4710000E5710000E6710000E77100003F
-:10C7A000E8710000E9710000EA710000EB7100001F
-:10C7B000EC710000ED710000EE710000EF710000FF
-:10C7C000F0710000F1710000F2710000F3710000DF
-:10C7D000F4710000F5710000F6710000F7710000BF
-:10C7E000F8710000F9710000FA710000FB7100009F
-:10C7F000FC710000FD710000FE710000FF7100007F
-:10C80000007200000172000002720000037200005A
-:10C81000047200000572000006720000077200003A
-:10C8200008720000097200000A7200000B7200001A
-:10C830000C7200000D7200000E7200000F720000FA
-:10C8400010720000117200001272000013720000DA
-:10C8500014720000157200001672000017720000BA
-:10C8600018720000197200001A7200001B7200009A
-:10C870001C7200001D7200001E7200001F7200007A
-:10C88000207200002172000022720000237200005A
-:10C89000247200002572000026720000277200003A
-:10C8A00028720000297200002A7200002B7200001A
-:10C8B0002C7200002D7200002E7200002F720000FA
-:10C8C00030720000317200003272000033720000DA
-:10C8D00034720000357200003672000037720000BA
-:10C8E00038720000397200003A7200003B7200009A
-:10C8F0003C7200003D7200003E7200003F7200007A
-:10C900004072000041720000427200004372000059
-:10C910004472000045720000467200004772000039
-:10C9200048720000497200004A7200004B72000019
-:10C930004C7200004D7200004E7200004F720000F9
-:10C9400050720000517200005272000053720000D9
-:10C9500054720000557200005672000057720000B9
-:10C9600058720000597200005A7200005B72000099
-:10C970005C7200005D7200005E7200005F72000079
-:10C980006072000061720000627200006372000059
-:10C990006472000065720000667200006772000039
-:10C9A00068720000697200006A7200006B72000019
-:10C9B0006C7200006D7200006E7200006F720000F9
-:10C9C00070720000717200007272000073720000D9
-:10C9D00074720000757200007672000077720000B9
-:10C9E00078720000797200007A7200007B72000099
-:10C9F0007C7200007D7200007E7200007F72000079
-:10CA00008072000081720000827200008372000058
-:10CA10008472000085720000867200008772000038
-:10CA200088720000897200008A7200008B72000018
-:10CA30008C7200008D7200008E7200008F720000F8
-:10CA400090720000917200009272000093720000D8
-:10CA500094720000957200009672000097720000B8
-:10CA600098720000997200009A7200009B72000098
-:10CA70009C7200009D7200009E7200009F72000078
-:10CA8000A0720000A1720000A2720000A372000058
-:10CA9000A4720000A5720000A6720000A772000038
-:10CAA000A8720000A9720000AA720000AB72000018
-:10CAB000AC720000AD720000AE720000AF720000F8
-:10CAC000B0720000B1720000B2720000B3720000D8
-:10CAD000B4720000B5720000B6720000B7720000B8
-:10CAE000B8720000B9720000BA720000BB72000098
-:10CAF000BC720000BD720000BE720000BF72000078
-:10CB0000C0720000C1720000C2720000C372000057
-:10CB1000C4720000C5720000C6720000C772000037
-:10CB2000C8720000C9720000CA720000CB72000017
-:10CB3000CC720000CD720000CE720000CF720000F7
-:10CB4000D0720000D1720000D2720000D3720000D7
-:10CB5000D4720000D5720000D6720000D7720000B7
-:10CB6000D8720000D9720000DA720000DB72000097
-:10CB7000DC720000DD720000DE720000DF72000077
-:10CB8000E0720000E1720000E2720000E372000057
-:10CB9000E4720000E5720000E6720000E772000037
-:10CBA000E8720000E9720000EA720000EB72000017
-:10CBB000EC720000ED720000EE720000EF720000F7
-:10CBC000F0720000F1720000F2720000F3720000D7
-:10CBD000F4720000F5720000F6720000F7720000B7
-:10CBE000F8720000F9720000FA720000FB72000097
-:10CBF000FC720000FD720000FE720000FF72000077
-:10CC00000073000001730000027300000373000052
-:10CC10000473000005730000067300000773000032
-:10CC200008730000097300000A7300000B73000012
-:10CC30000C7300000D7300000E7300000F730000F2
-:10CC400010730000117300001273000013730000D2
-:10CC500014730000157300001673000017730000B2
-:10CC600018730000197300001A7300001B73000092
-:10CC70001C7300001D7300001E7300001F73000072
-:10CC80002073000021730000227300002373000052
-:10CC90002473000025730000267300002773000032
-:10CCA00028730000297300002A7300002B73000012
-:10CCB0002C7300002D7300002E7300002F730000F2
-:10CCC00030730000317300003273000033730000D2
-:10CCD00034730000357300003673000037730000B2
-:10CCE00038730000397300003A7300003B73000092
-:10CCF0003C7300003D7300003E7300003F73000072
-:10CD00004073000041730000427300004373000051
-:10CD10004473000045730000467300004773000031
-:10CD200048730000497300004A7300004B73000011
-:10CD30004C7300004D7300004E7300004F730000F1
-:10CD400050730000517300005273000053730000D1
-:10CD500054730000557300005673000057730000B1
-:10CD600058730000597300005A7300005B73000091
-:10CD70005C7300005D7300005E7300005F73000071
-:10CD80006073000061730000627300006373000051
-:10CD90006473000065730000667300006773000031
-:10CDA00068730000697300006A7300006B73000011
-:10CDB0006C7300006D7300006E7300006F730000F1
-:10CDC00070730000717300007273000073730000D1
-:10CDD00074730000757300007673000077730000B1
-:10CDE00078730000797300007A7300007B73000091
-:10CDF0007C7300007D7300007E7300007F73000071
-:10CE00008073000081730000827300008373000050
-:10CE10008473000085730000867300008773000030
-:10CE200088730000897300008A7300008B73000010
-:10CE30008C7300008D7300008E7300008F730000F0
-:10CE400090730000917300009273000093730000D0
-:10CE500094730000957300009673000097730000B0
-:10CE600098730000997300009A7300009B73000090
-:10CE70009C7300009D7300009E7300009F73000070
-:10CE8000A0730000A1730000A2730000A373000050
-:10CE9000A4730000A5730000A6730000A773000030
-:10CEA000A8730000A9730000AA730000AB73000010
-:10CEB000AC730000AD730000AE730000AF730000F0
-:10CEC000B0730000B1730000B2730000B3730000D0
-:10CED000B4730000B5730000B6730000B7730000B0
-:10CEE000B8730000B9730000BA730000BB73000090
-:10CEF000BC730000BD730000BE730000BF73000070
-:10CF0000C0730000C1730000C2730000C37300004F
-:10CF1000C4730000C5730000C6730000C77300002F
-:10CF2000C8730000C9730000CA730000CB7300000F
-:10CF3000CC730000CD730000CE730000CF730000EF
-:10CF4000D0730000D1730000D2730000D3730000CF
-:10CF5000D4730000D5730000D6730000D7730000AF
-:10CF6000D8730000D9730000DA730000DB7300008F
-:10CF7000DC730000DD730000DE730000DF7300006F
-:10CF8000E0730000E1730000E2730000E37300004F
-:10CF9000E4730000E5730000E6730000E77300002F
-:10CFA000E8730000E9730000EA730000EB7300000F
-:10CFB000EC730000ED730000EE730000EF730000EF
-:10CFC000F0730000F1730000F2730000F3730000CF
-:10CFD000F4730000F5730000F6730000F7730000AF
-:10CFE000F8730000F9730000FA730000FB7300008F
-:10CFF000FC730000FD730000FE730000FF7300006F
-:10D00000007400000174000002740000037400004A
-:10D01000047400000574000006740000077400002A
-:10D0200008740000097400000A7400000B7400000A
-:10D030000C7400000D7400000E7400000F740000EA
-:10D0400010740000117400001274000013740000CA
-:10D0500014740000157400001674000017740000AA
-:10D0600018740000197400001A7400001B7400008A
-:10D070001C7400001D7400001E7400001F7400006A
-:10D08000207400002174000022740000237400004A
-:10D09000247400002574000026740000277400002A
-:10D0A00028740000297400002A7400002B7400000A
-:10D0B0002C7400002D7400002E7400002F740000EA
-:10D0C00030740000317400003274000033740000CA
-:10D0D00034740000357400003674000037740000AA
-:10D0E00038740000397400003A7400003B7400008A
-:10D0F0003C7400003D7400003E7400003F7400006A
-:10D100004074000041740000427400004374000049
-:10D110004474000045740000467400004774000029
-:10D1200048740000497400004A7400004B74000009
-:10D130004C7400004D7400004E7400004F740000E9
-:10D1400050740000517400005274000053740000C9
-:10D1500054740000557400005674000057740000A9
-:10D1600058740000597400005A7400005B74000089
-:10D170005C7400005D7400005E7400005F74000069
-:10D180006074000061740000627400006374000049
-:10D190006474000065740000667400006774000029
-:10D1A00068740000697400006A7400006B74000009
-:10D1B0006C7400006D7400006E7400006F740000E9
-:10D1C00070740000717400007274000073740000C9
-:10D1D00074740000757400007674000077740000A9
-:10D1E00078740000797400007A7400007B74000089
-:10D1F0007C7400007D7400007E7400007F74000069
-:10D200008074000081740000827400008374000048
-:10D210008474000085740000867400008774000028
-:10D2200088740000897400008A7400008B74000008
-:10D230008C7400008D7400008E7400008F740000E8
-:10D2400090740000917400009274000093740000C8
-:10D2500094740000957400009674000097740000A8
-:10D2600098740000997400009A7400009B74000088
-:10D270009C7400009D7400009E7400009F74000068
-:10D28000A0740000A1740000A2740000A374000048
-:10D29000A4740000A5740000A6740000A774000028
-:10D2A000A8740000A9740000AA740000AB74000008
-:10D2B000AC740000AD740000AE740000AF740000E8
-:10D2C000B0740000B1740000B2740000B3740000C8
-:10D2D000B4740000B5740000B6740000B7740000A8
-:10D2E000B8740000B9740000BA740000BB74000088
-:10D2F000BC740000BD740000BE740000BF74000068
-:10D30000C0740000C1740000C2740000C374000047
-:10D31000C4740000C5740000C6740000C774000027
-:10D32000C8740000C9740000CA740000CB74000007
-:10D33000CC740000CD740000CE740000CF740000E7
-:10D34000D0740000D1740000D2740000D3740000C7
-:10D35000D4740000D5740000D6740000D7740000A7
-:10D36000D8740000D9740000DA740000DB74000087
-:10D37000DC740000DD740000DE740000DF74000067
-:10D38000E0740000E1740000E2740000E374000047
-:10D39000E4740000E5740000E6740000E774000027
-:10D3A000E8740000E9740000EA740000EB74000007
-:10D3B000EC740000ED740000EE740000EF740000E7
-:10D3C000F0740000F1740000F2740000F3740000C7
-:10D3D000F4740000F5740000F6740000F7740000A7
-:10D3E000F8740000F9740000FA740000FB74000087
-:10D3F000FC740000FD740000FE740000FF74000067
-:10D400000075000001750000027500000375000042
-:10D410000475000005750000067500000775000022
-:10D4200008750000097500000A7500000B75000002
-:10D430000C7500000D7500000E7500000F750000E2
-:10D4400010750000117500001275000013750000C2
-:10D4500014750000157500001675000017750000A2
-:10D4600018750000197500001A7500001B75000082
-:10D470001C7500001D7500001E7500001F75000062
-:10D480002075000021750000227500002375000042
-:10D490002475000025750000267500002775000022
-:10D4A00028750000297500002A7500002B75000002
-:10D4B0002C7500002D7500002E7500002F750000E2
-:10D4C00030750000317500003275000033750000C2
-:10D4D00034750000357500003675000037750000A2
-:10D4E00038750000397500003A7500003B75000082
-:10D4F0003C7500003D7500003E7500003F75000062
-:10D500004075000041750000427500004375000041
-:10D510004475000045750000467500004775000021
-:10D5200048750000497500004A7500004B75000001
-:10D530004C7500004D7500004E7500004F750000E1
-:10D5400050750000517500005275000053750000C1
-:10D5500054750000557500005675000057750000A1
-:10D5600058750000597500005A7500005B75000081
-:10D570005C7500005D7500005E7500005F75000061
-:10D580006075000061750000627500006375000041
-:10D590006475000065750000667500006775000021
-:10D5A00068750000697500006A7500006B75000001
-:10D5B0006C7500006D7500006E7500006F750000E1
-:10D5C00070750000717500007275000073750000C1
-:10D5D00074750000757500007675000077750000A1
-:10D5E00078750000797500007A7500007B75000081
-:10D5F0007C7500007D7500007E7500007F75000061
-:10D600008075000081750000827500008375000040
-:10D610008475000085750000867500008775000020
-:10D6200088750000897500008A7500008B75000000
-:10D630008C7500008D7500008E7500008F750000E0
-:10D6400090750000917500009275000093750000C0
-:10D6500094750000957500009675000097750000A0
-:10D6600098750000997500009A7500009B75000080
-:10D670009C7500009D7500009E7500009F75000060
-:10D68000A0750000A1750000A2750000A375000040
-:10D69000A4750000A5750000A6750000A775000020
-:10D6A000A8750000A9750000AA750000AB75000000
-:10D6B000AC750000AD750000AE750000AF750000E0
-:10D6C000B0750000B1750000B2750000B3750000C0
-:10D6D000B4750000B5750000B6750000B7750000A0
-:10D6E000B8750000B9750000BA750000BB75000080
-:10D6F000BC750000BD750000BE750000BF75000060
-:10D70000C0750000C1750000C2750000C37500003F
-:10D71000C4750000C5750000C6750000C77500001F
-:10D72000C8750000C9750000CA750000CB750000FF
-:10D73000CC750000CD750000CE750000CF750000DF
-:10D74000D0750000D1750000D2750000D3750000BF
-:10D75000D4750000D5750000D6750000D77500009F
-:10D76000D8750000D9750000DA750000DB7500007F
-:10D77000DC750000DD750000DE750000DF7500005F
-:10D78000E0750000E1750000E2750000E37500003F
-:10D79000E4750000E5750000E6750000E77500001F
-:10D7A000E8750000E9750000EA750000EB750000FF
-:10D7B000EC750000ED750000EE750000EF750000DF
-:10D7C000F0750000F1750000F2750000F3750000BF
-:10D7D000F4750000F5750000F6750000F77500009F
-:10D7E000F8750000F9750000FA750000FB7500007F
-:10D7F000FC750000FD750000FE750000FF7500005F
-:10D80000007600000176000002760000037600003A
-:10D81000047600000576000006760000077600001A
-:10D8200008760000097600000A7600000B760000FA
-:10D830000C7600000D7600000E7600000F760000DA
-:10D8400010760000117600001276000013760000BA
-:10D85000147600001576000016760000177600009A
-:10D8600018760000197600001A7600001B7600007A
-:10D870001C7600001D7600001E7600001F7600005A
-:10D88000207600002176000022760000237600003A
-:10D89000247600002576000026760000277600001A
-:10D8A00028760000297600002A7600002B760000FA
-:10D8B0002C7600002D7600002E7600002F760000DA
-:10D8C00030760000317600003276000033760000BA
-:10D8D000347600003576000036760000377600009A
-:10D8E00038760000397600003A7600003B7600007A
-:10D8F0003C7600003D7600003E7600003F7600005A
-:10D900004076000041760000427600004376000039
-:10D910004476000045760000467600004776000019
-:10D9200048760000497600004A7600004B760000F9
-:10D930004C7600004D7600004E7600004F760000D9
-:10D9400050760000517600005276000053760000B9
-:10D950005476000055760000567600005776000099
-:10D9600058760000597600005A7600005B76000079
-:10D970005C7600005D7600005E7600005F76000059
-:10D980006076000061760000627600006376000039
-:10D990006476000065760000667600006776000019
-:10D9A00068760000697600006A7600006B760000F9
-:10D9B0006C7600006D7600006E7600006F760000D9
-:10D9C00070760000717600007276000073760000B9
-:10D9D0007476000075760000767600007776000099
-:10D9E00078760000797600007A7600007B76000079
-:10D9F0007C7600007D7600007E7600007F76000059
-:10DA00008076000081760000827600008376000038
-:10DA10008476000085760000867600008776000018
-:10DA200088760000897600008A7600008B760000F8
-:10DA30008C7600008D7600008E7600008F760000D8
-:10DA400090760000917600009276000093760000B8
-:10DA50009476000095760000967600009776000098
-:10DA600098760000997600009A7600009B76000078
-:10DA70009C7600009D7600009E7600009F76000058
-:10DA8000A0760000A1760000A2760000A376000038
-:10DA9000A4760000A5760000A6760000A776000018
-:10DAA000A8760000A9760000AA760000AB760000F8
-:10DAB000AC760000AD760000AE760000AF760000D8
-:10DAC000B0760000B1760000B2760000B3760000B8
-:10DAD000B4760000B5760000B6760000B776000098
-:10DAE000B8760000B9760000BA760000BB76000078
-:10DAF000BC760000BD760000BE760000BF76000058
-:10DB0000C0760000C1760000C2760000C376000037
-:10DB1000C4760000C5760000C6760000C776000017
-:10DB2000C8760000C9760000CA760000CB760000F7
-:10DB3000CC760000CD760000CE760000CF760000D7
-:10DB4000D0760000D1760000D2760000D3760000B7
-:10DB5000D4760000D5760000D6760000D776000097
-:10DB6000D8760000D9760000DA760000DB76000077
-:10DB7000DC760000DD760000DE760000DF76000057
-:10DB8000E0760000E1760000E2760000E376000037
-:10DB9000E4760000E5760000E6760000E776000017
-:10DBA000E8760000E9760000EA760000EB760000F7
-:10DBB000EC760000ED760000EE760000EF760000D7
-:10DBC000F0760000F1760000F2760000F3760000B7
-:10DBD000F4760000F5760000F6760000F776000097
-:10DBE000F8760000F9760000FA760000FB76000077
-:10DBF000FC760000FD760000FE760000FF76000057
-:10DC00000077000001770000027700000377000032
-:10DC10000477000005770000067700000777000012
-:10DC200008770000097700000A7700000B770000F2
-:10DC30000C7700000D7700000E7700000F770000D2
-:10DC400010770000117700001277000013770000B2
-:10DC50001477000015770000167700001777000092
-:10DC600018770000197700001A7700001B77000072
-:10DC70001C7700001D7700001E7700001F77000052
-:10DC80002077000021770000227700002377000032
-:10DC90002477000025770000267700002777000012
-:10DCA00028770000297700002A7700002B770000F2
-:10DCB0002C7700002D7700002E7700002F770000D2
-:10DCC00030770000317700003277000033770000B2
-:10DCD0003477000035770000367700003777000092
-:10DCE00038770000397700003A7700003B77000072
-:10DCF0003C7700003D7700003E7700003F77000052
-:10DD00004077000041770000427700004377000031
-:10DD10004477000045770000467700004777000011
-:10DD200048770000497700004A7700004B770000F1
-:10DD30004C7700004D7700004E7700004F770000D1
-:10DD400050770000517700005277000053770000B1
-:10DD50005477000055770000567700005777000091
-:10DD600058770000597700005A7700005B77000071
-:10DD70005C7700005D7700005E7700005F77000051
-:10DD80006077000061770000627700006377000031
-:10DD90006477000065770000667700006777000011
-:10DDA00068770000697700006A7700006B770000F1
-:10DDB0006C7700006D7700006E7700006F770000D1
-:10DDC00070770000717700007277000073770000B1
-:10DDD0007477000075770000767700007777000091
-:10DDE00078770000797700007A7700007B77000071
-:10DDF0007C7700007D7700007E7700007F77000051
-:10DE00008077000081770000827700008377000030
-:10DE10008477000085770000867700008777000010
-:10DE200088770000897700008A7700008B770000F0
-:10DE30008C7700008D7700008E7700008F770000D0
-:10DE400090770000917700009277000093770000B0
-:10DE50009477000095770000967700009777000090
-:10DE600098770000997700009A7700009B77000070
-:10DE70009C7700009D7700009E7700009F77000050
-:10DE8000A0770000A1770000A2770000A377000030
-:10DE9000A4770000A5770000A6770000A777000010
-:10DEA000A8770000A9770000AA770000AB770000F0
-:10DEB000AC770000AD770000AE770000AF770000D0
-:10DEC000B0770000B1770000B2770000B3770000B0
-:10DED000B4770000B5770000B6770000B777000090
-:10DEE000B8770000B9770000BA770000BB77000070
-:10DEF000BC770000BD770000BE770000BF77000050
-:10DF0000C0770000C1770000C2770000C37700002F
-:10DF1000C4770000C5770000C6770000C77700000F
-:10DF2000C8770000C9770000CA770000CB770000EF
-:10DF3000CC770000CD770000CE770000CF770000CF
-:10DF4000D0770000D1770000D2770000D3770000AF
-:10DF5000D4770000D5770000D6770000D77700008F
-:10DF6000D8770000D9770000DA770000DB7700006F
-:10DF7000DC770000DD770000DE770000DF7700004F
-:10DF8000E0770000E1770000E2770000E37700002F
-:10DF9000E4770000E5770000E6770000E77700000F
-:10DFA000E8770000E9770000EA770000EB770000EF
-:10DFB000EC770000ED770000EE770000EF770000CF
-:10DFC000F0770000F1770000F2770000F3770000AF
-:10DFD000F4770000F5770000F6770000F77700008F
-:10DFE000F8770000F9770000FA770000FB7700006F
-:10DFF000FC770000FD770000FE770000FF7700004F
-:10E00000007800000178000002780000037800002A
-:10E01000047800000578000006780000077800000A
-:10E0200008780000097800000A7800000B780000EA
-:10E030000C7800000D7800000E7800000F780000CA
-:10E0400010780000117800001278000013780000AA
-:10E05000147800001578000016780000177800008A
-:10E0600018780000197800001A7800001B7800006A
-:10E070001C7800001D7800001E7800001F7800004A
-:10E08000207800002178000022780000237800002A
-:10E09000247800002578000026780000277800000A
-:10E0A00028780000297800002A7800002B780000EA
-:10E0B0002C7800002D7800002E7800002F780000CA
-:10E0C00030780000317800003278000033780000AA
-:10E0D000347800003578000036780000377800008A
-:10E0E00038780000397800003A7800003B7800006A
-:10E0F0003C7800003D7800003E7800003F7800004A
-:10E100004078000041780000427800004378000029
-:10E110004478000045780000467800004778000009
-:10E1200048780000497800004A7800004B780000E9
-:10E130004C7800004D7800004E7800004F780000C9
-:10E1400050780000517800005278000053780000A9
-:10E150005478000055780000567800005778000089
-:10E1600058780000597800005A7800005B78000069
-:10E170005C7800005D7800005E7800005F78000049
-:10E180006078000061780000627800006378000029
-:10E190006478000065780000667800006778000009
-:10E1A00068780000697800006A7800006B780000E9
-:10E1B0006C7800006D7800006E7800006F780000C9
-:10E1C00070780000717800007278000073780000A9
-:10E1D0007478000075780000767800007778000089
-:10E1E00078780000797800007A7800007B78000069
-:10E1F0007C7800007D7800007E7800007F78000049
-:10E200008078000081780000827800008378000028
-:10E210008478000085780000867800008778000008
-:10E2200088780000897800008A7800008B780000E8
-:10E230008C7800008D7800008E7800008F780000C8
-:10E2400090780000917800009278000093780000A8
-:10E250009478000095780000967800009778000088
-:10E2600098780000997800009A7800009B78000068
-:10E270009C7800009D7800009E7800009F78000048
-:10E28000A0780000A1780000A2780000A378000028
-:10E29000A4780000A5780000A6780000A778000008
-:10E2A000A8780000A9780000AA780000AB780000E8
-:10E2B000AC780000AD780000AE780000AF780000C8
-:10E2C000B0780000B1780000B2780000B3780000A8
-:10E2D000B4780000B5780000B6780000B778000088
-:10E2E000B8780000B9780000BA780000BB78000068
-:10E2F000BC780000BD780000BE780000BF78000048
-:10E30000C0780000C1780000C2780000C378000027
-:10E31000C4780000C5780000C6780000C778000007
-:10E32000C8780000C9780000CA780000CB780000E7
-:10E33000CC780000CD780000CE780000CF780000C7
-:10E34000D0780000D1780000D2780000D3780000A7
-:10E35000D4780000D5780000D6780000D778000087
-:10E36000D8780000D9780000DA780000DB78000067
-:10E37000DC780000DD780000DE780000DF78000047
-:10E38000E0780000E1780000E2780000E378000027
-:10E39000E4780000E5780000E6780000E778000007
-:10E3A000E8780000E9780000EA780000EB780000E7
-:10E3B000EC780000ED780000EE780000EF780000C7
-:10E3C000F0780000F1780000F2780000F3780000A7
-:10E3D000F4780000F5780000F6780000F778000087
-:10E3E000F8780000F9780000FA780000FB78000067
-:10E3F000FC780000FD780000FE780000FF78000047
-:10E400000079000001790000027900000379000022
-:10E410000479000005790000067900000779000002
-:10E4200008790000097900000A7900000B790000E2
-:10E430000C7900000D7900000E7900000F790000C2
-:10E4400010790000117900001279000013790000A2
-:10E450001479000015790000167900001779000082
-:10E4600018790000197900001A7900001B79000062
-:10E470001C7900001D7900001E7900001F79000042
-:10E480002079000021790000227900002379000022
-:10E490002479000025790000267900002779000002
-:10E4A00028790000297900002A7900002B790000E2
-:10E4B0002C7900002D7900002E7900002F790000C2
-:10E4C00030790000317900003279000033790000A2
-:10E4D0003479000035790000367900003779000082
-:10E4E00038790000397900003A7900003B79000062
-:10E4F0003C7900003D7900003E7900003F79000042
-:10E500004079000041790000427900004379000021
-:10E510004479000045790000467900004779000001
-:10E5200048790000497900004A7900004B790000E1
-:10E530004C7900004D7900004E7900004F790000C1
-:10E5400050790000517900005279000053790000A1
-:10E550005479000055790000567900005779000081
-:10E5600058790000597900005A7900005B79000061
-:10E570005C7900005D7900005E7900005F79000041
-:10E580006079000061790000627900006379000021
-:10E590006479000065790000667900006779000001
-:10E5A00068790000697900006A7900006B790000E1
-:10E5B0006C7900006D7900006E7900006F790000C1
-:10E5C00070790000717900007279000073790000A1
-:10E5D0007479000075790000767900007779000081
-:10E5E00078790000797900007A7900007B79000061
-:10E5F0007C7900007D7900007E7900007F79000041
-:10E600008079000081790000827900008379000020
-:10E610008479000085790000867900008779000000
-:10E6200088790000897900008A7900008B790000E0
-:10E630008C7900008D7900008E7900008F790000C0
-:10E6400090790000917900009279000093790000A0
-:10E650009479000095790000967900009779000080
-:10E6600098790000997900009A7900009B79000060
-:10E670009C7900009D7900009E7900009F79000040
-:10E68000A0790000A1790000A2790000A379000020
-:10E69000A4790000A5790000A6790000A779000000
-:10E6A000A8790000A9790000AA790000AB790000E0
-:10E6B000AC790000AD790000AE790000AF790000C0
-:10E6C000B0790000B1790000B2790000B3790000A0
-:10E6D000B4790000B5790000B6790000B779000080
-:10E6E000B8790000B9790000BA790000BB79000060
-:10E6F000BC790000BD790000BE790000BF79000040
-:10E70000C0790000C1790000C2790000C37900001F
-:10E71000C4790000C5790000C6790000C7790000FF
-:10E72000C8790000C9790000CA790000CB790000DF
-:10E73000CC790000CD790000CE790000CF790000BF
-:10E74000D0790000D1790000D2790000D37900009F
-:10E75000D4790000D5790000D6790000D77900007F
-:10E76000D8790000D9790000DA790000DB7900005F
-:10E77000DC790000DD790000DE790000DF7900003F
-:10E78000E0790000E1790000E2790000E37900001F
-:10E79000E4790000E5790000E6790000E7790000FF
-:10E7A000E8790000E9790000EA790000EB790000DF
-:10E7B000EC790000ED790000EE790000EF790000BF
-:10E7C000F0790000F1790000F2790000F37900009F
-:10E7D000F4790000F5790000F6790000F77900007F
-:10E7E000F8790000F9790000FA790000FB7900005F
-:10E7F000FC790000FD790000FE790000FF7900003F
-:10E80000007A0000017A0000027A0000037A00001A
-:10E81000047A0000057A0000067A0000077A0000FA
-:10E82000087A0000097A00000A7A00000B7A0000DA
-:10E830000C7A00000D7A00000E7A00000F7A0000BA
-:10E84000107A0000117A0000127A0000137A00009A
-:10E85000147A0000157A0000167A0000177A00007A
-:10E86000187A0000197A00001A7A00001B7A00005A
-:10E870001C7A00001D7A00001E7A00001F7A00003A
-:10E88000207A0000217A0000227A0000237A00001A
-:10E89000247A0000257A0000267A0000277A0000FA
-:10E8A000287A0000297A00002A7A00002B7A0000DA
-:10E8B0002C7A00002D7A00002E7A00002F7A0000BA
-:10E8C000307A0000317A0000327A0000337A00009A
-:10E8D000347A0000357A0000367A0000377A00007A
-:10E8E000387A0000397A00003A7A00003B7A00005A
-:10E8F0003C7A00003D7A00003E7A00003F7A00003A
-:10E90000407A0000417A0000427A0000437A000019
-:10E91000447A0000457A0000467A0000477A0000F9
-:10E92000487A0000497A00004A7A00004B7A0000D9
-:10E930004C7A00004D7A00004E7A00004F7A0000B9
-:10E94000507A0000517A0000527A0000537A000099
-:10E95000547A0000557A0000567A0000577A000079
-:10E96000587A0000597A00005A7A00005B7A000059
-:10E970005C7A00005D7A00005E7A00005F7A000039
-:10E98000607A0000617A0000627A0000637A000019
-:10E99000647A0000657A0000667A0000677A0000F9
-:10E9A000687A0000697A00006A7A00006B7A0000D9
-:10E9B0006C7A00006D7A00006E7A00006F7A0000B9
-:10E9C000707A0000717A0000727A0000737A000099
-:10E9D000747A0000757A0000767A0000777A000079
-:10E9E000787A0000797A00007A7A00007B7A000059
-:10E9F0007C7A00007D7A00007E7A00007F7A000039
-:10EA0000807A0000817A0000827A0000837A000018
-:10EA1000847A0000857A0000867A0000877A0000F8
-:10EA2000887A0000897A00008A7A00008B7A0000D8
-:10EA30008C7A00008D7A00008E7A00008F7A0000B8
-:10EA4000907A0000917A0000927A0000937A000098
-:10EA5000947A0000957A0000967A0000977A000078
-:10EA6000987A0000997A00009A7A00009B7A000058
-:10EA70009C7A00009D7A00009E7A00009F7A000038
-:10EA8000A07A0000A17A0000A27A0000A37A000018
-:10EA9000A47A0000A57A0000A67A0000A77A0000F8
-:10EAA000A87A0000A97A0000AA7A0000AB7A0000D8
-:10EAB000AC7A0000AD7A0000AE7A0000AF7A0000B8
-:10EAC000B07A0000B17A0000B27A0000B37A000098
-:10EAD000B47A0000B57A0000B67A0000B77A000078
-:10EAE000B87A0000B97A0000BA7A0000BB7A000058
-:10EAF000BC7A0000BD7A0000BE7A0000BF7A000038
-:10EB0000C07A0000C17A0000C27A0000C37A000017
-:10EB1000C47A0000C57A0000C67A0000C77A0000F7
-:10EB2000C87A0000C97A0000CA7A0000CB7A0000D7
-:10EB3000CC7A0000CD7A0000CE7A0000CF7A0000B7
-:10EB4000D07A0000D17A0000D27A0000D37A000097
-:10EB5000D47A0000D57A0000D67A0000D77A000077
-:10EB6000D87A0000D97A0000DA7A0000DB7A000057
-:10EB7000DC7A0000DD7A0000DE7A0000DF7A000037
-:10EB8000E07A0000E17A0000E27A0000E37A000017
-:10EB9000E47A0000E57A0000E67A0000E77A0000F7
-:10EBA000E87A0000E97A0000EA7A0000EB7A0000D7
-:10EBB000EC7A0000ED7A0000EE7A0000EF7A0000B7
-:10EBC000F07A0000F17A0000F27A0000F37A000097
-:10EBD000F47A0000F57A0000F67A0000F77A000077
-:10EBE000F87A0000F97A0000FA7A0000FB7A000057
-:10EBF000FC7A0000FD7A0000FE7A0000FF7A000037
-:10EC0000007B0000017B0000027B0000037B000012
-:10EC1000047B0000057B0000067B0000077B0000F2
-:10EC2000087B0000097B00000A7B00000B7B0000D2
-:10EC30000C7B00000D7B00000E7B00000F7B0000B2
-:10EC4000107B0000117B0000127B0000137B000092
-:10EC5000147B0000157B0000167B0000177B000072
-:10EC6000187B0000197B00001A7B00001B7B000052
-:10EC70001C7B00001D7B00001E7B00001F7B000032
-:10EC8000207B0000217B0000227B0000237B000012
-:10EC9000247B0000257B0000267B0000277B0000F2
-:10ECA000287B0000297B00002A7B00002B7B0000D2
-:10ECB0002C7B00002D7B00002E7B00002F7B0000B2
-:10ECC000307B0000317B0000327B0000337B000092
-:10ECD000347B0000357B0000367B0000377B000072
-:10ECE000387B0000397B00003A7B00003B7B000052
-:10ECF0003C7B00003D7B00003E7B00003F7B000032
-:10ED0000407B0000417B0000427B0000437B000011
-:10ED1000447B0000457B0000467B0000477B0000F1
-:10ED2000487B0000497B00004A7B00004B7B0000D1
-:10ED30004C7B00004D7B00004E7B00004F7B0000B1
-:10ED4000507B0000517B0000527B0000537B000091
-:10ED5000547B0000557B0000567B0000577B000071
-:10ED6000587B0000597B00005A7B00005B7B000051
-:10ED70005C7B00005D7B00005E7B00005F7B000031
-:10ED8000607B0000617B0000627B0000637B000011
-:10ED9000647B0000657B0000667B0000677B0000F1
-:10EDA000687B0000697B00006A7B00006B7B0000D1
-:10EDB0006C7B00006D7B00006E7B00006F7B0000B1
-:10EDC000707B0000717B0000727B0000737B000091
-:10EDD000747B0000757B0000767B0000777B000071
-:10EDE000787B0000797B00007A7B00007B7B000051
-:10EDF0007C7B00007D7B00007E7B00007F7B000031
-:10EE0000807B0000817B0000827B0000837B000010
-:10EE1000847B0000857B0000867B0000877B0000F0
-:10EE2000887B0000897B00008A7B00008B7B0000D0
-:10EE30008C7B00008D7B00008E7B00008F7B0000B0
-:10EE4000907B0000917B0000927B0000937B000090
-:10EE5000947B0000957B0000967B0000977B000070
-:10EE6000987B0000997B00009A7B00009B7B000050
-:10EE70009C7B00009D7B00009E7B00009F7B000030
-:10EE8000A07B0000A17B0000A27B0000A37B000010
-:10EE9000A47B0000A57B0000A67B0000A77B0000F0
-:10EEA000A87B0000A97B0000AA7B0000AB7B0000D0
-:10EEB000AC7B0000AD7B0000AE7B0000AF7B0000B0
-:10EEC000B07B0000B17B0000B27B0000B37B000090
-:10EED000B47B0000B57B0000B67B0000B77B000070
-:10EEE000B87B0000B97B0000BA7B0000BB7B000050
-:10EEF000BC7B0000BD7B0000BE7B0000BF7B000030
-:10EF0000C07B0000C17B0000C27B0000C37B00000F
-:10EF1000C47B0000C57B0000C67B0000C77B0000EF
-:10EF2000C87B0000C97B0000CA7B0000CB7B0000CF
-:10EF3000CC7B0000CD7B0000CE7B0000CF7B0000AF
-:10EF4000D07B0000D17B0000D27B0000D37B00008F
-:10EF5000D47B0000D57B0000D67B0000D77B00006F
-:10EF6000D87B0000D97B0000DA7B0000DB7B00004F
-:10EF7000DC7B0000DD7B0000DE7B0000DF7B00002F
-:10EF8000E07B0000E17B0000E27B0000E37B00000F
-:10EF9000E47B0000E57B0000E67B0000E77B0000EF
-:10EFA000E87B0000E97B0000EA7B0000EB7B0000CF
-:10EFB000EC7B0000ED7B0000EE7B0000EF7B0000AF
-:10EFC000F07B0000F17B0000F27B0000F37B00008F
-:10EFD000F47B0000F57B0000F67B0000F77B00006F
-:10EFE000F87B0000F97B0000FA7B0000FB7B00004F
-:10EFF000FC7B0000FD7B0000FE7B0000FF7B00002F
-:10F00000007C0000017C0000027C0000037C00000A
-:10F01000047C0000057C0000067C0000077C0000EA
-:10F02000087C0000097C00000A7C00000B7C0000CA
-:10F030000C7C00000D7C00000E7C00000F7C0000AA
-:10F04000107C0000117C0000127C0000137C00008A
-:10F05000147C0000157C0000167C0000177C00006A
-:10F06000187C0000197C00001A7C00001B7C00004A
-:10F070001C7C00001D7C00001E7C00001F7C00002A
-:10F08000207C0000217C0000227C0000237C00000A
-:10F09000247C0000257C0000267C0000277C0000EA
-:10F0A000287C0000297C00002A7C00002B7C0000CA
-:10F0B0002C7C00002D7C00002E7C00002F7C0000AA
-:10F0C000307C0000317C0000327C0000337C00008A
-:10F0D000347C0000357C0000367C0000377C00006A
-:10F0E000387C0000397C00003A7C00003B7C00004A
-:10F0F0003C7C00003D7C00003E7C00003F7C00002A
-:10F10000407C0000417C0000427C0000437C000009
-:10F11000447C0000457C0000467C0000477C0000E9
-:10F12000487C0000497C00004A7C00004B7C0000C9
-:10F130004C7C00004D7C00004E7C00004F7C0000A9
-:10F14000507C0000517C0000527C0000537C000089
-:10F15000547C0000557C0000567C0000577C000069
-:10F16000587C0000597C00005A7C00005B7C000049
-:10F170005C7C00005D7C00005E7C00005F7C000029
-:10F18000607C0000617C0000627C0000637C000009
-:10F19000647C0000657C0000667C0000677C0000E9
-:10F1A000687C0000697C00006A7C00006B7C0000C9
-:10F1B0006C7C00006D7C00006E7C00006F7C0000A9
-:10F1C000707C0000717C0000727C0000737C000089
-:10F1D000747C0000757C0000767C0000777C000069
-:10F1E000787C0000797C00007A7C00007B7C000049
-:10F1F0007C7C00007D7C00007E7C00007F7C000029
-:10F20000807C0000817C0000827C0000837C000008
-:10F21000847C0000857C0000867C0000877C0000E8
-:10F22000887C0000897C00008A7C00008B7C0000C8
-:10F230008C7C00008D7C00008E7C00008F7C0000A8
-:10F24000907C0000917C0000927C0000937C000088
-:10F25000947C0000957C0000967C0000977C000068
-:10F26000987C0000997C00009A7C00009B7C000048
-:10F270009C7C00009D7C00009E7C00009F7C000028
-:10F28000A07C0000A17C0000A27C0000A37C000008
-:10F29000A47C0000A57C0000A67C0000A77C0000E8
-:10F2A000A87C0000A97C0000AA7C0000AB7C0000C8
-:10F2B000AC7C0000AD7C0000AE7C0000AF7C0000A8
-:10F2C000B07C0000B17C0000B27C0000B37C000088
-:10F2D000B47C0000B57C0000B67C0000B77C000068
-:10F2E000B87C0000B97C0000BA7C0000BB7C000048
-:10F2F000BC7C0000BD7C0000BE7C0000BF7C000028
-:10F30000C07C0000C17C0000C27C0000C37C000007
-:10F31000C47C0000C57C0000C67C0000C77C0000E7
-:10F32000C87C0000C97C0000CA7C0000CB7C0000C7
-:10F33000CC7C0000CD7C0000CE7C0000CF7C0000A7
-:10F34000D07C0000D17C0000D27C0000D37C000087
-:10F35000D47C0000D57C0000D67C0000D77C000067
-:10F36000D87C0000D97C0000DA7C0000DB7C000047
-:10F37000DC7C0000DD7C0000DE7C0000DF7C000027
-:10F38000E07C0000E17C0000E27C0000E37C000007
-:10F39000E47C0000E57C0000E67C0000E77C0000E7
-:10F3A000E87C0000E97C0000EA7C0000EB7C0000C7
-:10F3B000EC7C0000ED7C0000EE7C0000EF7C0000A7
-:10F3C000F07C0000F17C0000F27C0000F37C000087
-:10F3D000F47C0000F57C0000F67C0000F77C000067
-:10F3E000F87C0000F97C0000FA7C0000FB7C000047
-:10F3F000FC7C0000FD7C0000FE7C0000FF7C000027
-:10F40000007D0000017D0000027D0000037D000002
-:10F41000047D0000057D0000067D0000077D0000E2
-:10F42000087D0000097D00000A7D00000B7D0000C2
-:10F430000C7D00000D7D00000E7D00000F7D0000A2
-:10F44000107D0000117D0000127D0000137D000082
-:10F45000147D0000157D0000167D0000177D000062
-:10F46000187D0000197D00001A7D00001B7D000042
-:10F470001C7D00001D7D00001E7D00001F7D000022
-:10F48000207D0000217D0000227D0000237D000002
-:10F49000247D0000257D0000267D0000277D0000E2
-:10F4A000287D0000297D00002A7D00002B7D0000C2
-:10F4B0002C7D00002D7D00002E7D00002F7D0000A2
-:10F4C000307D0000317D0000327D0000337D000082
-:10F4D000347D0000357D0000367D0000377D000062
-:10F4E000387D0000397D00003A7D00003B7D000042
-:10F4F0003C7D00003D7D00003E7D00003F7D000022
-:10F50000407D0000417D0000427D0000437D000001
-:10F51000447D0000457D0000467D0000477D0000E1
-:10F52000487D0000497D00004A7D00004B7D0000C1
-:10F530004C7D00004D7D00004E7D00004F7D0000A1
-:10F54000507D0000517D0000527D0000537D000081
-:10F55000547D0000557D0000567D0000577D000061
-:10F56000587D0000597D00005A7D00005B7D000041
-:10F570005C7D00005D7D00005E7D00005F7D000021
-:10F58000607D0000617D0000627D0000637D000001
-:10F59000647D0000657D0000667D0000677D0000E1
-:10F5A000687D0000697D00006A7D00006B7D0000C1
-:10F5B0006C7D00006D7D00006E7D00006F7D0000A1
-:10F5C000707D0000717D0000727D0000737D000081
-:10F5D000747D0000757D0000767D0000777D000061
-:10F5E000787D0000797D00007A7D00007B7D000041
-:10F5F0007C7D00007D7D00007E7D00007F7D000021
-:10F60000807D0000817D0000827D0000837D000000
-:10F61000847D0000857D0000867D0000877D0000E0
-:10F62000887D0000897D00008A7D00008B7D0000C0
-:10F630008C7D00008D7D00008E7D00008F7D0000A0
-:10F64000907D0000917D0000927D0000937D000080
-:10F65000947D0000957D0000967D0000977D000060
-:10F66000987D0000997D00009A7D00009B7D000040
-:10F670009C7D00009D7D00009E7D00009F7D000020
-:10F68000A07D0000A17D0000A27D0000A37D000000
-:10F69000A47D0000A57D0000A67D0000A77D0000E0
-:10F6A000A87D0000A97D0000AA7D0000AB7D0000C0
-:10F6B000AC7D0000AD7D0000AE7D0000AF7D0000A0
-:10F6C000B07D0000B17D0000B27D0000B37D000080
-:10F6D000B47D0000B57D0000B67D0000B77D000060
-:10F6E000B87D0000B97D0000BA7D0000BB7D000040
-:10F6F000BC7D0000BD7D0000BE7D0000BF7D000020
-:10F70000C07D0000C17D0000C27D0000C37D0000FF
-:10F71000C47D0000C57D0000C67D0000C77D0000DF
-:10F72000C87D0000C97D0000CA7D0000CB7D0000BF
-:10F73000CC7D0000CD7D0000CE7D0000CF7D00009F
-:10F74000D07D0000D17D0000D27D0000D37D00007F
-:10F75000D47D0000D57D0000D67D0000D77D00005F
-:10F76000D87D0000D97D0000DA7D0000DB7D00003F
-:10F77000DC7D0000DD7D0000DE7D0000DF7D00001F
-:10F78000E07D0000E17D0000E27D0000E37D0000FF
-:10F79000E47D0000E57D0000E67D0000E77D0000DF
-:10F7A000E87D0000E97D0000EA7D0000EB7D0000BF
-:10F7B000EC7D0000ED7D0000EE7D0000EF7D00009F
-:10F7C000F07D0000F17D0000F27D0000F37D00007F
-:10F7D000F47D0000F57D0000F67D0000F77D00005F
-:10F7E000F87D0000F97D0000FA7D0000FB7D00003F
-:10F7F000FC7D0000FD7D0000FE7D0000FF7D00001F
-:10F80000007E0000017E0000027E0000037E0000FA
-:10F81000047E0000057E0000067E0000077E0000DA
-:10F82000087E0000097E00000A7E00000B7E0000BA
-:10F830000C7E00000D7E00000E7E00000F7E00009A
-:10F84000107E0000117E0000127E0000137E00007A
-:10F85000147E0000157E0000167E0000177E00005A
-:10F86000187E0000197E00001A7E00001B7E00003A
-:10F870001C7E00001D7E00001E7E00001F7E00001A
-:10F88000207E0000217E0000227E0000237E0000FA
-:10F89000247E0000257E0000267E0000277E0000DA
-:10F8A000287E0000297E00002A7E00002B7E0000BA
-:10F8B0002C7E00002D7E00002E7E00002F7E00009A
-:10F8C000307E0000317E0000327E0000337E00007A
-:10F8D000347E0000357E0000367E0000377E00005A
-:10F8E000387E0000397E00003A7E00003B7E00003A
-:10F8F0003C7E00003D7E00003E7E00003F7E00001A
-:10F90000407E0000417E0000427E0000437E0000F9
-:10F91000447E0000457E0000467E0000477E0000D9
-:10F92000487E0000497E00004A7E00004B7E0000B9
-:10F930004C7E00004D7E00004E7E00004F7E000099
-:10F94000507E0000517E0000527E0000537E000079
-:10F95000547E0000557E0000567E0000577E000059
-:10F96000587E0000597E00005A7E00005B7E000039
-:10F970005C7E00005D7E00005E7E00005F7E000019
-:10F98000607E0000617E0000627E0000637E0000F9
-:10F99000647E0000657E0000667E0000677E0000D9
-:10F9A000687E0000697E00006A7E00006B7E0000B9
-:10F9B0006C7E00006D7E00006E7E00006F7E000099
-:10F9C000707E0000717E0000727E0000737E000079
-:10F9D000747E0000757E0000767E0000777E000059
-:10F9E000787E0000797E00007A7E00007B7E000039
-:10F9F0007C7E00007D7E00007E7E00007F7E000019
-:10FA0000807E0000817E0000827E0000837E0000F8
-:10FA1000847E0000857E0000867E0000877E0000D8
-:10FA2000887E0000897E00008A7E00008B7E0000B8
-:10FA30008C7E00008D7E00008E7E00008F7E000098
-:10FA4000907E0000917E0000927E0000937E000078
-:10FA5000947E0000957E0000967E0000977E000058
-:10FA6000987E0000997E00009A7E00009B7E000038
-:10FA70009C7E00009D7E00009E7E00009F7E000018
-:10FA8000A07E0000A17E0000A27E0000A37E0000F8
-:10FA9000A47E0000A57E0000A67E0000A77E0000D8
-:10FAA000A87E0000A97E0000AA7E0000AB7E0000B8
-:10FAB000AC7E0000AD7E0000AE7E0000AF7E000098
-:10FAC000B07E0000B17E0000B27E0000B37E000078
-:10FAD000B47E0000B57E0000B67E0000B77E000058
-:10FAE000B87E0000B97E0000BA7E0000BB7E000038
-:10FAF000BC7E0000BD7E0000BE7E0000BF7E000018
-:10FB0000C07E0000C17E0000C27E0000C37E0000F7
-:10FB1000C47E0000C57E0000C67E0000C77E0000D7
-:10FB2000C87E0000C97E0000CA7E0000CB7E0000B7
-:10FB3000CC7E0000CD7E0000CE7E0000CF7E000097
-:10FB4000D07E0000D17E0000D27E0000D37E000077
-:10FB5000D47E0000D57E0000D67E0000D77E000057
-:10FB6000D87E0000D97E0000DA7E0000DB7E000037
-:10FB7000DC7E0000DD7E0000DE7E0000DF7E000017
-:10FB8000E07E0000E17E0000E27E0000E37E0000F7
-:10FB9000E47E0000E57E0000E67E0000E77E0000D7
-:10FBA000E87E0000E97E0000EA7E0000EB7E0000B7
-:10FBB000EC7E0000ED7E0000EE7E0000EF7E000097
-:10FBC000F07E0000F17E0000F27E0000F37E000077
-:10FBD000F47E0000F57E0000F67E0000F77E000057
-:10FBE000F87E0000F97E0000FA7E0000FB7E000037
-:10FBF000FC7E0000FD7E0000FE7E0000FF7E000017
-:10FC0000007F0000017F0000027F0000037F0000F2
-:10FC1000047F0000057F0000067F0000077F0000D2
-:10FC2000087F0000097F00000A7F00000B7F0000B2
-:10FC30000C7F00000D7F00000E7F00000F7F000092
-:10FC4000107F0000117F0000127F0000137F000072
-:10FC5000147F0000157F0000167F0000177F000052
-:10FC6000187F0000197F00001A7F00001B7F000032
-:10FC70001C7F00001D7F00001E7F00001F7F000012
-:10FC8000207F0000217F0000227F0000237F0000F2
-:10FC9000247F0000257F0000267F0000277F0000D2
-:10FCA000287F0000297F00002A7F00002B7F0000B2
-:10FCB0002C7F00002D7F00002E7F00002F7F000092
-:10FCC000307F0000317F0000327F0000337F000072
-:10FCD000347F0000357F0000367F0000377F000052
-:10FCE000387F0000397F00003A7F00003B7F000032
-:10FCF0003C7F00003D7F00003E7F00003F7F000012
-:10FD0000407F0000417F0000427F0000437F0000F1
-:10FD1000447F0000457F0000467F0000477F0000D1
-:10FD2000487F0000497F00004A7F00004B7F0000B1
-:10FD30004C7F00004D7F00004E7F00004F7F000091
-:10FD4000507F0000517F0000527F0000537F000071
-:10FD5000547F0000557F0000567F0000577F000051
-:10FD6000587F0000597F00005A7F00005B7F000031
-:10FD70005C7F00005D7F00005E7F00005F7F000011
-:10FD8000607F0000617F0000627F0000637F0000F1
-:10FD9000647F0000657F0000667F0000677F0000D1
-:10FDA000687F0000697F00006A7F00006B7F0000B1
-:10FDB0006C7F00006D7F00006E7F00006F7F000091
-:10FDC000707F0000717F0000727F0000737F000071
-:10FDD000747F0000757F0000767F0000777F000051
-:10FDE000787F0000797F00007A7F00007B7F000031
-:10FDF0007C7F00007D7F00007E7F00007F7F000011
-:10FE0000807F0000817F0000827F0000837F0000F0
-:10FE1000847F0000857F0000867F0000877F0000D0
-:10FE2000887F0000897F00008A7F00008B7F0000B0
-:10FE30008C7F00008D7F00008E7F00008F7F000090
-:10FE4000907F0000917F0000927F0000937F000070
-:10FE5000947F0000957F0000967F0000977F000050
-:10FE6000987F0000997F00009A7F00009B7F000030
-:10FE70009C7F00009D7F00009E7F00009F7F000010
-:10FE8000A07F0000A17F0000A27F0000A37F0000F0
-:10FE9000A47F0000A57F0000A67F0000A77F0000D0
-:10FEA000A87F0000A97F0000AA7F0000AB7F0000B0
-:10FEB000AC7F0000AD7F0000AE7F0000AF7F000090
-:10FEC000B07F0000B17F0000B27F0000B37F000070
-:10FED000B47F0000B57F0000B67F0000B77F000050
-:10FEE000B87F0000B97F0000BA7F0000BB7F000030
-:10FEF000BC7F0000BD7F0000BE7F0000BF7F000010
-:10FF0000C07F0000C17F0000C27F0000C37F0000EF
-:10FF1000C47F0000C57F0000C67F0000C77F0000CF
-:10FF2000C87F0000C97F0000CA7F0000CB7F0000AF
-:10FF3000CC7F0000CD7F0000CE7F0000CF7F00008F
-:10FF4000D07F0000D17F0000D27F0000D37F00006F
-:10FF5000D47F0000D57F0000D67F0000D77F00004F
-:10FF6000D87F0000D97F0000DA7F0000DB7F00002F
-:10FF7000DC7F0000DD7F0000DE7F0000DF7F00000F
-:10FF8000E07F0000E17F0000E27F0000E37F0000EF
-:10FF9000E47F0000E57F0000E67F0000E77F0000CF
-:10FFA000E87F0000E97F0000EA7F0000EB7F0000AF
-:10FFB000EC7F0000ED7F0000EE7F0000EF7F00008F
-:10FFC000F07F0000F17F0000F27F0000F37F00006F
-:10FFD000F47F0000F57F0000F67F0000F77F00004F
-:10FFE000F87F0000F97F0000FA7F0000FB7F00002F
-:10FFF000FC7F0000FD7F0000FE7F0000FF7F00000F
-:020000022000DC
-:1000000000800000018000000280000003800000EA
-:1000100004800000058000000680000007800000CA
-:1000200008800000098000000A8000000B800000AA
-:100030000C8000000D8000000E8000000F8000008A
-:10004000108000001180000012800000138000006A
-:10005000148000001580000016800000178000004A
-:1000600018800000198000001A8000001B8000002A
-:100070001C8000001D8000001E8000001F8000000A
-:1000800020800000218000002280000023800000EA
-:1000900024800000258000002680000027800000CA
-:1000A00028800000298000002A8000002B800000AA
-:1000B0002C8000002D8000002E8000002F8000008A
-:1000C000308000003180000032800000338000006A
-:1000D000348000003580000036800000378000004A
-:1000E00038800000398000003A8000003B8000002A
-:1000F0003C8000003D8000003E8000003F8000000A
-:1001000040800000418000004280000043800000E9
-:1001100044800000458000004680000047800000C9
-:1001200048800000498000004A8000004B800000A9
-:100130004C8000004D8000004E8000004F80000089
-:100140005080000051800000528000005380000069
-:100150005480000055800000568000005780000049
-:1001600058800000598000005A8000005B80000029
-:100170005C8000005D8000005E8000005F80000009
-:1001800060800000618000006280000063800000E9
-:1001900064800000658000006680000067800000C9
-:1001A00068800000698000006A8000006B800000A9
-:1001B0006C8000006D8000006E8000006F80000089
-:1001C0007080000071800000728000007380000069
-:1001D0007480000075800000768000007780000049
-:1001E00078800000798000007A8000007B80000029
-:1001F0007C8000007D8000007E8000007F80000009
-:1002000080800000818000008280000083800000E8
-:1002100084800000858000008680000087800000C8
-:1002200088800000898000008A8000008B800000A8
-:100230008C8000008D8000008E8000008F80000088
-:100240009080000091800000928000009380000068
-:100250009480000095800000968000009780000048
-:1002600098800000998000009A8000009B80000028
-:100270009C8000009D8000009E8000009F80000008
-:10028000A0800000A1800000A2800000A3800000E8
-:10029000A4800000A5800000A6800000A7800000C8
-:1002A000A8800000A9800000AA800000AB800000A8
-:1002B000AC800000AD800000AE800000AF80000088
-:1002C000B0800000B1800000B2800000B380000068
-:1002D000B4800000B5800000B6800000B780000048
-:1002E000B8800000B9800000BA800000BB80000028
-:1002F000BC800000BD800000BE800000BF80000008
-:10030000C0800000C1800000C2800000C3800000E7
-:10031000C4800000C5800000C6800000C7800000C7
-:10032000C8800000C9800000CA800000CB800000A7
-:10033000CC800000CD800000CE800000CF80000087
-:10034000D0800000D1800000D2800000D380000067
-:10035000D4800000D5800000D6800000D780000047
-:10036000D8800000D9800000DA800000DB80000027
-:10037000DC800000DD800000DE800000DF80000007
-:10038000E0800000E1800000E2800000E3800000E7
-:10039000E4800000E5800000E6800000E7800000C7
-:1003A000E8800000E9800000EA800000EB800000A7
-:1003B000EC800000ED800000EE800000EF80000087
-:1003C000F0800000F1800000F2800000F380000067
-:1003D000F4800000F5800000F6800000F780000047
-:1003E000F8800000F9800000FA800000FB80000027
-:1003F000FC800000FD800000FE800000FF80000007
-:1004000000810000018100000281000003810000E2
-:1004100004810000058100000681000007810000C2
-:1004200008810000098100000A8100000B810000A2
-:100430000C8100000D8100000E8100000F81000082
-:100440001081000011810000128100001381000062
-:100450001481000015810000168100001781000042
-:1004600018810000198100001A8100001B81000022
-:100470001C8100001D8100001E8100001F81000002
-:1004800020810000218100002281000023810000E2
-:1004900024810000258100002681000027810000C2
-:1004A00028810000298100002A8100002B810000A2
-:1004B0002C8100002D8100002E8100002F81000082
-:1004C0003081000031810000328100003381000062
-:1004D0003481000035810000368100003781000042
-:1004E00038810000398100003A8100003B81000022
-:1004F0003C8100003D8100003E8100003F81000002
-:1005000040810000418100004281000043810000E1
-:1005100044810000458100004681000047810000C1
-:1005200048810000498100004A8100004B810000A1
-:100530004C8100004D8100004E8100004F81000081
-:100540005081000051810000528100005381000061
-:100550005481000055810000568100005781000041
-:1005600058810000598100005A8100005B81000021
-:100570005C8100005D8100005E8100005F81000001
-:1005800060810000618100006281000063810000E1
-:1005900064810000658100006681000067810000C1
-:1005A00068810000698100006A8100006B810000A1
-:1005B0006C8100006D8100006E8100006F81000081
-:1005C0007081000071810000728100007381000061
-:1005D0007481000075810000768100007781000041
-:1005E00078810000798100007A8100007B81000021
-:1005F0007C8100007D8100007E8100007F81000001
-:1006000080810000818100008281000083810000E0
-:1006100084810000858100008681000087810000C0
-:1006200088810000898100008A8100008B810000A0
-:100630008C8100008D8100008E8100008F81000080
-:100640009081000091810000928100009381000060
-:100650009481000095810000968100009781000040
-:1006600098810000998100009A8100009B81000020
-:100670009C8100009D8100009E8100009F81000000
-:10068000A0810000A1810000A2810000A3810000E0
-:10069000A4810000A5810000A6810000A7810000C0
-:1006A000A8810000A9810000AA810000AB810000A0
-:1006B000AC810000AD810000AE810000AF81000080
-:1006C000B0810000B1810000B2810000B381000060
-:1006D000B4810000B5810000B6810000B781000040
-:1006E000B8810000B9810000BA810000BB81000020
-:1006F000BC810000BD810000BE810000BF81000000
-:10070000C0810000C1810000C2810000C3810000DF
-:10071000C4810000C5810000C6810000C7810000BF
-:10072000C8810000C9810000CA810000CB8100009F
-:10073000CC810000CD810000CE810000CF8100007F
-:10074000D0810000D1810000D2810000D38100005F
-:10075000D4810000D5810000D6810000D78100003F
-:10076000D8810000D9810000DA810000DB8100001F
-:10077000DC810000DD810000DE810000DF810000FF
-:10078000E0810000E1810000E2810000E3810000DF
-:10079000E4810000E5810000E6810000E7810000BF
-:1007A000E8810000E9810000EA810000EB8100009F
-:1007B000EC810000ED810000EE810000EF8100007F
-:1007C000F0810000F1810000F2810000F38100005F
-:1007D000F4810000F5810000F6810000F78100003F
-:1007E000F8810000F9810000FA810000FB8100001F
-:1007F000FC810000FD810000FE810000FF810000FF
-:1008000000820000018200000282000003820000DA
-:1008100004820000058200000682000007820000BA
-:1008200008820000098200000A8200000B8200009A
-:100830000C8200000D8200000E8200000F8200007A
-:10084000108200001182000012820000138200005A
-:10085000148200001582000016820000178200003A
-:1008600018820000198200001A8200001B8200001A
-:100870001C8200001D8200001E8200001F820000FA
-:1008800020820000218200002282000023820000DA
-:1008900024820000258200002682000027820000BA
-:1008A00028820000298200002A8200002B8200009A
-:1008B0002C8200002D8200002E8200002F8200007A
-:1008C000308200003182000032820000338200005A
-:1008D000348200003582000036820000378200003A
-:1008E00038820000398200003A8200003B8200001A
-:1008F0003C8200003D8200003E8200003F820000FA
-:1009000040820000418200004282000043820000D9
-:1009100044820000458200004682000047820000B9
-:1009200048820000498200004A8200004B82000099
-:100930004C8200004D8200004E8200004F82000079
-:100940005082000051820000528200005382000059
-:100950005482000055820000568200005782000039
-:1009600058820000598200005A8200005B82000019
-:100970005C8200005D8200005E8200005F820000F9
-:1009800060820000618200006282000063820000D9
-:1009900064820000658200006682000067820000B9
-:1009A00068820000698200006A8200006B82000099
-:1009B0006C8200006D8200006E8200006F82000079
-:1009C0007082000071820000728200007382000059
-:1009D0007482000075820000768200007782000039
-:1009E00078820000798200007A8200007B82000019
-:1009F0007C8200007D8200007E8200007F820000F9
-:100A000080820000818200008282000083820000D8
-:100A100084820000858200008682000087820000B8
-:100A200088820000898200008A8200008B82000098
-:100A30008C8200008D8200008E8200008F82000078
-:100A40009082000091820000928200009382000058
-:100A50009482000095820000968200009782000038
-:100A600098820000998200009A8200009B82000018
-:100A70009C8200009D8200009E8200009F820000F8
-:100A8000A0820000A1820000A2820000A3820000D8
-:100A9000A4820000A5820000A6820000A7820000B8
-:100AA000A8820000A9820000AA820000AB82000098
-:100AB000AC820000AD820000AE820000AF82000078
-:100AC000B0820000B1820000B2820000B382000058
-:100AD000B4820000B5820000B6820000B782000038
-:100AE000B8820000B9820000BA820000BB82000018
-:100AF000BC820000BD820000BE820000BF820000F8
-:100B0000C0820000C1820000C2820000C3820000D7
-:100B1000C4820000C5820000C6820000C7820000B7
-:100B2000C8820000C9820000CA820000CB82000097
-:100B3000CC820000CD820000CE820000CF82000077
-:100B4000D0820000D1820000D2820000D382000057
-:100B5000D4820000D5820000D6820000D782000037
-:100B6000D8820000D9820000DA820000DB82000017
-:100B7000DC820000DD820000DE820000DF820000F7
-:100B8000E0820000E1820000E2820000E3820000D7
-:100B9000E4820000E5820000E6820000E7820000B7
-:100BA000E8820000E9820000EA820000EB82000097
-:100BB000EC820000ED820000EE820000EF82000077
-:100BC000F0820000F1820000F2820000F382000057
-:100BD000F4820000F5820000F6820000F782000037
-:100BE000F8820000F9820000FA820000FB82000017
-:100BF000FC820000FD820000FE820000FF820000F7
-:100C000000830000018300000283000003830000D2
-:100C100004830000058300000683000007830000B2
-:100C200008830000098300000A8300000B83000092
-:100C30000C8300000D8300000E8300000F83000072
-:100C40001083000011830000128300001383000052
-:100C50001483000015830000168300001783000032
-:100C600018830000198300001A8300001B83000012
-:100C70001C8300001D8300001E8300001F830000F2
-:100C800020830000218300002283000023830000D2
-:100C900024830000258300002683000027830000B2
-:100CA00028830000298300002A8300002B83000092
-:100CB0002C8300002D8300002E8300002F83000072
-:100CC0003083000031830000328300003383000052
-:100CD0003483000035830000368300003783000032
-:100CE00038830000398300003A8300003B83000012
-:100CF0003C8300003D8300003E8300003F830000F2
-:100D000040830000418300004283000043830000D1
-:100D100044830000458300004683000047830000B1
-:100D200048830000498300004A8300004B83000091
-:100D30004C8300004D8300004E8300004F83000071
-:100D40005083000051830000528300005383000051
-:100D50005483000055830000568300005783000031
-:100D600058830000598300005A8300005B83000011
-:100D70005C8300005D8300005E8300005F830000F1
-:100D800060830000618300006283000063830000D1
-:100D900064830000658300006683000067830000B1
-:100DA00068830000698300006A8300006B83000091
-:100DB0006C8300006D8300006E8300006F83000071
-:100DC0007083000071830000728300007383000051
-:100DD0007483000075830000768300007783000031
-:100DE00078830000798300007A8300007B83000011
-:100DF0007C8300007D8300007E8300007F830000F1
-:100E000080830000818300008283000083830000D0
-:100E100084830000858300008683000087830000B0
-:100E200088830000898300008A8300008B83000090
-:100E30008C8300008D8300008E8300008F83000070
-:100E40009083000091830000928300009383000050
-:100E50009483000095830000968300009783000030
-:100E600098830000998300009A8300009B83000010
-:100E70009C8300009D8300009E8300009F830000F0
-:100E8000A0830000A1830000A2830000A3830000D0
-:100E9000A4830000A5830000A6830000A7830000B0
-:100EA000A8830000A9830000AA830000AB83000090
-:100EB000AC830000AD830000AE830000AF83000070
-:100EC000B0830000B1830000B2830000B383000050
-:100ED000B4830000B5830000B6830000B783000030
-:100EE000B8830000B9830000BA830000BB83000010
-:100EF000BC830000BD830000BE830000BF830000F0
-:100F0000C0830000C1830000C2830000C3830000CF
-:100F1000C4830000C5830000C6830000C7830000AF
-:100F2000C8830000C9830000CA830000CB8300008F
-:100F3000CC830000CD830000CE830000CF8300006F
-:100F4000D0830000D1830000D2830000D38300004F
-:100F5000D4830000D5830000D6830000D78300002F
-:100F6000D8830000D9830000DA830000DB8300000F
-:100F7000DC830000DD830000DE830000DF830000EF
-:100F8000E0830000E1830000E2830000E3830000CF
-:100F9000E4830000E5830000E6830000E7830000AF
-:100FA000E8830000E9830000EA830000EB8300008F
-:100FB000EC830000ED830000EE830000EF8300006F
-:100FC000F0830000F1830000F2830000F38300004F
-:100FD000F4830000F5830000F6830000F78300002F
-:100FE000F8830000F9830000FA830000FB8300000F
-:100FF000FC830000FD830000FE830000FF830000EF
-:1010000000840000018400000284000003840000CA
-:1010100004840000058400000684000007840000AA
-:1010200008840000098400000A8400000B8400008A
-:101030000C8400000D8400000E8400000F8400006A
-:10104000108400001184000012840000138400004A
-:10105000148400001584000016840000178400002A
-:1010600018840000198400001A8400001B8400000A
-:101070001C8400001D8400001E8400001F840000EA
-:1010800020840000218400002284000023840000CA
-:1010900024840000258400002684000027840000AA
-:1010A00028840000298400002A8400002B8400008A
-:1010B0002C8400002D8400002E8400002F8400006A
-:1010C000308400003184000032840000338400004A
-:1010D000348400003584000036840000378400002A
-:1010E00038840000398400003A8400003B8400000A
-:1010F0003C8400003D8400003E8400003F840000EA
-:1011000040840000418400004284000043840000C9
-:1011100044840000458400004684000047840000A9
-:1011200048840000498400004A8400004B84000089
-:101130004C8400004D8400004E8400004F84000069
-:101140005084000051840000528400005384000049
-:101150005484000055840000568400005784000029
-:1011600058840000598400005A8400005B84000009
-:101170005C8400005D8400005E8400005F840000E9
-:1011800060840000618400006284000063840000C9
-:1011900064840000658400006684000067840000A9
-:1011A00068840000698400006A8400006B84000089
-:1011B0006C8400006D8400006E8400006F84000069
-:1011C0007084000071840000728400007384000049
-:1011D0007484000075840000768400007784000029
-:1011E00078840000798400007A8400007B84000009
-:1011F0007C8400007D8400007E8400007F840000E9
-:1012000080840000818400008284000083840000C8
-:1012100084840000858400008684000087840000A8
-:1012200088840000898400008A8400008B84000088
-:101230008C8400008D8400008E8400008F84000068
-:101240009084000091840000928400009384000048
-:101250009484000095840000968400009784000028
-:1012600098840000998400009A8400009B84000008
-:101270009C8400009D8400009E8400009F840000E8
-:10128000A0840000A1840000A2840000A3840000C8
-:10129000A4840000A5840000A6840000A7840000A8
-:1012A000A8840000A9840000AA840000AB84000088
-:1012B000AC840000AD840000AE840000AF84000068
-:1012C000B0840000B1840000B2840000B384000048
-:1012D000B4840000B5840000B6840000B784000028
-:1012E000B8840000B9840000BA840000BB84000008
-:1012F000BC840000BD840000BE840000BF840000E8
-:10130000C0840000C1840000C2840000C3840000C7
-:10131000C4840000C5840000C6840000C7840000A7
-:10132000C8840000C9840000CA840000CB84000087
-:10133000CC840000CD840000CE840000CF84000067
-:10134000D0840000D1840000D2840000D384000047
-:10135000D4840000D5840000D6840000D784000027
-:10136000D8840000D9840000DA840000DB84000007
-:10137000DC840000DD840000DE840000DF840000E7
-:10138000E0840000E1840000E2840000E3840000C7
-:10139000E4840000E5840000E6840000E7840000A7
-:1013A000E8840000E9840000EA840000EB84000087
-:1013B000EC840000ED840000EE840000EF84000067
-:1013C000F0840000F1840000F2840000F384000047
-:1013D000F4840000F5840000F6840000F784000027
-:1013E000F8840000F9840000FA840000FB84000007
-:1013F000FC840000FD840000FE840000FF840000E7
-:1014000000850000018500000285000003850000C2
-:1014100004850000058500000685000007850000A2
-:1014200008850000098500000A8500000B85000082
-:101430000C8500000D8500000E8500000F85000062
-:101440001085000011850000128500001385000042
-:101450001485000015850000168500001785000022
-:1014600018850000198500001A8500001B85000002
-:101470001C8500001D8500001E8500001F850000E2
-:1014800020850000218500002285000023850000C2
-:1014900024850000258500002685000027850000A2
-:1014A00028850000298500002A8500002B85000082
-:1014B0002C8500002D8500002E8500002F85000062
-:1014C0003085000031850000328500003385000042
-:1014D0003485000035850000368500003785000022
-:1014E00038850000398500003A8500003B85000002
-:1014F0003C8500003D8500003E8500003F850000E2
-:1015000040850000418500004285000043850000C1
-:1015100044850000458500004685000047850000A1
-:1015200048850000498500004A8500004B85000081
-:101530004C8500004D8500004E8500004F85000061
-:101540005085000051850000528500005385000041
-:101550005485000055850000568500005785000021
-:1015600058850000598500005A8500005B85000001
-:101570005C8500005D8500005E8500005F850000E1
-:1015800060850000618500006285000063850000C1
-:1015900064850000658500006685000067850000A1
-:1015A00068850000698500006A8500006B85000081
-:1015B0006C8500006D8500006E8500006F85000061
-:1015C0007085000071850000728500007385000041
-:1015D0007485000075850000768500007785000021
-:1015E00078850000798500007A8500007B85000001
-:1015F0007C8500007D8500007E8500007F850000E1
-:1016000080850000818500008285000083850000C0
-:1016100084850000858500008685000087850000A0
-:1016200088850000898500008A8500008B85000080
-:101630008C8500008D8500008E8500008F85000060
-:101640009085000091850000928500009385000040
-:101650009485000095850000968500009785000020
-:1016600098850000998500009A8500009B85000000
-:101670009C8500009D8500009E8500009F850000E0
-:10168000A0850000A1850000A2850000A3850000C0
-:10169000A4850000A5850000A6850000A7850000A0
-:1016A000A8850000A9850000AA850000AB85000080
-:1016B000AC850000AD850000AE850000AF85000060
-:1016C000B0850000B1850000B2850000B385000040
-:1016D000B4850000B5850000B6850000B785000020
-:1016E000B8850000B9850000BA850000BB85000000
-:1016F000BC850000BD850000BE850000BF850000E0
-:10170000C0850000C1850000C2850000C3850000BF
-:10171000C4850000C5850000C6850000C78500009F
-:10172000C8850000C9850000CA850000CB8500007F
-:10173000CC850000CD850000CE850000CF8500005F
-:10174000D0850000D1850000D2850000D38500003F
-:10175000D4850000D5850000D6850000D78500001F
-:10176000D8850000D9850000DA850000DB850000FF
-:10177000DC850000DD850000DE850000DF850000DF
-:10178000E0850000E1850000E2850000E3850000BF
-:10179000E4850000E5850000E6850000E78500009F
-:1017A000E8850000E9850000EA850000EB8500007F
-:1017B000EC850000ED850000EE850000EF8500005F
-:1017C000F0850000F1850000F2850000F38500003F
-:1017D000F4850000F5850000F6850000F78500001F
-:1017E000F8850000F9850000FA850000FB850000FF
-:1017F000FC850000FD850000FE850000FF850000DF
-:1018000000860000018600000286000003860000BA
-:10181000048600000586000006860000078600009A
-:1018200008860000098600000A8600000B8600007A
-:101830000C8600000D8600000E8600000F8600005A
-:10184000108600001186000012860000138600003A
-:10185000148600001586000016860000178600001A
-:1018600018860000198600001A8600001B860000FA
-:101870001C8600001D8600001E8600001F860000DA
-:1018800020860000218600002286000023860000BA
-:10189000248600002586000026860000278600009A
-:1018A00028860000298600002A8600002B8600007A
-:1018B0002C8600002D8600002E8600002F8600005A
-:1018C000308600003186000032860000338600003A
-:1018D000348600003586000036860000378600001A
-:1018E00038860000398600003A8600003B860000FA
-:1018F0003C8600003D8600003E8600003F860000DA
-:1019000040860000418600004286000043860000B9
-:101910004486000045860000468600004786000099
-:1019200048860000498600004A8600004B86000079
-:101930004C8600004D8600004E8600004F86000059
-:101940005086000051860000528600005386000039
-:101950005486000055860000568600005786000019
-:1019600058860000598600005A8600005B860000F9
-:101970005C8600005D8600005E8600005F860000D9
-:1019800060860000618600006286000063860000B9
-:101990006486000065860000668600006786000099
-:1019A00068860000698600006A8600006B86000079
-:1019B0006C8600006D8600006E8600006F86000059
-:1019C0007086000071860000728600007386000039
-:1019D0007486000075860000768600007786000019
-:1019E00078860000798600007A8600007B860000F9
-:1019F0007C8600007D8600007E8600007F860000D9
-:101A000080860000818600008286000083860000B8
-:101A10008486000085860000868600008786000098
-:101A200088860000898600008A8600008B86000078
-:101A30008C8600008D8600008E8600008F86000058
-:101A40009086000091860000928600009386000038
-:101A50009486000095860000968600009786000018
-:101A600098860000998600009A8600009B860000F8
-:101A70009C8600009D8600009E8600009F860000D8
-:101A8000A0860000A1860000A2860000A3860000B8
-:101A9000A4860000A5860000A6860000A786000098
-:101AA000A8860000A9860000AA860000AB86000078
-:101AB000AC860000AD860000AE860000AF86000058
-:101AC000B0860000B1860000B2860000B386000038
-:101AD000B4860000B5860000B6860000B786000018
-:101AE000B8860000B9860000BA860000BB860000F8
-:101AF000BC860000BD860000BE860000BF860000D8
-:101B0000C0860000C1860000C2860000C3860000B7
-:101B1000C4860000C5860000C6860000C786000097
-:101B2000C8860000C9860000CA860000CB86000077
-:101B3000CC860000CD860000CE860000CF86000057
-:101B4000D0860000D1860000D2860000D386000037
-:101B5000D4860000D5860000D6860000D786000017
-:101B6000D8860000D9860000DA860000DB860000F7
-:101B7000DC860000DD860000DE860000DF860000D7
-:101B8000E0860000E1860000E2860000E3860000B7
-:101B9000E4860000E5860000E6860000E786000097
-:101BA000E8860000E9860000EA860000EB86000077
-:101BB000EC860000ED860000EE860000EF86000057
-:101BC000F0860000F1860000F2860000F386000037
-:101BD000F4860000F5860000F6860000F786000017
-:101BE000F8860000F9860000FA860000FB860000F7
-:101BF000FC860000FD860000FE860000FF860000D7
-:101C000000870000018700000287000003870000B2
-:101C10000487000005870000068700000787000092
-:101C200008870000098700000A8700000B87000072
-:101C30000C8700000D8700000E8700000F87000052
-:101C40001087000011870000128700001387000032
-:101C50001487000015870000168700001787000012
-:101C600018870000198700001A8700001B870000F2
-:101C70001C8700001D8700001E8700001F870000D2
-:101C800020870000218700002287000023870000B2
-:101C90002487000025870000268700002787000092
-:101CA00028870000298700002A8700002B87000072
-:101CB0002C8700002D8700002E8700002F87000052
-:101CC0003087000031870000328700003387000032
-:101CD0003487000035870000368700003787000012
-:101CE00038870000398700003A8700003B870000F2
-:101CF0003C8700003D8700003E8700003F870000D2
-:101D000040870000418700004287000043870000B1
-:101D10004487000045870000468700004787000091
-:101D200048870000498700004A8700004B87000071
-:101D30004C8700004D8700004E8700004F87000051
-:101D40005087000051870000528700005387000031
-:101D50005487000055870000568700005787000011
-:101D600058870000598700005A8700005B870000F1
-:101D70005C8700005D8700005E8700005F870000D1
-:101D800060870000618700006287000063870000B1
-:101D90006487000065870000668700006787000091
-:101DA00068870000698700006A8700006B87000071
-:101DB0006C8700006D8700006E8700006F87000051
-:101DC0007087000071870000728700007387000031
-:101DD0007487000075870000768700007787000011
-:101DE00078870000798700007A8700007B870000F1
-:101DF0007C8700007D8700007E8700007F870000D1
-:101E000080870000818700008287000083870000B0
-:101E10008487000085870000868700008787000090
-:101E200088870000898700008A8700008B87000070
-:101E30008C8700008D8700008E8700008F87000050
-:101E40009087000091870000928700009387000030
-:101E50009487000095870000968700009787000010
-:101E600098870000998700009A8700009B870000F0
-:101E70009C8700009D8700009E8700009F870000D0
-:101E8000A0870000A1870000A2870000A3870000B0
-:101E9000A4870000A5870000A6870000A787000090
-:101EA000A8870000A9870000AA870000AB87000070
-:101EB000AC870000AD870000AE870000AF87000050
-:101EC000B0870000B1870000B2870000B387000030
-:101ED000B4870000B5870000B6870000B787000010
-:101EE000B8870000B9870000BA870000BB870000F0
-:101EF000BC870000BD870000BE870000BF870000D0
-:101F0000C0870000C1870000C2870000C3870000AF
-:101F1000C4870000C5870000C6870000C78700008F
-:101F2000C8870000C9870000CA870000CB8700006F
-:101F3000CC870000CD870000CE870000CF8700004F
-:101F4000D0870000D1870000D2870000D38700002F
-:101F5000D4870000D5870000D6870000D78700000F
-:101F6000D8870000D9870000DA870000DB870000EF
-:101F7000DC870000DD870000DE870000DF870000CF
-:101F8000E0870000E1870000E2870000E3870000AF
-:101F9000E4870000E5870000E6870000E78700008F
-:101FA000E8870000E9870000EA870000EB8700006F
-:101FB000EC870000ED870000EE870000EF8700004F
-:101FC000F0870000F1870000F2870000F38700002F
-:101FD000F4870000F5870000F6870000F78700000F
-:101FE000F8870000F9870000FA870000FB870000EF
-:101FF000FC870000FD870000FE870000FF870000CF
-:1020000000880000018800000288000003880000AA
-:10201000048800000588000006880000078800008A
-:1020200008880000098800000A8800000B8800006A
-:102030000C8800000D8800000E8800000F8800004A
-:10204000108800001188000012880000138800002A
-:10205000148800001588000016880000178800000A
-:1020600018880000198800001A8800001B880000EA
-:102070001C8800001D8800001E8800001F880000CA
-:1020800020880000218800002288000023880000AA
-:10209000248800002588000026880000278800008A
-:1020A00028880000298800002A8800002B8800006A
-:1020B0002C8800002D8800002E8800002F8800004A
-:1020C000308800003188000032880000338800002A
-:1020D000348800003588000036880000378800000A
-:1020E00038880000398800003A8800003B880000EA
-:1020F0003C8800003D8800003E8800003F880000CA
-:1021000040880000418800004288000043880000A9
-:102110004488000045880000468800004788000089
-:1021200048880000498800004A8800004B88000069
-:102130004C8800004D8800004E8800004F88000049
-:102140005088000051880000528800005388000029
-:102150005488000055880000568800005788000009
-:1021600058880000598800005A8800005B880000E9
-:102170005C8800005D8800005E8800005F880000C9
-:1021800060880000618800006288000063880000A9
-:102190006488000065880000668800006788000089
-:1021A00068880000698800006A8800006B88000069
-:1021B0006C8800006D8800006E8800006F88000049
-:1021C0007088000071880000728800007388000029
-:1021D0007488000075880000768800007788000009
-:1021E00078880000798800007A8800007B880000E9
-:1021F0007C8800007D8800007E8800007F880000C9
-:1022000080880000818800008288000083880000A8
-:102210008488000085880000868800008788000088
-:1022200088880000898800008A8800008B88000068
-:102230008C8800008D8800008E8800008F88000048
-:102240009088000091880000928800009388000028
-:102250009488000095880000968800009788000008
-:1022600098880000998800009A8800009B880000E8
-:102270009C8800009D8800009E8800009F880000C8
-:10228000A0880000A1880000A2880000A3880000A8
-:10229000A4880000A5880000A6880000A788000088
-:1022A000A8880000A9880000AA880000AB88000068
-:1022B000AC880000AD880000AE880000AF88000048
-:1022C000B0880000B1880000B2880000B388000028
-:1022D000B4880000B5880000B6880000B788000008
-:1022E000B8880000B9880000BA880000BB880000E8
-:1022F000BC880000BD880000BE880000BF880000C8
-:10230000C0880000C1880000C2880000C3880000A7
-:10231000C4880000C5880000C6880000C788000087
-:10232000C8880000C9880000CA880000CB88000067
-:10233000CC880000CD880000CE880000CF88000047
-:10234000D0880000D1880000D2880000D388000027
-:10235000D4880000D5880000D6880000D788000007
-:10236000D8880000D9880000DA880000DB880000E7
-:10237000DC880000DD880000DE880000DF880000C7
-:10238000E0880000E1880000E2880000E3880000A7
-:10239000E4880000E5880000E6880000E788000087
-:1023A000E8880000E9880000EA880000EB88000067
-:1023B000EC880000ED880000EE880000EF88000047
-:1023C000F0880000F1880000F2880000F388000027
-:1023D000F4880000F5880000F6880000F788000007
-:1023E000F8880000F9880000FA880000FB880000E7
-:1023F000FC880000FD880000FE880000FF880000C7
-:1024000000890000018900000289000003890000A2
-:102410000489000005890000068900000789000082
-:1024200008890000098900000A8900000B89000062
-:102430000C8900000D8900000E8900000F89000042
-:102440001089000011890000128900001389000022
-:102450001489000015890000168900001789000002
-:1024600018890000198900001A8900001B890000E2
-:102470001C8900001D8900001E8900001F890000C2
-:1024800020890000218900002289000023890000A2
-:102490002489000025890000268900002789000082
-:1024A00028890000298900002A8900002B89000062
-:1024B0002C8900002D8900002E8900002F89000042
-:1024C0003089000031890000328900003389000022
-:1024D0003489000035890000368900003789000002
-:1024E00038890000398900003A8900003B890000E2
-:1024F0003C8900003D8900003E8900003F890000C2
-:1025000040890000418900004289000043890000A1
-:102510004489000045890000468900004789000081
-:1025200048890000498900004A8900004B89000061
-:102530004C8900004D8900004E8900004F89000041
-:102540005089000051890000528900005389000021
-:102550005489000055890000568900005789000001
-:1025600058890000598900005A8900005B890000E1
-:102570005C8900005D8900005E8900005F890000C1
-:1025800060890000618900006289000063890000A1
-:102590006489000065890000668900006789000081
-:1025A00068890000698900006A8900006B89000061
-:1025B0006C8900006D8900006E8900006F89000041
-:1025C0007089000071890000728900007389000021
-:1025D0007489000075890000768900007789000001
-:1025E00078890000798900007A8900007B890000E1
-:1025F0007C8900007D8900007E8900007F890000C1
-:1026000080890000818900008289000083890000A0
-:102610008489000085890000868900008789000080
-:1026200088890000898900008A8900008B89000060
-:102630008C8900008D8900008E8900008F89000040
-:102640009089000091890000928900009389000020
-:102650009489000095890000968900009789000000
-:1026600098890000998900009A8900009B890000E0
-:102670009C8900009D8900009E8900009F890000C0
-:10268000A0890000A1890000A2890000A3890000A0
-:10269000A4890000A5890000A6890000A789000080
-:1026A000A8890000A9890000AA890000AB89000060
-:1026B000AC890000AD890000AE890000AF89000040
-:1026C000B0890000B1890000B2890000B389000020
-:1026D000B4890000B5890000B6890000B789000000
-:1026E000B8890000B9890000BA890000BB890000E0
-:1026F000BC890000BD890000BE890000BF890000C0
-:10270000C0890000C1890000C2890000C38900009F
-:10271000C4890000C5890000C6890000C78900007F
-:10272000C8890000C9890000CA890000CB8900005F
-:10273000CC890000CD890000CE890000CF8900003F
-:10274000D0890000D1890000D2890000D38900001F
-:10275000D4890000D5890000D6890000D7890000FF
-:10276000D8890000D9890000DA890000DB890000DF
-:10277000DC890000DD890000DE890000DF890000BF
-:10278000E0890000E1890000E2890000E38900009F
-:10279000E4890000E5890000E6890000E78900007F
-:1027A000E8890000E9890000EA890000EB8900005F
-:1027B000EC890000ED890000EE890000EF8900003F
-:1027C000F0890000F1890000F2890000F38900001F
-:1027D000F4890000F5890000F6890000F7890000FF
-:1027E000F8890000F9890000FA890000FB890000DF
-:1027F000FC890000FD890000FE890000FF890000BF
-:10280000008A0000018A0000028A0000038A00009A
-:10281000048A0000058A0000068A0000078A00007A
-:10282000088A0000098A00000A8A00000B8A00005A
-:102830000C8A00000D8A00000E8A00000F8A00003A
-:10284000108A0000118A0000128A0000138A00001A
-:10285000148A0000158A0000168A0000178A0000FA
-:10286000188A0000198A00001A8A00001B8A0000DA
-:102870001C8A00001D8A00001E8A00001F8A0000BA
-:10288000208A0000218A0000228A0000238A00009A
-:10289000248A0000258A0000268A0000278A00007A
-:1028A000288A0000298A00002A8A00002B8A00005A
-:1028B0002C8A00002D8A00002E8A00002F8A00003A
-:1028C000308A0000318A0000328A0000338A00001A
-:1028D000348A0000358A0000368A0000378A0000FA
-:1028E000388A0000398A00003A8A00003B8A0000DA
-:1028F0003C8A00003D8A00003E8A00003F8A0000BA
-:10290000408A0000418A0000428A0000438A000099
-:10291000448A0000458A0000468A0000478A000079
-:10292000488A0000498A00004A8A00004B8A000059
-:102930004C8A00004D8A00004E8A00004F8A000039
-:10294000508A0000518A0000528A0000538A000019
-:10295000548A0000558A0000568A0000578A0000F9
-:10296000588A0000598A00005A8A00005B8A0000D9
-:102970005C8A00005D8A00005E8A00005F8A0000B9
-:10298000608A0000618A0000628A0000638A000099
-:10299000648A0000658A0000668A0000678A000079
-:1029A000688A0000698A00006A8A00006B8A000059
-:1029B0006C8A00006D8A00006E8A00006F8A000039
-:1029C000708A0000718A0000728A0000738A000019
-:1029D000748A0000758A0000768A0000778A0000F9
-:1029E000788A0000798A00007A8A00007B8A0000D9
-:1029F0007C8A00007D8A00007E8A00007F8A0000B9
-:102A0000808A0000818A0000828A0000838A000098
-:102A1000848A0000858A0000868A0000878A000078
-:102A2000888A0000898A00008A8A00008B8A000058
-:102A30008C8A00008D8A00008E8A00008F8A000038
-:102A4000908A0000918A0000928A0000938A000018
-:102A5000948A0000958A0000968A0000978A0000F8
-:102A6000988A0000998A00009A8A00009B8A0000D8
-:102A70009C8A00009D8A00009E8A00009F8A0000B8
-:102A8000A08A0000A18A0000A28A0000A38A000098
-:102A9000A48A0000A58A0000A68A0000A78A000078
-:102AA000A88A0000A98A0000AA8A0000AB8A000058
-:102AB000AC8A0000AD8A0000AE8A0000AF8A000038
-:102AC000B08A0000B18A0000B28A0000B38A000018
-:102AD000B48A0000B58A0000B68A0000B78A0000F8
-:102AE000B88A0000B98A0000BA8A0000BB8A0000D8
-:102AF000BC8A0000BD8A0000BE8A0000BF8A0000B8
-:102B0000C08A0000C18A0000C28A0000C38A000097
-:102B1000C48A0000C58A0000C68A0000C78A000077
-:102B2000C88A0000C98A0000CA8A0000CB8A000057
-:102B3000CC8A0000CD8A0000CE8A0000CF8A000037
-:102B4000D08A0000D18A0000D28A0000D38A000017
-:102B5000D48A0000D58A0000D68A0000D78A0000F7
-:102B6000D88A0000D98A0000DA8A0000DB8A0000D7
-:102B7000DC8A0000DD8A0000DE8A0000DF8A0000B7
-:102B8000E08A0000E18A0000E28A0000E38A000097
-:102B9000E48A0000E58A0000E68A0000E78A000077
-:102BA000E88A0000E98A0000EA8A0000EB8A000057
-:102BB000EC8A0000ED8A0000EE8A0000EF8A000037
-:102BC000F08A0000F18A0000F28A0000F38A000017
-:102BD000F48A0000F58A0000F68A0000F78A0000F7
-:102BE000F88A0000F98A0000FA8A0000FB8A0000D7
-:102BF000FC8A0000FD8A0000FE8A0000FF8A0000B7
-:102C0000008B0000018B0000028B0000038B000092
-:102C1000048B0000058B0000068B0000078B000072
-:102C2000088B0000098B00000A8B00000B8B000052
-:102C30000C8B00000D8B00000E8B00000F8B000032
-:102C4000108B0000118B0000128B0000138B000012
-:102C5000148B0000158B0000168B0000178B0000F2
-:102C6000188B0000198B00001A8B00001B8B0000D2
-:102C70001C8B00001D8B00001E8B00001F8B0000B2
-:102C8000208B0000218B0000228B0000238B000092
-:102C9000248B0000258B0000268B0000278B000072
-:102CA000288B0000298B00002A8B00002B8B000052
-:102CB0002C8B00002D8B00002E8B00002F8B000032
-:102CC000308B0000318B0000328B0000338B000012
-:102CD000348B0000358B0000368B0000378B0000F2
-:102CE000388B0000398B00003A8B00003B8B0000D2
-:102CF0003C8B00003D8B00003E8B00003F8B0000B2
-:102D0000408B0000418B0000428B0000438B000091
-:102D1000448B0000458B0000468B0000478B000071
-:102D2000488B0000498B00004A8B00004B8B000051
-:102D30004C8B00004D8B00004E8B00004F8B000031
-:102D4000508B0000518B0000528B0000538B000011
-:102D5000548B0000558B0000568B0000578B0000F1
-:102D6000588B0000598B00005A8B00005B8B0000D1
-:102D70005C8B00005D8B00005E8B00005F8B0000B1
-:102D8000608B0000618B0000628B0000638B000091
-:102D9000648B0000658B0000668B0000678B000071
-:102DA000688B0000698B00006A8B00006B8B000051
-:102DB0006C8B00006D8B00006E8B00006F8B000031
-:102DC000708B0000718B0000728B0000738B000011
-:102DD000748B0000758B0000768B0000778B0000F1
-:102DE000788B0000798B00007A8B00007B8B0000D1
-:102DF0007C8B00007D8B00007E8B00007F8B0000B1
-:102E0000808B0000818B0000828B0000838B000090
-:102E1000848B0000858B0000868B0000878B000070
-:102E2000888B0000898B00008A8B00008B8B000050
-:102E30008C8B00008D8B00008E8B00008F8B000030
-:102E4000908B0000918B0000928B0000938B000010
-:102E5000948B0000958B0000968B0000978B0000F0
-:102E6000988B0000998B00009A8B00009B8B0000D0
-:102E70009C8B00009D8B00009E8B00009F8B0000B0
-:102E8000A08B0000A18B0000A28B0000A38B000090
-:102E9000A48B0000A58B0000A68B0000A78B000070
-:102EA000A88B0000A98B0000AA8B0000AB8B000050
-:102EB000AC8B0000AD8B0000AE8B0000AF8B000030
-:102EC000B08B0000B18B0000B28B0000B38B000010
-:102ED000B48B0000B58B0000B68B0000B78B0000F0
-:102EE000B88B0000B98B0000BA8B0000BB8B0000D0
-:102EF000BC8B0000BD8B0000BE8B0000BF8B0000B0
-:102F0000C08B0000C18B0000C28B0000C38B00008F
-:102F1000C48B0000C58B0000C68B0000C78B00006F
-:102F2000C88B0000C98B0000CA8B0000CB8B00004F
-:102F3000CC8B0000CD8B0000CE8B0000CF8B00002F
-:102F4000D08B0000D18B0000D28B0000D38B00000F
-:102F5000D48B0000D58B0000D68B0000D78B0000EF
-:102F6000D88B0000D98B0000DA8B0000DB8B0000CF
-:102F7000DC8B0000DD8B0000DE8B0000DF8B0000AF
-:102F8000E08B0000E18B0000E28B0000E38B00008F
-:102F9000E48B0000E58B0000E68B0000E78B00006F
-:102FA000E88B0000E98B0000EA8B0000EB8B00004F
-:102FB000EC8B0000ED8B0000EE8B0000EF8B00002F
-:102FC000F08B0000F18B0000F28B0000F38B00000F
-:102FD000F48B0000F58B0000F68B0000F78B0000EF
-:102FE000F88B0000F98B0000FA8B0000FB8B0000CF
-:102FF000FC8B0000FD8B0000FE8B0000FF8B0000AF
-:10300000008C0000018C0000028C0000038C00008A
-:10301000048C0000058C0000068C0000078C00006A
-:10302000088C0000098C00000A8C00000B8C00004A
-:103030000C8C00000D8C00000E8C00000F8C00002A
-:10304000108C0000118C0000128C0000138C00000A
-:10305000148C0000158C0000168C0000178C0000EA
-:10306000188C0000198C00001A8C00001B8C0000CA
-:103070001C8C00001D8C00001E8C00001F8C0000AA
-:10308000208C0000218C0000228C0000238C00008A
-:10309000248C0000258C0000268C0000278C00006A
-:1030A000288C0000298C00002A8C00002B8C00004A
-:1030B0002C8C00002D8C00002E8C00002F8C00002A
-:1030C000308C0000318C0000328C0000338C00000A
-:1030D000348C0000358C0000368C0000378C0000EA
-:1030E000388C0000398C00003A8C00003B8C0000CA
-:1030F0003C8C00003D8C00003E8C00003F8C0000AA
-:10310000408C0000418C0000428C0000438C000089
-:10311000448C0000458C0000468C0000478C000069
-:10312000488C0000498C00004A8C00004B8C000049
-:103130004C8C00004D8C00004E8C00004F8C000029
-:10314000508C0000518C0000528C0000538C000009
-:10315000548C0000558C0000568C0000578C0000E9
-:10316000588C0000598C00005A8C00005B8C0000C9
-:103170005C8C00005D8C00005E8C00005F8C0000A9
-:10318000608C0000618C0000628C0000638C000089
-:10319000648C0000658C0000668C0000678C000069
-:1031A000688C0000698C00006A8C00006B8C000049
-:1031B0006C8C00006D8C00006E8C00006F8C000029
-:1031C000708C0000718C0000728C0000738C000009
-:1031D000748C0000758C0000768C0000778C0000E9
-:1031E000788C0000798C00007A8C00007B8C0000C9
-:1031F0007C8C00007D8C00007E8C00007F8C0000A9
-:10320000808C0000818C0000828C0000838C000088
-:10321000848C0000858C0000868C0000878C000068
-:10322000888C0000898C00008A8C00008B8C000048
-:103230008C8C00008D8C00008E8C00008F8C000028
-:10324000908C0000918C0000928C0000938C000008
-:10325000948C0000958C0000968C0000978C0000E8
-:10326000988C0000998C00009A8C00009B8C0000C8
-:103270009C8C00009D8C00009E8C00009F8C0000A8
-:10328000A08C0000A18C0000A28C0000A38C000088
-:10329000A48C0000A58C0000A68C0000A78C000068
-:1032A000A88C0000A98C0000AA8C0000AB8C000048
-:1032B000AC8C0000AD8C0000AE8C0000AF8C000028
-:1032C000B08C0000B18C0000B28C0000B38C000008
-:1032D000B48C0000B58C0000B68C0000B78C0000E8
-:1032E000B88C0000B98C0000BA8C0000BB8C0000C8
-:1032F000BC8C0000BD8C0000BE8C0000BF8C0000A8
-:10330000C08C0000C18C0000C28C0000C38C000087
-:10331000C48C0000C58C0000C68C0000C78C000067
-:10332000C88C0000C98C0000CA8C0000CB8C000047
-:10333000CC8C0000CD8C0000CE8C0000CF8C000027
-:10334000D08C0000D18C0000D28C0000D38C000007
-:10335000D48C0000D58C0000D68C0000D78C0000E7
-:10336000D88C0000D98C0000DA8C0000DB8C0000C7
-:10337000DC8C0000DD8C0000DE8C0000DF8C0000A7
-:10338000E08C0000E18C0000E28C0000E38C000087
-:10339000E48C0000E58C0000E68C0000E78C000067
-:1033A000E88C0000E98C0000EA8C0000EB8C000047
-:1033B000EC8C0000ED8C0000EE8C0000EF8C000027
-:1033C000F08C0000F18C0000F28C0000F38C000007
-:1033D000F48C0000F58C0000F68C0000F78C0000E7
-:1033E000F88C0000F98C0000FA8C0000FB8C0000C7
-:1033F000FC8C0000FD8C0000FE8C0000FF8C0000A7
-:10340000008D0000018D0000028D0000038D000082
-:10341000048D0000058D0000068D0000078D000062
-:10342000088D0000098D00000A8D00000B8D000042
-:103430000C8D00000D8D00000E8D00000F8D000022
-:10344000108D0000118D0000128D0000138D000002
-:10345000148D0000158D0000168D0000178D0000E2
-:10346000188D0000198D00001A8D00001B8D0000C2
-:103470001C8D00001D8D00001E8D00001F8D0000A2
-:10348000208D0000218D0000228D0000238D000082
-:10349000248D0000258D0000268D0000278D000062
-:1034A000288D0000298D00002A8D00002B8D000042
-:1034B0002C8D00002D8D00002E8D00002F8D000022
-:1034C000308D0000318D0000328D0000338D000002
-:1034D000348D0000358D0000368D0000378D0000E2
-:1034E000388D0000398D00003A8D00003B8D0000C2
-:1034F0003C8D00003D8D00003E8D00003F8D0000A2
-:10350000408D0000418D0000428D0000438D000081
-:10351000448D0000458D0000468D0000478D000061
-:10352000488D0000498D00004A8D00004B8D000041
-:103530004C8D00004D8D00004E8D00004F8D000021
-:10354000508D0000518D0000528D0000538D000001
-:10355000548D0000558D0000568D0000578D0000E1
-:10356000588D0000598D00005A8D00005B8D0000C1
-:103570005C8D00005D8D00005E8D00005F8D0000A1
-:10358000608D0000618D0000628D0000638D000081
-:10359000648D0000658D0000668D0000678D000061
-:1035A000688D0000698D00006A8D00006B8D000041
-:1035B0006C8D00006D8D00006E8D00006F8D000021
-:1035C000708D0000718D0000728D0000738D000001
-:1035D000748D0000758D0000768D0000778D0000E1
-:1035E000788D0000798D00007A8D00007B8D0000C1
-:1035F0007C8D00007D8D00007E8D00007F8D0000A1
-:10360000808D0000818D0000828D0000838D000080
-:10361000848D0000858D0000868D0000878D000060
-:10362000888D0000898D00008A8D00008B8D000040
-:103630008C8D00008D8D00008E8D00008F8D000020
-:10364000908D0000918D0000928D0000938D000000
-:10365000948D0000958D0000968D0000978D0000E0
-:10366000988D0000998D00009A8D00009B8D0000C0
-:103670009C8D00009D8D00009E8D00009F8D0000A0
-:10368000A08D0000A18D0000A28D0000A38D000080
-:10369000A48D0000A58D0000A68D0000A78D000060
-:1036A000A88D0000A98D0000AA8D0000AB8D000040
-:1036B000AC8D0000AD8D0000AE8D0000AF8D000020
-:1036C000B08D0000B18D0000B28D0000B38D000000
-:1036D000B48D0000B58D0000B68D0000B78D0000E0
-:1036E000B88D0000B98D0000BA8D0000BB8D0000C0
-:1036F000BC8D0000BD8D0000BE8D0000BF8D0000A0
-:10370000C08D0000C18D0000C28D0000C38D00007F
-:10371000C48D0000C58D0000C68D0000C78D00005F
-:10372000C88D0000C98D0000CA8D0000CB8D00003F
-:10373000CC8D0000CD8D0000CE8D0000CF8D00001F
-:10374000D08D0000D18D0000D28D0000D38D0000FF
-:10375000D48D0000D58D0000D68D0000D78D0000DF
-:10376000D88D0000D98D0000DA8D0000DB8D0000BF
-:10377000DC8D0000DD8D0000DE8D0000DF8D00009F
-:10378000E08D0000E18D0000E28D0000E38D00007F
-:10379000E48D0000E58D0000E68D0000E78D00005F
-:1037A000E88D0000E98D0000EA8D0000EB8D00003F
-:1037B000EC8D0000ED8D0000EE8D0000EF8D00001F
-:1037C000F08D0000F18D0000F28D0000F38D0000FF
-:1037D000F48D0000F58D0000F68D0000F78D0000DF
-:1037E000F88D0000F98D0000FA8D0000FB8D0000BF
-:1037F000FC8D0000FD8D0000FE8D0000FF8D00009F
-:10380000008E0000018E0000028E0000038E00007A
-:10381000048E0000058E0000068E0000078E00005A
-:10382000088E0000098E00000A8E00000B8E00003A
-:103830000C8E00000D8E00000E8E00000F8E00001A
-:10384000108E0000118E0000128E0000138E0000FA
-:10385000148E0000158E0000168E0000178E0000DA
-:10386000188E0000198E00001A8E00001B8E0000BA
-:103870001C8E00001D8E00001E8E00001F8E00009A
-:10388000208E0000218E0000228E0000238E00007A
-:10389000248E0000258E0000268E0000278E00005A
-:1038A000288E0000298E00002A8E00002B8E00003A
-:1038B0002C8E00002D8E00002E8E00002F8E00001A
-:1038C000308E0000318E0000328E0000338E0000FA
-:1038D000348E0000358E0000368E0000378E0000DA
-:1038E000388E0000398E00003A8E00003B8E0000BA
-:1038F0003C8E00003D8E00003E8E00003F8E00009A
-:10390000408E0000418E0000428E0000438E000079
-:10391000448E0000458E0000468E0000478E000059
-:10392000488E0000498E00004A8E00004B8E000039
-:103930004C8E00004D8E00004E8E00004F8E000019
-:10394000508E0000518E0000528E0000538E0000F9
-:10395000548E0000558E0000568E0000578E0000D9
-:10396000588E0000598E00005A8E00005B8E0000B9
-:103970005C8E00005D8E00005E8E00005F8E000099
-:10398000608E0000618E0000628E0000638E000079
-:10399000648E0000658E0000668E0000678E000059
-:1039A000688E0000698E00006A8E00006B8E000039
-:1039B0006C8E00006D8E00006E8E00006F8E000019
-:1039C000708E0000718E0000728E0000738E0000F9
-:1039D000748E0000758E0000768E0000778E0000D9
-:1039E000788E0000798E00007A8E00007B8E0000B9
-:1039F0007C8E00007D8E00007E8E00007F8E000099
-:103A0000808E0000818E0000828E0000838E000078
-:103A1000848E0000858E0000868E0000878E000058
-:103A2000888E0000898E00008A8E00008B8E000038
-:103A30008C8E00008D8E00008E8E00008F8E000018
-:103A4000908E0000918E0000928E0000938E0000F8
-:103A5000948E0000958E0000968E0000978E0000D8
-:103A6000988E0000998E00009A8E00009B8E0000B8
-:103A70009C8E00009D8E00009E8E00009F8E000098
-:103A8000A08E0000A18E0000A28E0000A38E000078
-:103A9000A48E0000A58E0000A68E0000A78E000058
-:103AA000A88E0000A98E0000AA8E0000AB8E000038
-:103AB000AC8E0000AD8E0000AE8E0000AF8E000018
-:103AC000B08E0000B18E0000B28E0000B38E0000F8
-:103AD000B48E0000B58E0000B68E0000B78E0000D8
-:103AE000B88E0000B98E0000BA8E0000BB8E0000B8
-:103AF000BC8E0000BD8E0000BE8E0000BF8E000098
-:103B0000C08E0000C18E0000C28E0000C38E000077
-:103B1000C48E0000C58E0000C68E0000C78E000057
-:103B2000C88E0000C98E0000CA8E0000CB8E000037
-:103B3000CC8E0000CD8E0000CE8E0000CF8E000017
-:103B4000D08E0000D18E0000D28E0000D38E0000F7
-:103B5000D48E0000D58E0000D68E0000D78E0000D7
-:103B6000D88E0000D98E0000DA8E0000DB8E0000B7
-:103B7000DC8E0000DD8E0000DE8E0000DF8E000097
-:103B8000E08E0000E18E0000E28E0000E38E000077
-:103B9000E48E0000E58E0000E68E0000E78E000057
-:103BA000E88E0000E98E0000EA8E0000EB8E000037
-:103BB000EC8E0000ED8E0000EE8E0000EF8E000017
-:103BC000F08E0000F18E0000F28E0000F38E0000F7
-:103BD000F48E0000F58E0000F68E0000F78E0000D7
-:103BE000F88E0000F98E0000FA8E0000FB8E0000B7
-:103BF000FC8E0000FD8E0000FE8E0000FF8E000097
-:103C0000008F0000018F0000028F0000038F000072
-:103C1000048F0000058F0000068F0000078F000052
-:103C2000088F0000098F00000A8F00000B8F000032
-:103C30000C8F00000D8F00000E8F00000F8F000012
-:103C4000108F0000118F0000128F0000138F0000F2
-:103C5000148F0000158F0000168F0000178F0000D2
-:103C6000188F0000198F00001A8F00001B8F0000B2
-:103C70001C8F00001D8F00001E8F00001F8F000092
-:103C8000208F0000218F0000228F0000238F000072
-:103C9000248F0000258F0000268F0000278F000052
-:103CA000288F0000298F00002A8F00002B8F000032
-:103CB0002C8F00002D8F00002E8F00002F8F000012
-:103CC000308F0000318F0000328F0000338F0000F2
-:103CD000348F0000358F0000368F0000378F0000D2
-:103CE000388F0000398F00003A8F00003B8F0000B2
-:103CF0003C8F00003D8F00003E8F00003F8F000092
-:103D0000408F0000418F0000428F0000438F000071
-:103D1000448F0000458F0000468F0000478F000051
-:103D2000488F0000498F00004A8F00004B8F000031
-:103D30004C8F00004D8F00004E8F00004F8F000011
-:103D4000508F0000518F0000528F0000538F0000F1
-:103D5000548F0000558F0000568F0000578F0000D1
-:103D6000588F0000598F00005A8F00005B8F0000B1
-:103D70005C8F00005D8F00005E8F00005F8F000091
-:103D8000608F0000618F0000628F0000638F000071
-:103D9000648F0000658F0000668F0000678F000051
-:103DA000688F0000698F00006A8F00006B8F000031
-:103DB0006C8F00006D8F00006E8F00006F8F000011
-:103DC000708F0000718F0000728F0000738F0000F1
-:103DD000748F0000758F0000768F0000778F0000D1
-:103DE000788F0000798F00007A8F00007B8F0000B1
-:103DF0007C8F00007D8F00007E8F00007F8F000091
-:103E0000808F0000818F0000828F0000838F000070
-:103E1000848F0000858F0000868F0000878F000050
-:103E2000888F0000898F00008A8F00008B8F000030
-:103E30008C8F00008D8F00008E8F00008F8F000010
-:103E4000908F0000918F0000928F0000938F0000F0
-:103E5000948F0000958F0000968F0000978F0000D0
-:103E6000988F0000998F00009A8F00009B8F0000B0
-:103E70009C8F00009D8F00009E8F00009F8F000090
-:103E8000A08F0000A18F0000A28F0000A38F000070
-:103E9000A48F0000A58F0000A68F0000A78F000050
-:103EA000A88F0000A98F0000AA8F0000AB8F000030
-:103EB000AC8F0000AD8F0000AE8F0000AF8F000010
-:103EC000B08F0000B18F0000B28F0000B38F0000F0
-:103ED000B48F0000B58F0000B68F0000B78F0000D0
-:103EE000B88F0000B98F0000BA8F0000BB8F0000B0
-:103EF000BC8F0000BD8F0000BE8F0000BF8F000090
-:103F0000C08F0000C18F0000C28F0000C38F00006F
-:103F1000C48F0000C58F0000C68F0000C78F00004F
-:103F2000C88F0000C98F0000CA8F0000CB8F00002F
-:103F3000CC8F0000CD8F0000CE8F0000CF8F00000F
-:103F4000D08F0000D18F0000D28F0000D38F0000EF
-:103F5000D48F0000D58F0000D68F0000D78F0000CF
-:103F6000D88F0000D98F0000DA8F0000DB8F0000AF
-:103F7000DC8F0000DD8F0000DE8F0000DF8F00008F
-:103F8000E08F0000E18F0000E28F0000E38F00006F
-:103F9000E48F0000E58F0000E68F0000E78F00004F
-:103FA000E88F0000E98F0000EA8F0000EB8F00002F
-:103FB000EC8F0000ED8F0000EE8F0000EF8F00000F
-:103FC000F08F0000F18F0000F28F0000F38F0000EF
-:103FD000F48F0000F58F0000F68F0000F78F0000CF
-:103FE000F88F0000F98F0000FA8F0000FB8F0000AF
-:103FF000FC8F0000FD8F0000FE8F0000FF8F00008F
-:10400000009000000190000002900000039000006A
-:10401000049000000590000006900000079000004A
-:1040200008900000099000000A9000000B9000002A
-:104030000C9000000D9000000E9000000F9000000A
-:1040400010900000119000001290000013900000EA
-:1040500014900000159000001690000017900000CA
-:1040600018900000199000001A9000001B900000AA
-:104070001C9000001D9000001E9000001F9000008A
-:10408000209000002190000022900000239000006A
-:10409000249000002590000026900000279000004A
-:1040A00028900000299000002A9000002B9000002A
-:1040B0002C9000002D9000002E9000002F9000000A
-:1040C00030900000319000003290000033900000EA
-:1040D00034900000359000003690000037900000CA
-:1040E00038900000399000003A9000003B900000AA
-:1040F0003C9000003D9000003E9000003F9000008A
-:104100004090000041900000429000004390000069
-:104110004490000045900000469000004790000049
-:1041200048900000499000004A9000004B90000029
-:104130004C9000004D9000004E9000004F90000009
-:1041400050900000519000005290000053900000E9
-:1041500054900000559000005690000057900000C9
-:1041600058900000599000005A9000005B900000A9
-:104170005C9000005D9000005E9000005F90000089
-:104180006090000061900000629000006390000069
-:104190006490000065900000669000006790000049
-:1041A00068900000699000006A9000006B90000029
-:1041B0006C9000006D9000006E9000006F90000009
-:1041C00070900000719000007290000073900000E9
-:1041D00074900000759000007690000077900000C9
-:1041E00078900000799000007A9000007B900000A9
-:1041F0007C9000007D9000007E9000007F90000089
-:104200008090000081900000829000008390000068
-:104210008490000085900000869000008790000048
-:1042200088900000899000008A9000008B90000028
-:104230008C9000008D9000008E9000008F90000008
-:1042400090900000919000009290000093900000E8
-:1042500094900000959000009690000097900000C8
-:1042600098900000999000009A9000009B900000A8
-:104270009C9000009D9000009E9000009F90000088
-:10428000A0900000A1900000A2900000A390000068
-:10429000A4900000A5900000A6900000A790000048
-:1042A000A8900000A9900000AA900000AB90000028
-:1042B000AC900000AD900000AE900000AF90000008
-:1042C000B0900000B1900000B2900000B3900000E8
-:1042D000B4900000B5900000B6900000B7900000C8
-:1042E000B8900000B9900000BA900000BB900000A8
-:1042F000BC900000BD900000BE900000BF90000088
-:10430000C0900000C1900000C2900000C390000067
-:10431000C4900000C5900000C6900000C790000047
-:10432000C8900000C9900000CA900000CB90000027
-:10433000CC900000CD900000CE900000CF90000007
-:10434000D0900000D1900000D2900000D3900000E7
-:10435000D4900000D5900000D6900000D7900000C7
-:10436000D8900000D9900000DA900000DB900000A7
-:10437000DC900000DD900000DE900000DF90000087
-:10438000E0900000E1900000E2900000E390000067
-:10439000E4900000E5900000E6900000E790000047
-:1043A000E8900000E9900000EA900000EB90000027
-:1043B000EC900000ED900000EE900000EF90000007
-:1043C000F0900000F1900000F2900000F3900000E7
-:1043D000F4900000F5900000F6900000F7900000C7
-:1043E000F8900000F9900000FA900000FB900000A7
-:1043F000FC900000FD900000FE900000FF90000087
-:104400000091000001910000029100000391000062
-:104410000491000005910000069100000791000042
-:1044200008910000099100000A9100000B91000022
-:104430000C9100000D9100000E9100000F91000002
-:1044400010910000119100001291000013910000E2
-:1044500014910000159100001691000017910000C2
-:1044600018910000199100001A9100001B910000A2
-:104470001C9100001D9100001E9100001F91000082
-:104480002091000021910000229100002391000062
-:104490002491000025910000269100002791000042
-:1044A00028910000299100002A9100002B91000022
-:1044B0002C9100002D9100002E9100002F91000002
-:1044C00030910000319100003291000033910000E2
-:1044D00034910000359100003691000037910000C2
-:1044E00038910000399100003A9100003B910000A2
-:1044F0003C9100003D9100003E9100003F91000082
-:104500004091000041910000429100004391000061
-:104510004491000045910000469100004791000041
-:1045200048910000499100004A9100004B91000021
-:104530004C9100004D9100004E9100004F91000001
-:1045400050910000519100005291000053910000E1
-:1045500054910000559100005691000057910000C1
-:1045600058910000599100005A9100005B910000A1
-:104570005C9100005D9100005E9100005F91000081
-:104580006091000061910000629100006391000061
-:104590006491000065910000669100006791000041
-:1045A00068910000699100006A9100006B91000021
-:1045B0006C9100006D9100006E9100006F91000001
-:1045C00070910000719100007291000073910000E1
-:1045D00074910000759100007691000077910000C1
-:1045E00078910000799100007A9100007B910000A1
-:1045F0007C9100007D9100007E9100007F91000081
-:104600008091000081910000829100008391000060
-:104610008491000085910000869100008791000040
-:1046200088910000899100008A9100008B91000020
-:104630008C9100008D9100008E9100008F91000000
-:1046400090910000919100009291000093910000E0
-:1046500094910000959100009691000097910000C0
-:1046600098910000999100009A9100009B910000A0
-:104670009C9100009D9100009E9100009F91000080
-:10468000A0910000A1910000A2910000A391000060
-:10469000A4910000A5910000A6910000A791000040
-:1046A000A8910000A9910000AA910000AB91000020
-:1046B000AC910000AD910000AE910000AF91000000
-:1046C000B0910000B1910000B2910000B3910000E0
-:1046D000B4910000B5910000B6910000B7910000C0
-:1046E000B8910000B9910000BA910000BB910000A0
-:1046F000BC910000BD910000BE910000BF91000080
-:10470000C0910000C1910000C2910000C39100005F
-:10471000C4910000C5910000C6910000C79100003F
-:10472000C8910000C9910000CA910000CB9100001F
-:10473000CC910000CD910000CE910000CF910000FF
-:10474000D0910000D1910000D2910000D3910000DF
-:10475000D4910000D5910000D6910000D7910000BF
-:10476000D8910000D9910000DA910000DB9100009F
-:10477000DC910000DD910000DE910000DF9100007F
-:10478000E0910000E1910000E2910000E39100005F
-:10479000E4910000E5910000E6910000E79100003F
-:1047A000E8910000E9910000EA910000EB9100001F
-:1047B000EC910000ED910000EE910000EF910000FF
-:1047C000F0910000F1910000F2910000F3910000DF
-:1047D000F4910000F5910000F6910000F7910000BF
-:1047E000F8910000F9910000FA910000FB9100009F
-:1047F000FC910000FD910000FE910000FF9100007F
-:10480000009200000192000002920000039200005A
-:10481000049200000592000006920000079200003A
-:1048200008920000099200000A9200000B9200001A
-:104830000C9200000D9200000E9200000F920000FA
-:1048400010920000119200001292000013920000DA
-:1048500014920000159200001692000017920000BA
-:1048600018920000199200001A9200001B9200009A
-:104870001C9200001D9200001E9200001F9200007A
-:10488000209200002192000022920000239200005A
-:10489000249200002592000026920000279200003A
-:1048A00028920000299200002A9200002B9200001A
-:1048B0002C9200002D9200002E9200002F920000FA
-:1048C00030920000319200003292000033920000DA
-:1048D00034920000359200003692000037920000BA
-:1048E00038920000399200003A9200003B9200009A
-:1048F0003C9200003D9200003E9200003F9200007A
-:104900004092000041920000429200004392000059
-:104910004492000045920000469200004792000039
-:1049200048920000499200004A9200004B92000019
-:104930004C9200004D9200004E9200004F920000F9
-:1049400050920000519200005292000053920000D9
-:1049500054920000559200005692000057920000B9
-:1049600058920000599200005A9200005B92000099
-:104970005C9200005D9200005E9200005F92000079
-:104980006092000061920000629200006392000059
-:104990006492000065920000669200006792000039
-:1049A00068920000699200006A9200006B92000019
-:1049B0006C9200006D9200006E9200006F920000F9
-:1049C00070920000719200007292000073920000D9
-:1049D00074920000759200007692000077920000B9
-:1049E00078920000799200007A9200007B92000099
-:1049F0007C9200007D9200007E9200007F92000079
-:104A00008092000081920000829200008392000058
-:104A10008492000085920000869200008792000038
-:104A200088920000899200008A9200008B92000018
-:104A30008C9200008D9200008E9200008F920000F8
-:104A400090920000919200009292000093920000D8
-:104A500094920000959200009692000097920000B8
-:104A600098920000999200009A9200009B92000098
-:104A70009C9200009D9200009E9200009F92000078
-:104A8000A0920000A1920000A2920000A392000058
-:104A9000A4920000A5920000A6920000A792000038
-:104AA000A8920000A9920000AA920000AB92000018
-:104AB000AC920000AD920000AE920000AF920000F8
-:104AC000B0920000B1920000B2920000B3920000D8
-:104AD000B4920000B5920000B6920000B7920000B8
-:104AE000B8920000B9920000BA920000BB92000098
-:104AF000BC920000BD920000BE920000BF92000078
-:104B0000C0920000C1920000C2920000C392000057
-:104B1000C4920000C5920000C6920000C792000037
-:104B2000C8920000C9920000CA920000CB92000017
-:104B3000CC920000CD920000CE920000CF920000F7
-:104B4000D0920000D1920000D2920000D3920000D7
-:104B5000D4920000D5920000D6920000D7920000B7
-:104B6000D8920000D9920000DA920000DB92000097
-:104B7000DC920000DD920000DE920000DF92000077
-:104B8000E0920000E1920000E2920000E392000057
-:104B9000E4920000E5920000E6920000E792000037
-:104BA000E8920000E9920000EA920000EB92000017
-:104BB000EC920000ED920000EE920000EF920000F7
-:104BC000F0920000F1920000F2920000F3920000D7
-:104BD000F4920000F5920000F6920000F7920000B7
-:104BE000F8920000F9920000FA920000FB92000097
-:104BF000FC920000FD920000FE920000FF92000077
-:104C00000093000001930000029300000393000052
-:104C10000493000005930000069300000793000032
-:104C200008930000099300000A9300000B93000012
-:104C30000C9300000D9300000E9300000F930000F2
-:104C400010930000119300001293000013930000D2
-:104C500014930000159300001693000017930000B2
-:104C600018930000199300001A9300001B93000092
-:104C70001C9300001D9300001E9300001F93000072
-:104C80002093000021930000229300002393000052
-:104C90002493000025930000269300002793000032
-:104CA00028930000299300002A9300002B93000012
-:104CB0002C9300002D9300002E9300002F930000F2
-:104CC00030930000319300003293000033930000D2
-:104CD00034930000359300003693000037930000B2
-:104CE00038930000399300003A9300003B93000092
-:104CF0003C9300003D9300003E9300003F93000072
-:104D00004093000041930000429300004393000051
-:104D10004493000045930000469300004793000031
-:104D200048930000499300004A9300004B93000011
-:104D30004C9300004D9300004E9300004F930000F1
-:104D400050930000519300005293000053930000D1
-:104D500054930000559300005693000057930000B1
-:104D600058930000599300005A9300005B93000091
-:104D70005C9300005D9300005E9300005F93000071
-:104D80006093000061930000629300006393000051
-:104D90006493000065930000669300006793000031
-:104DA00068930000699300006A9300006B93000011
-:104DB0006C9300006D9300006E9300006F930000F1
-:104DC00070930000719300007293000073930000D1
-:104DD00074930000759300007693000077930000B1
-:104DE00078930000799300007A9300007B93000091
-:104DF0007C9300007D9300007E9300007F93000071
-:104E00008093000081930000829300008393000050
-:104E10008493000085930000869300008793000030
-:104E200088930000899300008A9300008B93000010
-:104E30008C9300008D9300008E9300008F930000F0
-:104E400090930000919300009293000093930000D0
-:104E500094930000959300009693000097930000B0
-:104E600098930000999300009A9300009B93000090
-:104E70009C9300009D9300009E9300009F93000070
-:104E8000A0930000A1930000A2930000A393000050
-:104E9000A4930000A5930000A6930000A793000030
-:104EA000A8930000A9930000AA930000AB93000010
-:104EB000AC930000AD930000AE930000AF930000F0
-:104EC000B0930000B1930000B2930000B3930000D0
-:104ED000B4930000B5930000B6930000B7930000B0
-:104EE000B8930000B9930000BA930000BB93000090
-:104EF000BC930000BD930000BE930000BF93000070
-:104F0000C0930000C1930000C2930000C39300004F
-:104F1000C4930000C5930000C6930000C79300002F
-:104F2000C8930000C9930000CA930000CB9300000F
-:104F3000CC930000CD930000CE930000CF930000EF
-:104F4000D0930000D1930000D2930000D3930000CF
-:104F5000D4930000D5930000D6930000D7930000AF
-:104F6000D8930000D9930000DA930000DB9300008F
-:104F7000DC930000DD930000DE930000DF9300006F
-:104F8000E0930000E1930000E2930000E39300004F
-:104F9000E4930000E5930000E6930000E79300002F
-:104FA000E8930000E9930000EA930000EB9300000F
-:104FB000EC930000ED930000EE930000EF930000EF
-:104FC000F0930000F1930000F2930000F3930000CF
-:104FD000F4930000F5930000F6930000F7930000AF
-:104FE000F8930000F9930000FA930000FB9300008F
-:104FF000FC930000FD930000FE930000FF9300006F
-:10500000009400000194000002940000039400004A
-:10501000049400000594000006940000079400002A
-:1050200008940000099400000A9400000B9400000A
-:105030000C9400000D9400000E9400000F940000EA
-:1050400010940000119400001294000013940000CA
-:1050500014940000159400001694000017940000AA
-:1050600018940000199400001A9400001B9400008A
-:105070001C9400001D9400001E9400001F9400006A
-:10508000209400002194000022940000239400004A
-:10509000249400002594000026940000279400002A
-:1050A00028940000299400002A9400002B9400000A
-:1050B0002C9400002D9400002E9400002F940000EA
-:1050C00030940000319400003294000033940000CA
-:1050D00034940000359400003694000037940000AA
-:1050E00038940000399400003A9400003B9400008A
-:1050F0003C9400003D9400003E9400003F9400006A
-:105100004094000041940000429400004394000049
-:105110004494000045940000469400004794000029
-:1051200048940000499400004A9400004B94000009
-:105130004C9400004D9400004E9400004F940000E9
-:1051400050940000519400005294000053940000C9
-:1051500054940000559400005694000057940000A9
-:1051600058940000599400005A9400005B94000089
-:105170005C9400005D9400005E9400005F94000069
-:105180006094000061940000629400006394000049
-:105190006494000065940000669400006794000029
-:1051A00068940000699400006A9400006B94000009
-:1051B0006C9400006D9400006E9400006F940000E9
-:1051C00070940000719400007294000073940000C9
-:1051D00074940000759400007694000077940000A9
-:1051E00078940000799400007A9400007B94000089
-:1051F0007C9400007D9400007E9400007F94000069
-:105200008094000081940000829400008394000048
-:105210008494000085940000869400008794000028
-:1052200088940000899400008A9400008B94000008
-:105230008C9400008D9400008E9400008F940000E8
-:1052400090940000919400009294000093940000C8
-:1052500094940000959400009694000097940000A8
-:1052600098940000999400009A9400009B94000088
-:105270009C9400009D9400009E9400009F94000068
-:10528000A0940000A1940000A2940000A394000048
-:10529000A4940000A5940000A6940000A794000028
-:1052A000A8940000A9940000AA940000AB94000008
-:1052B000AC940000AD940000AE940000AF940000E8
-:1052C000B0940000B1940000B2940000B3940000C8
-:1052D000B4940000B5940000B6940000B7940000A8
-:1052E000B8940000B9940000BA940000BB94000088
-:1052F000BC940000BD940000BE940000BF94000068
-:10530000C0940000C1940000C2940000C394000047
-:10531000C4940000C5940000C6940000C794000027
-:10532000C8940000C9940000CA940000CB94000007
-:10533000CC940000CD940000CE940000CF940000E7
-:10534000D0940000D1940000D2940000D3940000C7
-:10535000D4940000D5940000D6940000D7940000A7
-:10536000D8940000D9940000DA940000DB94000087
-:10537000DC940000DD940000DE940000DF94000067
-:10538000E0940000E1940000E2940000E394000047
-:10539000E4940000E5940000E6940000E794000027
-:1053A000E8940000E9940000EA940000EB94000007
-:1053B000EC940000ED940000EE940000EF940000E7
-:1053C000F0940000F1940000F2940000F3940000C7
-:1053D000F4940000F5940000F6940000F7940000A7
-:1053E000F8940000F9940000FA940000FB94000087
-:1053F000FC940000FD940000FE940000FF94000067
-:105400000095000001950000029500000395000042
-:105410000495000005950000069500000795000022
-:1054200008950000099500000A9500000B95000002
-:105430000C9500000D9500000E9500000F950000E2
-:1054400010950000119500001295000013950000C2
-:1054500014950000159500001695000017950000A2
-:1054600018950000199500001A9500001B95000082
-:105470001C9500001D9500001E9500001F95000062
-:105480002095000021950000229500002395000042
-:105490002495000025950000269500002795000022
-:1054A00028950000299500002A9500002B95000002
-:1054B0002C9500002D9500002E9500002F950000E2
-:1054C00030950000319500003295000033950000C2
-:1054D00034950000359500003695000037950000A2
-:1054E00038950000399500003A9500003B95000082
-:1054F0003C9500003D9500003E9500003F95000062
-:105500004095000041950000429500004395000041
-:105510004495000045950000469500004795000021
-:1055200048950000499500004A9500004B95000001
-:105530004C9500004D9500004E9500004F950000E1
-:1055400050950000519500005295000053950000C1
-:1055500054950000559500005695000057950000A1
-:1055600058950000599500005A9500005B95000081
-:105570005C9500005D9500005E9500005F95000061
-:105580006095000061950000629500006395000041
-:105590006495000065950000669500006795000021
-:1055A00068950000699500006A9500006B95000001
-:1055B0006C9500006D9500006E9500006F950000E1
-:1055C00070950000719500007295000073950000C1
-:1055D00074950000759500007695000077950000A1
-:1055E00078950000799500007A9500007B95000081
-:1055F0007C9500007D9500007E9500007F95000061
-:105600008095000081950000829500008395000040
-:105610008495000085950000869500008795000020
-:1056200088950000899500008A9500008B95000000
-:105630008C9500008D9500008E9500008F950000E0
-:1056400090950000919500009295000093950000C0
-:1056500094950000959500009695000097950000A0
-:1056600098950000999500009A9500009B95000080
-:105670009C9500009D9500009E9500009F95000060
-:10568000A0950000A1950000A2950000A395000040
-:10569000A4950000A5950000A6950000A795000020
-:1056A000A8950000A9950000AA950000AB95000000
-:1056B000AC950000AD950000AE950000AF950000E0
-:1056C000B0950000B1950000B2950000B3950000C0
-:1056D000B4950000B5950000B6950000B7950000A0
-:1056E000B8950000B9950000BA950000BB95000080
-:1056F000BC950000BD950000BE950000BF95000060
-:10570000C0950000C1950000C2950000C39500003F
-:10571000C4950000C5950000C6950000C79500001F
-:10572000C8950000C9950000CA950000CB950000FF
-:10573000CC950000CD950000CE950000CF950000DF
-:10574000D0950000D1950000D2950000D3950000BF
-:10575000D4950000D5950000D6950000D79500009F
-:10576000D8950000D9950000DA950000DB9500007F
-:10577000DC950000DD950000DE950000DF9500005F
-:10578000E0950000E1950000E2950000E39500003F
-:10579000E4950000E5950000E6950000E79500001F
-:1057A000E8950000E9950000EA950000EB950000FF
-:1057B000EC950000ED950000EE950000EF950000DF
-:1057C000F0950000F1950000F2950000F3950000BF
-:1057D000F4950000F5950000F6950000F79500009F
-:1057E000F8950000F9950000FA950000FB9500007F
-:1057F000FC950000FD950000FE950000FF9500005F
-:10580000009600000196000002960000039600003A
-:10581000049600000596000006960000079600001A
-:1058200008960000099600000A9600000B960000FA
-:105830000C9600000D9600000E9600000F960000DA
-:1058400010960000119600001296000013960000BA
-:10585000149600001596000016960000179600009A
-:1058600018960000199600001A9600001B9600007A
-:105870001C9600001D9600001E9600001F9600005A
-:10588000209600002196000022960000239600003A
-:10589000249600002596000026960000279600001A
-:1058A00028960000299600002A9600002B960000FA
-:1058B0002C9600002D9600002E9600002F960000DA
-:1058C00030960000319600003296000033960000BA
-:1058D000349600003596000036960000379600009A
-:1058E00038960000399600003A9600003B9600007A
-:1058F0003C9600003D9600003E9600003F9600005A
-:105900004096000041960000429600004396000039
-:105910004496000045960000469600004796000019
-:1059200048960000499600004A9600004B960000F9
-:105930004C9600004D9600004E9600004F960000D9
-:1059400050960000519600005296000053960000B9
-:105950005496000055960000569600005796000099
-:1059600058960000599600005A9600005B96000079
-:105970005C9600005D9600005E9600005F96000059
-:105980006096000061960000629600006396000039
-:105990006496000065960000669600006796000019
-:1059A00068960000699600006A9600006B960000F9
-:1059B0006C9600006D9600006E9600006F960000D9
-:1059C00070960000719600007296000073960000B9
-:1059D0007496000075960000769600007796000099
-:1059E00078960000799600007A9600007B96000079
-:1059F0007C9600007D9600007E9600007F96000059
-:105A00008096000081960000829600008396000038
-:105A10008496000085960000869600008796000018
-:105A200088960000899600008A9600008B960000F8
-:105A30008C9600008D9600008E9600008F960000D8
-:105A400090960000919600009296000093960000B8
-:105A50009496000095960000969600009796000098
-:105A600098960000999600009A9600009B96000078
-:105A70009C9600009D9600009E9600009F96000058
-:105A8000A0960000A1960000A2960000A396000038
-:105A9000A4960000A5960000A6960000A796000018
-:105AA000A8960000A9960000AA960000AB960000F8
-:105AB000AC960000AD960000AE960000AF960000D8
-:105AC000B0960000B1960000B2960000B3960000B8
-:105AD000B4960000B5960000B6960000B796000098
-:105AE000B8960000B9960000BA960000BB96000078
-:105AF000BC960000BD960000BE960000BF96000058
-:105B0000C0960000C1960000C2960000C396000037
-:105B1000C4960000C5960000C6960000C796000017
-:105B2000C8960000C9960000CA960000CB960000F7
-:105B3000CC960000CD960000CE960000CF960000D7
-:105B4000D0960000D1960000D2960000D3960000B7
-:105B5000D4960000D5960000D6960000D796000097
-:105B6000D8960000D9960000DA960000DB96000077
-:105B7000DC960000DD960000DE960000DF96000057
-:105B8000E0960000E1960000E2960000E396000037
-:105B9000E4960000E5960000E6960000E796000017
-:105BA000E8960000E9960000EA960000EB960000F7
-:105BB000EC960000ED960000EE960000EF960000D7
-:105BC000F0960000F1960000F2960000F3960000B7
-:105BD000F4960000F5960000F6960000F796000097
-:105BE000F8960000F9960000FA960000FB96000077
-:105BF000FC960000FD960000FE960000FF96000057
-:105C00000097000001970000029700000397000032
-:105C10000497000005970000069700000797000012
-:105C200008970000099700000A9700000B970000F2
-:105C30000C9700000D9700000E9700000F970000D2
-:105C400010970000119700001297000013970000B2
-:105C50001497000015970000169700001797000092
-:105C600018970000199700001A9700001B97000072
-:105C70001C9700001D9700001E9700001F97000052
-:105C80002097000021970000229700002397000032
-:105C90002497000025970000269700002797000012
-:105CA00028970000299700002A9700002B970000F2
-:105CB0002C9700002D9700002E9700002F970000D2
-:105CC00030970000319700003297000033970000B2
-:105CD0003497000035970000369700003797000092
-:105CE00038970000399700003A9700003B97000072
-:105CF0003C9700003D9700003E9700003F97000052
-:105D00004097000041970000429700004397000031
-:105D10004497000045970000469700004797000011
-:105D200048970000499700004A9700004B970000F1
-:105D30004C9700004D9700004E9700004F970000D1
-:105D400050970000519700005297000053970000B1
-:105D50005497000055970000569700005797000091
-:105D600058970000599700005A9700005B97000071
-:105D70005C9700005D9700005E9700005F97000051
-:105D80006097000061970000629700006397000031
-:105D90006497000065970000669700006797000011
-:105DA00068970000699700006A9700006B970000F1
-:105DB0006C9700006D9700006E9700006F970000D1
-:105DC00070970000719700007297000073970000B1
-:105DD0007497000075970000769700007797000091
-:105DE00078970000799700007A9700007B97000071
-:105DF0007C9700007D9700007E9700007F97000051
-:105E00008097000081970000829700008397000030
-:105E10008497000085970000869700008797000010
-:105E200088970000899700008A9700008B970000F0
-:105E30008C9700008D9700008E9700008F970000D0
-:105E400090970000919700009297000093970000B0
-:105E50009497000095970000969700009797000090
-:105E600098970000999700009A9700009B97000070
-:105E70009C9700009D9700009E9700009F97000050
-:105E8000A0970000A1970000A2970000A397000030
-:105E9000A4970000A5970000A6970000A797000010
-:105EA000A8970000A9970000AA970000AB970000F0
-:105EB000AC970000AD970000AE970000AF970000D0
-:105EC000B0970000B1970000B2970000B3970000B0
-:105ED000B4970000B5970000B6970000B797000090
-:105EE000B8970000B9970000BA970000BB97000070
-:105EF000BC970000BD970000BE970000BF97000050
-:105F0000C0970000C1970000C2970000C39700002F
-:105F1000C4970000C5970000C6970000C79700000F
-:105F2000C8970000C9970000CA970000CB970000EF
-:105F3000CC970000CD970000CE970000CF970000CF
-:105F4000D0970000D1970000D2970000D3970000AF
-:105F5000D4970000D5970000D6970000D79700008F
-:105F6000D8970000D9970000DA970000DB9700006F
-:105F7000DC970000DD970000DE970000DF9700004F
-:105F8000E0970000E1970000E2970000E39700002F
-:105F9000E4970000E5970000E6970000E79700000F
-:105FA000E8970000E9970000EA970000EB970000EF
-:105FB000EC970000ED970000EE970000EF970000CF
-:105FC000F0970000F1970000F2970000F3970000AF
-:105FD000F4970000F5970000F6970000F79700008F
-:105FE000F8970000F9970000FA970000FB9700006F
-:105FF000FC970000FD970000FE970000FF9700004F
-:10600000009800000198000002980000039800002A
-:10601000049800000598000006980000079800000A
-:1060200008980000099800000A9800000B980000EA
-:106030000C9800000D9800000E9800000F980000CA
-:1060400010980000119800001298000013980000AA
-:10605000149800001598000016980000179800008A
-:1060600018980000199800001A9800001B9800006A
-:106070001C9800001D9800001E9800001F9800004A
-:10608000209800002198000022980000239800002A
-:10609000249800002598000026980000279800000A
-:1060A00028980000299800002A9800002B980000EA
-:1060B0002C9800002D9800002E9800002F980000CA
-:1060C00030980000319800003298000033980000AA
-:1060D000349800003598000036980000379800008A
-:1060E00038980000399800003A9800003B9800006A
-:1060F0003C9800003D9800003E9800003F9800004A
-:106100004098000041980000429800004398000029
-:106110004498000045980000469800004798000009
-:1061200048980000499800004A9800004B980000E9
-:106130004C9800004D9800004E9800004F980000C9
-:1061400050980000519800005298000053980000A9
-:106150005498000055980000569800005798000089
-:1061600058980000599800005A9800005B98000069
-:106170005C9800005D9800005E9800005F98000049
-:106180006098000061980000629800006398000029
-:106190006498000065980000669800006798000009
-:1061A00068980000699800006A9800006B980000E9
-:1061B0006C9800006D9800006E9800006F980000C9
-:1061C00070980000719800007298000073980000A9
-:1061D0007498000075980000769800007798000089
-:1061E00078980000799800007A9800007B98000069
-:1061F0007C9800007D9800007E9800007F98000049
-:106200008098000081980000829800008398000028
-:106210008498000085980000869800008798000008
-:1062200088980000899800008A9800008B980000E8
-:106230008C9800008D9800008E9800008F980000C8
-:1062400090980000919800009298000093980000A8
-:106250009498000095980000969800009798000088
-:1062600098980000999800009A9800009B98000068
-:106270009C9800009D9800009E9800009F98000048
-:10628000A0980000A1980000A2980000A398000028
-:10629000A4980000A5980000A6980000A798000008
-:1062A000A8980000A9980000AA980000AB980000E8
-:1062B000AC980000AD980000AE980000AF980000C8
-:1062C000B0980000B1980000B2980000B3980000A8
-:1062D000B4980000B5980000B6980000B798000088
-:1062E000B8980000B9980000BA980000BB98000068
-:1062F000BC980000BD980000BE980000BF98000048
-:10630000C0980000C1980000C2980000C398000027
-:10631000C4980000C5980000C6980000C798000007
-:10632000C8980000C9980000CA980000CB980000E7
-:10633000CC980000CD980000CE980000CF980000C7
-:10634000D0980000D1980000D2980000D3980000A7
-:10635000D4980000D5980000D6980000D798000087
-:10636000D8980000D9980000DA980000DB98000067
-:10637000DC980000DD980000DE980000DF98000047
-:10638000E0980000E1980000E2980000E398000027
-:10639000E4980000E5980000E6980000E798000007
-:1063A000E8980000E9980000EA980000EB980000E7
-:1063B000EC980000ED980000EE980000EF980000C7
-:1063C000F0980000F1980000F2980000F3980000A7
-:1063D000F4980000F5980000F6980000F798000087
-:1063E000F8980000F9980000FA980000FB98000067
-:1063F000FC980000FD980000FE980000FF98000047
-:106400000099000001990000029900000399000022
-:106410000499000005990000069900000799000002
-:1064200008990000099900000A9900000B990000E2
-:106430000C9900000D9900000E9900000F990000C2
-:1064400010990000119900001299000013990000A2
-:106450001499000015990000169900001799000082
-:1064600018990000199900001A9900001B99000062
-:106470001C9900001D9900001E9900001F99000042
-:106480002099000021990000229900002399000022
-:106490002499000025990000269900002799000002
-:1064A00028990000299900002A9900002B990000E2
-:1064B0002C9900002D9900002E9900002F990000C2
-:1064C00030990000319900003299000033990000A2
-:1064D0003499000035990000369900003799000082
-:1064E00038990000399900003A9900003B99000062
-:1064F0003C9900003D9900003E9900003F99000042
-:106500004099000041990000429900004399000021
-:106510004499000045990000469900004799000001
-:1065200048990000499900004A9900004B990000E1
-:106530004C9900004D9900004E9900004F990000C1
-:1065400050990000519900005299000053990000A1
-:106550005499000055990000569900005799000081
-:1065600058990000599900005A9900005B99000061
-:106570005C9900005D9900005E9900005F99000041
-:106580006099000061990000629900006399000021
-:106590006499000065990000669900006799000001
-:1065A00068990000699900006A9900006B990000E1
-:1065B0006C9900006D9900006E9900006F990000C1
-:1065C00070990000719900007299000073990000A1
-:1065D0007499000075990000769900007799000081
-:1065E00078990000799900007A9900007B99000061
-:1065F0007C9900007D9900007E9900007F99000041
-:106600008099000081990000829900008399000020
-:106610008499000085990000869900008799000000
-:1066200088990000899900008A9900008B990000E0
-:106630008C9900008D9900008E9900008F990000C0
-:1066400090990000919900009299000093990000A0
-:106650009499000095990000969900009799000080
-:1066600098990000999900009A9900009B99000060
-:106670009C9900009D9900009E9900009F99000040
-:10668000A0990000A1990000A2990000A399000020
-:10669000A4990000A5990000A6990000A799000000
-:1066A000A8990000A9990000AA990000AB990000E0
-:1066B000AC990000AD990000AE990000AF990000C0
-:1066C000B0990000B1990000B2990000B3990000A0
-:1066D000B4990000B5990000B6990000B799000080
-:1066E000B8990000B9990000BA990000BB99000060
-:1066F000BC990000BD990000BE990000BF99000040
-:10670000C0990000C1990000C2990000C39900001F
-:10671000C4990000C5990000C6990000C7990000FF
-:10672000C8990000C9990000CA990000CB990000DF
-:10673000CC990000CD990000CE990000CF990000BF
-:10674000D0990000D1990000D2990000D39900009F
-:10675000D4990000D5990000D6990000D79900007F
-:10676000D8990000D9990000DA990000DB9900005F
-:10677000DC990000DD990000DE990000DF9900003F
-:10678000E0990000E1990000E2990000E39900001F
-:10679000E4990000E5990000E6990000E7990000FF
-:1067A000E8990000E9990000EA990000EB990000DF
-:1067B000EC990000ED990000EE990000EF990000BF
-:1067C000F0990000F1990000F2990000F39900009F
-:1067D000F4990000F5990000F6990000F79900007F
-:1067E000F8990000F9990000FA990000FB9900005F
-:1067F000FC990000FD990000FE990000FF9900003F
-:10680000009A0000019A0000029A0000039A00001A
-:10681000049A0000059A0000069A0000079A0000FA
-:10682000089A0000099A00000A9A00000B9A0000DA
-:106830000C9A00000D9A00000E9A00000F9A0000BA
-:10684000109A0000119A0000129A0000139A00009A
-:10685000149A0000159A0000169A0000179A00007A
-:10686000189A0000199A00001A9A00001B9A00005A
-:106870001C9A00001D9A00001E9A00001F9A00003A
-:10688000209A0000219A0000229A0000239A00001A
-:10689000249A0000259A0000269A0000279A0000FA
-:1068A000289A0000299A00002A9A00002B9A0000DA
-:1068B0002C9A00002D9A00002E9A00002F9A0000BA
-:1068C000309A0000319A0000329A0000339A00009A
-:1068D000349A0000359A0000369A0000379A00007A
-:1068E000389A0000399A00003A9A00003B9A00005A
-:1068F0003C9A00003D9A00003E9A00003F9A00003A
-:10690000409A0000419A0000429A0000439A000019
-:10691000449A0000459A0000469A0000479A0000F9
-:10692000489A0000499A00004A9A00004B9A0000D9
-:106930004C9A00004D9A00004E9A00004F9A0000B9
-:10694000509A0000519A0000529A0000539A000099
-:10695000549A0000559A0000569A0000579A000079
-:10696000589A0000599A00005A9A00005B9A000059
-:106970005C9A00005D9A00005E9A00005F9A000039
-:10698000609A0000619A0000629A0000639A000019
-:10699000649A0000659A0000669A0000679A0000F9
-:1069A000689A0000699A00006A9A00006B9A0000D9
-:1069B0006C9A00006D9A00006E9A00006F9A0000B9
-:1069C000709A0000719A0000729A0000739A000099
-:1069D000749A0000759A0000769A0000779A000079
-:1069E000789A0000799A00007A9A00007B9A000059
-:1069F0007C9A00007D9A00007E9A00007F9A000039
-:106A0000809A0000819A0000829A0000839A000018
-:106A1000849A0000859A0000869A0000879A0000F8
-:106A2000889A0000899A00008A9A00008B9A0000D8
-:106A30008C9A00008D9A00008E9A00008F9A0000B8
-:106A4000909A0000919A0000929A0000939A000098
-:106A5000949A0000959A0000969A0000979A000078
-:106A6000989A0000999A00009A9A00009B9A000058
-:106A70009C9A00009D9A00009E9A00009F9A000038
-:106A8000A09A0000A19A0000A29A0000A39A000018
-:106A9000A49A0000A59A0000A69A0000A79A0000F8
-:106AA000A89A0000A99A0000AA9A0000AB9A0000D8
-:106AB000AC9A0000AD9A0000AE9A0000AF9A0000B8
-:106AC000B09A0000B19A0000B29A0000B39A000098
-:106AD000B49A0000B59A0000B69A0000B79A000078
-:106AE000B89A0000B99A0000BA9A0000BB9A000058
-:106AF000BC9A0000BD9A0000BE9A0000BF9A000038
-:106B0000C09A0000C19A0000C29A0000C39A000017
-:106B1000C49A0000C59A0000C69A0000C79A0000F7
-:106B2000C89A0000C99A0000CA9A0000CB9A0000D7
-:106B3000CC9A0000CD9A0000CE9A0000CF9A0000B7
-:106B4000D09A0000D19A0000D29A0000D39A000097
-:106B5000D49A0000D59A0000D69A0000D79A000077
-:106B6000D89A0000D99A0000DA9A0000DB9A000057
-:106B7000DC9A0000DD9A0000DE9A0000DF9A000037
-:106B8000E09A0000E19A0000E29A0000E39A000017
-:106B9000E49A0000E59A0000E69A0000E79A0000F7
-:106BA000E89A0000E99A0000EA9A0000EB9A0000D7
-:106BB000EC9A0000ED9A0000EE9A0000EF9A0000B7
-:106BC000F09A0000F19A0000F29A0000F39A000097
-:106BD000F49A0000F59A0000F69A0000F79A000077
-:106BE000F89A0000F99A0000FA9A0000FB9A000057
-:106BF000FC9A0000FD9A0000FE9A0000FF9A000037
-:106C0000009B0000019B0000029B0000039B000012
-:106C1000049B0000059B0000069B0000079B0000F2
-:106C2000089B0000099B00000A9B00000B9B0000D2
-:106C30000C9B00000D9B00000E9B00000F9B0000B2
-:106C4000109B0000119B0000129B0000139B000092
-:106C5000149B0000159B0000169B0000179B000072
-:106C6000189B0000199B00001A9B00001B9B000052
-:106C70001C9B00001D9B00001E9B00001F9B000032
-:106C8000209B0000219B0000229B0000239B000012
-:106C9000249B0000259B0000269B0000279B0000F2
-:106CA000289B0000299B00002A9B00002B9B0000D2
-:106CB0002C9B00002D9B00002E9B00002F9B0000B2
-:106CC000309B0000319B0000329B0000339B000092
-:106CD000349B0000359B0000369B0000379B000072
-:106CE000389B0000399B00003A9B00003B9B000052
-:106CF0003C9B00003D9B00003E9B00003F9B000032
-:106D0000409B0000419B0000429B0000439B000011
-:106D1000449B0000459B0000469B0000479B0000F1
-:106D2000489B0000499B00004A9B00004B9B0000D1
-:106D30004C9B00004D9B00004E9B00004F9B0000B1
-:106D4000509B0000519B0000529B0000539B000091
-:106D5000549B0000559B0000569B0000579B000071
-:106D6000589B0000599B00005A9B00005B9B000051
-:106D70005C9B00005D9B00005E9B00005F9B000031
-:106D8000609B0000619B0000629B0000639B000011
-:106D9000649B0000659B0000669B0000679B0000F1
-:106DA000689B0000699B00006A9B00006B9B0000D1
-:106DB0006C9B00006D9B00006E9B00006F9B0000B1
-:106DC000709B0000719B0000729B0000739B000091
-:106DD000749B0000759B0000769B0000779B000071
-:106DE000789B0000799B00007A9B00007B9B000051
-:106DF0007C9B00007D9B00007E9B00007F9B000031
-:106E0000809B0000819B0000829B0000839B000010
-:106E1000849B0000859B0000869B0000879B0000F0
-:106E2000889B0000899B00008A9B00008B9B0000D0
-:106E30008C9B00008D9B00008E9B00008F9B0000B0
-:106E4000909B0000919B0000929B0000939B000090
-:106E5000949B0000959B0000969B0000979B000070
-:106E6000989B0000999B00009A9B00009B9B000050
-:106E70009C9B00009D9B00009E9B00009F9B000030
-:106E8000A09B0000A19B0000A29B0000A39B000010
-:106E9000A49B0000A59B0000A69B0000A79B0000F0
-:106EA000A89B0000A99B0000AA9B0000AB9B0000D0
-:106EB000AC9B0000AD9B0000AE9B0000AF9B0000B0
-:106EC000B09B0000B19B0000B29B0000B39B000090
-:106ED000B49B0000B59B0000B69B0000B79B000070
-:106EE000B89B0000B99B0000BA9B0000BB9B000050
-:106EF000BC9B0000BD9B0000BE9B0000BF9B000030
-:106F0000C09B0000C19B0000C29B0000C39B00000F
-:106F1000C49B0000C59B0000C69B0000C79B0000EF
-:106F2000C89B0000C99B0000CA9B0000CB9B0000CF
-:106F3000CC9B0000CD9B0000CE9B0000CF9B0000AF
-:106F4000D09B0000D19B0000D29B0000D39B00008F
-:106F5000D49B0000D59B0000D69B0000D79B00006F
-:106F6000D89B0000D99B0000DA9B0000DB9B00004F
-:106F7000DC9B0000DD9B0000DE9B0000DF9B00002F
-:106F8000E09B0000E19B0000E29B0000E39B00000F
-:106F9000E49B0000E59B0000E69B0000E79B0000EF
-:106FA000E89B0000E99B0000EA9B0000EB9B0000CF
-:106FB000EC9B0000ED9B0000EE9B0000EF9B0000AF
-:106FC000F09B0000F19B0000F29B0000F39B00008F
-:106FD000F49B0000F59B0000F69B0000F79B00006F
-:106FE000F89B0000F99B0000FA9B0000FB9B00004F
-:106FF000FC9B0000FD9B0000FE9B0000FF9B00002F
-:10700000009C0000019C0000029C0000039C00000A
-:10701000049C0000059C0000069C0000079C0000EA
-:10702000089C0000099C00000A9C00000B9C0000CA
-:107030000C9C00000D9C00000E9C00000F9C0000AA
-:10704000109C0000119C0000129C0000139C00008A
-:10705000149C0000159C0000169C0000179C00006A
-:10706000189C0000199C00001A9C00001B9C00004A
-:107070001C9C00001D9C00001E9C00001F9C00002A
-:10708000209C0000219C0000229C0000239C00000A
-:10709000249C0000259C0000269C0000279C0000EA
-:1070A000289C0000299C00002A9C00002B9C0000CA
-:1070B0002C9C00002D9C00002E9C00002F9C0000AA
-:1070C000309C0000319C0000329C0000339C00008A
-:1070D000349C0000359C0000369C0000379C00006A
-:1070E000389C0000399C00003A9C00003B9C00004A
-:1070F0003C9C00003D9C00003E9C00003F9C00002A
-:10710000409C0000419C0000429C0000439C000009
-:10711000449C0000459C0000469C0000479C0000E9
-:10712000489C0000499C00004A9C00004B9C0000C9
-:107130004C9C00004D9C00004E9C00004F9C0000A9
-:10714000509C0000519C0000529C0000539C000089
-:10715000549C0000559C0000569C0000579C000069
-:10716000589C0000599C00005A9C00005B9C000049
-:107170005C9C00005D9C00005E9C00005F9C000029
-:10718000609C0000619C0000629C0000639C000009
-:10719000649C0000659C0000669C0000679C0000E9
-:1071A000689C0000699C00006A9C00006B9C0000C9
-:1071B0006C9C00006D9C00006E9C00006F9C0000A9
-:1071C000709C0000719C0000729C0000739C000089
-:1071D000749C0000759C0000769C0000779C000069
-:1071E000789C0000799C00007A9C00007B9C000049
-:1071F0007C9C00007D9C00007E9C00007F9C000029
-:10720000809C0000819C0000829C0000839C000008
-:10721000849C0000859C0000869C0000879C0000E8
-:10722000889C0000899C00008A9C00008B9C0000C8
-:107230008C9C00008D9C00008E9C00008F9C0000A8
-:10724000909C0000919C0000929C0000939C000088
-:10725000949C0000959C0000969C0000979C000068
-:10726000989C0000999C00009A9C00009B9C000048
-:107270009C9C00009D9C00009E9C00009F9C000028
-:10728000A09C0000A19C0000A29C0000A39C000008
-:10729000A49C0000A59C0000A69C0000A79C0000E8
-:1072A000A89C0000A99C0000AA9C0000AB9C0000C8
-:1072B000AC9C0000AD9C0000AE9C0000AF9C0000A8
-:1072C000B09C0000B19C0000B29C0000B39C000088
-:1072D000B49C0000B59C0000B69C0000B79C000068
-:1072E000B89C0000B99C0000BA9C0000BB9C000048
-:1072F000BC9C0000BD9C0000BE9C0000BF9C000028
-:10730000C09C0000C19C0000C29C0000C39C000007
-:10731000C49C0000C59C0000C69C0000C79C0000E7
-:10732000C89C0000C99C0000CA9C0000CB9C0000C7
-:10733000CC9C0000CD9C0000CE9C0000CF9C0000A7
-:10734000D09C0000D19C0000D29C0000D39C000087
-:10735000D49C0000D59C0000D69C0000D79C000067
-:10736000D89C0000D99C0000DA9C0000DB9C000047
-:10737000DC9C0000DD9C0000DE9C0000DF9C000027
-:10738000E09C0000E19C0000E29C0000E39C000007
-:10739000E49C0000E59C0000E69C0000E79C0000E7
-:1073A000E89C0000E99C0000EA9C0000EB9C0000C7
-:1073B000EC9C0000ED9C0000EE9C0000EF9C0000A7
-:1073C000F09C0000F19C0000F29C0000F39C000087
-:1073D000F49C0000F59C0000F69C0000F79C000067
-:1073E000F89C0000F99C0000FA9C0000FB9C000047
-:1073F000FC9C0000FD9C0000FE9C0000FF9C000027
-:10740000009D0000019D0000029D0000039D000002
-:10741000049D0000059D0000069D0000079D0000E2
-:10742000089D0000099D00000A9D00000B9D0000C2
-:107430000C9D00000D9D00000E9D00000F9D0000A2
-:10744000109D0000119D0000129D0000139D000082
-:10745000149D0000159D0000169D0000179D000062
-:10746000189D0000199D00001A9D00001B9D000042
-:107470001C9D00001D9D00001E9D00001F9D000022
-:10748000209D0000219D0000229D0000239D000002
-:10749000249D0000259D0000269D0000279D0000E2
-:1074A000289D0000299D00002A9D00002B9D0000C2
-:1074B0002C9D00002D9D00002E9D00002F9D0000A2
-:1074C000309D0000319D0000329D0000339D000082
-:1074D000349D0000359D0000369D0000379D000062
-:1074E000389D0000399D00003A9D00003B9D000042
-:1074F0003C9D00003D9D00003E9D00003F9D000022
-:10750000409D0000419D0000429D0000439D000001
-:10751000449D0000459D0000469D0000479D0000E1
-:10752000489D0000499D00004A9D00004B9D0000C1
-:107530004C9D00004D9D00004E9D00004F9D0000A1
-:10754000509D0000519D0000529D0000539D000081
-:10755000549D0000559D0000569D0000579D000061
-:10756000589D0000599D00005A9D00005B9D000041
-:107570005C9D00005D9D00005E9D00005F9D000021
-:10758000609D0000619D0000629D0000639D000001
-:10759000649D0000659D0000669D0000679D0000E1
-:1075A000689D0000699D00006A9D00006B9D0000C1
-:1075B0006C9D00006D9D00006E9D00006F9D0000A1
-:1075C000709D0000719D0000729D0000739D000081
-:1075D000749D0000759D0000769D0000779D000061
-:1075E000789D0000799D00007A9D00007B9D000041
-:1075F0007C9D00007D9D00007E9D00007F9D000021
-:10760000809D0000819D0000829D0000839D000000
-:10761000849D0000859D0000869D0000879D0000E0
-:10762000889D0000899D00008A9D00008B9D0000C0
-:107630008C9D00008D9D00008E9D00008F9D0000A0
-:10764000909D0000919D0000929D0000939D000080
-:10765000949D0000959D0000969D0000979D000060
-:10766000989D0000999D00009A9D00009B9D000040
-:107670009C9D00009D9D00009E9D00009F9D000020
-:10768000A09D0000A19D0000A29D0000A39D000000
-:10769000A49D0000A59D0000A69D0000A79D0000E0
-:1076A000A89D0000A99D0000AA9D0000AB9D0000C0
-:1076B000AC9D0000AD9D0000AE9D0000AF9D0000A0
-:1076C000B09D0000B19D0000B29D0000B39D000080
-:1076D000B49D0000B59D0000B69D0000B79D000060
-:1076E000B89D0000B99D0000BA9D0000BB9D000040
-:1076F000BC9D0000BD9D0000BE9D0000BF9D000020
-:10770000C09D0000C19D0000C29D0000C39D0000FF
-:10771000C49D0000C59D0000C69D0000C79D0000DF
-:10772000C89D0000C99D0000CA9D0000CB9D0000BF
-:10773000CC9D0000CD9D0000CE9D0000CF9D00009F
-:10774000D09D0000D19D0000D29D0000D39D00007F
-:10775000D49D0000D59D0000D69D0000D79D00005F
-:10776000D89D0000D99D0000DA9D0000DB9D00003F
-:10777000DC9D0000DD9D0000DE9D0000DF9D00001F
-:10778000E09D0000E19D0000E29D0000E39D0000FF
-:10779000E49D0000E59D0000E69D0000E79D0000DF
-:1077A000E89D0000E99D0000EA9D0000EB9D0000BF
-:1077B000EC9D0000ED9D0000EE9D0000EF9D00009F
-:1077C000F09D0000F19D0000F29D0000F39D00007F
-:1077D000F49D0000F59D0000F69D0000F79D00005F
-:1077E000F89D0000F99D0000FA9D0000FB9D00003F
-:1077F000FC9D0000FD9D0000FE9D0000FF9D00001F
-:10780000009E0000019E0000029E0000039E0000FA
-:10781000049E0000059E0000069E0000079E0000DA
-:10782000089E0000099E00000A9E00000B9E0000BA
-:107830000C9E00000D9E00000E9E00000F9E00009A
-:10784000109E0000119E0000129E0000139E00007A
-:10785000149E0000159E0000169E0000179E00005A
-:10786000189E0000199E00001A9E00001B9E00003A
-:107870001C9E00001D9E00001E9E00001F9E00001A
-:10788000209E0000219E0000229E0000239E0000FA
-:10789000249E0000259E0000269E0000279E0000DA
-:1078A000289E0000299E00002A9E00002B9E0000BA
-:1078B0002C9E00002D9E00002E9E00002F9E00009A
-:1078C000309E0000319E0000329E0000339E00007A
-:1078D000349E0000359E0000369E0000379E00005A
-:1078E000389E0000399E00003A9E00003B9E00003A
-:1078F0003C9E00003D9E00003E9E00003F9E00001A
-:10790000409E0000419E0000429E0000439E0000F9
-:10791000449E0000459E0000469E0000479E0000D9
-:10792000489E0000499E00004A9E00004B9E0000B9
-:107930004C9E00004D9E00004E9E00004F9E000099
-:10794000509E0000519E0000529E0000539E000079
-:10795000549E0000559E0000569E0000579E000059
-:10796000589E0000599E00005A9E00005B9E000039
-:107970005C9E00005D9E00005E9E00005F9E000019
-:10798000609E0000619E0000629E0000639E0000F9
-:10799000649E0000659E0000669E0000679E0000D9
-:1079A000689E0000699E00006A9E00006B9E0000B9
-:1079B0006C9E00006D9E00006E9E00006F9E000099
-:1079C000709E0000719E0000729E0000739E000079
-:1079D000749E0000759E0000769E0000779E000059
-:1079E000789E0000799E00007A9E00007B9E000039
-:1079F0007C9E00007D9E00007E9E00007F9E000019
-:107A0000809E0000819E0000829E0000839E0000F8
-:107A1000849E0000859E0000869E0000879E0000D8
-:107A2000889E0000899E00008A9E00008B9E0000B8
-:107A30008C9E00008D9E00008E9E00008F9E000098
-:107A4000909E0000919E0000929E0000939E000078
-:107A5000949E0000959E0000969E0000979E000058
-:107A6000989E0000999E00009A9E00009B9E000038
-:107A70009C9E00009D9E00009E9E00009F9E000018
-:107A8000A09E0000A19E0000A29E0000A39E0000F8
-:107A9000A49E0000A59E0000A69E0000A79E0000D8
-:107AA000A89E0000A99E0000AA9E0000AB9E0000B8
-:107AB000AC9E0000AD9E0000AE9E0000AF9E000098
-:107AC000B09E0000B19E0000B29E0000B39E000078
-:107AD000B49E0000B59E0000B69E0000B79E000058
-:107AE000B89E0000B99E0000BA9E0000BB9E000038
-:107AF000BC9E0000BD9E0000BE9E0000BF9E000018
-:107B0000C09E0000C19E0000C29E0000C39E0000F7
-:107B1000C49E0000C59E0000C69E0000C79E0000D7
-:107B2000C89E0000C99E0000CA9E0000CB9E0000B7
-:107B3000CC9E0000CD9E0000CE9E0000CF9E000097
-:107B4000D09E0000D19E0000D29E0000D39E000077
-:107B5000D49E0000D59E0000D69E0000D79E000057
-:107B6000D89E0000D99E0000DA9E0000DB9E000037
-:107B7000DC9E0000DD9E0000DE9E0000DF9E000017
-:107B8000E09E0000E19E0000E29E0000E39E0000F7
-:107B9000E49E0000E59E0000E69E0000E79E0000D7
-:107BA000E89E0000E99E0000EA9E0000EB9E0000B7
-:107BB000EC9E0000ED9E0000EE9E0000EF9E000097
-:107BC000F09E0000F19E0000F29E0000F39E000077
-:107BD000F49E0000F59E0000F69E0000F79E000057
-:107BE000F89E0000F99E0000FA9E0000FB9E000037
-:107BF000FC9E0000FD9E0000FE9E0000FF9E000017
-:107C0000009F0000019F0000029F0000039F0000F2
-:107C1000049F0000059F0000069F0000079F0000D2
-:107C2000089F0000099F00000A9F00000B9F0000B2
-:107C30000C9F00000D9F00000E9F00000F9F000092
-:107C4000109F0000119F0000129F0000139F000072
-:107C5000149F0000159F0000169F0000179F000052
-:107C6000189F0000199F00001A9F00001B9F000032
-:107C70001C9F00001D9F00001E9F00001F9F000012
-:107C8000209F0000219F0000229F0000239F0000F2
-:107C9000249F0000259F0000269F0000279F0000D2
-:107CA000289F0000299F00002A9F00002B9F0000B2
-:107CB0002C9F00002D9F00002E9F00002F9F000092
-:107CC000309F0000319F0000329F0000339F000072
-:107CD000349F0000359F0000369F0000379F000052
-:107CE000389F0000399F00003A9F00003B9F000032
-:107CF0003C9F00003D9F00003E9F00003F9F000012
-:107D0000409F0000419F0000429F0000439F0000F1
-:107D1000449F0000459F0000469F0000479F0000D1
-:107D2000489F0000499F00004A9F00004B9F0000B1
-:107D30004C9F00004D9F00004E9F00004F9F000091
-:107D4000509F0000519F0000529F0000539F000071
-:107D5000549F0000559F0000569F0000579F000051
-:107D6000589F0000599F00005A9F00005B9F000031
-:107D70005C9F00005D9F00005E9F00005F9F000011
-:107D8000609F0000619F0000629F0000639F0000F1
-:107D9000649F0000659F0000669F0000679F0000D1
-:107DA000689F0000699F00006A9F00006B9F0000B1
-:107DB0006C9F00006D9F00006E9F00006F9F000091
-:107DC000709F0000719F0000729F0000739F000071
-:107DD000749F0000759F0000769F0000779F000051
-:107DE000789F0000799F00007A9F00007B9F000031
-:107DF0007C9F00007D9F00007E9F00007F9F000011
-:107E0000809F0000819F0000829F0000839F0000F0
-:107E1000849F0000859F0000869F0000879F0000D0
-:107E2000889F0000899F00008A9F00008B9F0000B0
-:107E30008C9F00008D9F00008E9F00008F9F000090
-:107E4000909F0000919F0000929F0000939F000070
-:107E5000949F0000959F0000969F0000979F000050
-:107E6000989F0000999F00009A9F00009B9F000030
-:107E70009C9F00009D9F00009E9F00009F9F000010
-:107E8000A09F0000A19F0000A29F0000A39F0000F0
-:107E9000A49F0000A59F0000A69F0000A79F0000D0
-:107EA000A89F0000A99F0000AA9F0000AB9F0000B0
-:107EB000AC9F0000AD9F0000AE9F0000AF9F000090
-:107EC000B09F0000B19F0000B29F0000B39F000070
-:107ED000B49F0000B59F0000B69F0000B79F000050
-:107EE000B89F0000B99F0000BA9F0000BB9F000030
-:107EF000BC9F0000BD9F0000BE9F0000BF9F000010
-:107F0000C09F0000C19F0000C29F0000C39F0000EF
-:107F1000C49F0000C59F0000C69F0000C79F0000CF
-:107F2000C89F0000C99F0000CA9F0000CB9F0000AF
-:107F3000CC9F0000CD9F0000CE9F0000CF9F00008F
-:107F4000D09F0000D19F0000D29F0000D39F00006F
-:107F5000D49F0000D59F0000D69F0000D79F00004F
-:107F6000D89F0000D99F0000DA9F0000DB9F00002F
-:107F7000DC9F0000DD9F0000DE9F0000DF9F00000F
-:107F8000E09F0000E19F0000E29F0000E39F0000EF
-:107F9000E49F0000E59F0000E69F0000E79F0000CF
-:107FA000E89F0000E99F0000EA9F0000EB9F0000AF
-:107FB000EC9F0000ED9F0000EE9F0000EF9F00008F
-:107FC000F09F0000F19F0000F29F0000F39F00006F
-:107FD000F49F0000F59F0000F69F0000F79F00004F
-:107FE000F89F0000F99F0000FA9F0000FB9F00002F
-:107FF000FC9F0000FD9F0000FE9F0000FF9F00000F
-:1080000000A0000001A0000002A0000003A00000EA
-:1080100004A0000005A0000006A0000007A00000CA
-:1080200008A0000009A000000AA000000BA00000AA
-:108030000CA000000DA000000EA000000FA000008A
-:1080400010A0000011A0000012A0000013A000006A
-:1080500014A0000015A0000016A0000017A000004A
-:1080600018A0000019A000001AA000001BA000002A
-:108070001CA000001DA000001EA000001FA000000A
-:1080800020A0000021A0000022A0000023A00000EA
-:1080900024A0000025A0000026A0000027A00000CA
-:1080A00028A0000029A000002AA000002BA00000AA
-:1080B0002CA000002DA000002EA000002FA000008A
-:1080C00030A0000031A0000032A0000033A000006A
-:1080D00034A0000035A0000036A0000037A000004A
-:1080E00038A0000039A000003AA000003BA000002A
-:1080F0003CA000003DA000003EA000003FA000000A
-:1081000040A0000041A0000042A0000043A00000E9
-:1081100044A0000045A0000046A0000047A00000C9
-:1081200048A0000049A000004AA000004BA00000A9
-:108130004CA000004DA000004EA000004FA0000089
-:1081400050A0000051A0000052A0000053A0000069
-:1081500054A0000055A0000056A0000057A0000049
-:1081600058A0000059A000005AA000005BA0000029
-:108170005CA000005DA000005EA000005FA0000009
-:1081800060A0000061A0000062A0000063A00000E9
-:1081900064A0000065A0000066A0000067A00000C9
-:1081A00068A0000069A000006AA000006BA00000A9
-:1081B0006CA000006DA000006EA000006FA0000089
-:1081C00070A0000071A0000072A0000073A0000069
-:1081D00074A0000075A0000076A0000077A0000049
-:1081E00078A0000079A000007AA000007BA0000029
-:1081F0007CA000007DA000007EA000007FA0000009
-:1082000080A0000081A0000082A0000083A00000E8
-:1082100084A0000085A0000086A0000087A00000C8
-:1082200088A0000089A000008AA000008BA00000A8
-:108230008CA000008DA000008EA000008FA0000088
-:1082400090A0000091A0000092A0000093A0000068
-:1082500094A0000095A0000096A0000097A0000048
-:1082600098A0000099A000009AA000009BA0000028
-:108270009CA000009DA000009EA000009FA0000008
-:10828000A0A00000A1A00000A2A00000A3A00000E8
-:10829000A4A00000A5A00000A6A00000A7A00000C8
-:1082A000A8A00000A9A00000AAA00000ABA00000A8
-:1082B000ACA00000ADA00000AEA00000AFA0000088
-:1082C000B0A00000B1A00000B2A00000B3A0000068
-:1082D000B4A00000B5A00000B6A00000B7A0000048
-:1082E000B8A00000B9A00000BAA00000BBA0000028
-:1082F000BCA00000BDA00000BEA00000BFA0000008
-:10830000C0A00000C1A00000C2A00000C3A00000E7
-:10831000C4A00000C5A00000C6A00000C7A00000C7
-:10832000C8A00000C9A00000CAA00000CBA00000A7
-:10833000CCA00000CDA00000CEA00000CFA0000087
-:10834000D0A00000D1A00000D2A00000D3A0000067
-:10835000D4A00000D5A00000D6A00000D7A0000047
-:10836000D8A00000D9A00000DAA00000DBA0000027
-:10837000DCA00000DDA00000DEA00000DFA0000007
-:10838000E0A00000E1A00000E2A00000E3A00000E7
-:10839000E4A00000E5A00000E6A00000E7A00000C7
-:1083A000E8A00000E9A00000EAA00000EBA00000A7
-:1083B000ECA00000EDA00000EEA00000EFA0000087
-:1083C000F0A00000F1A00000F2A00000F3A0000067
-:1083D000F4A00000F5A00000F6A00000F7A0000047
-:1083E000F8A00000F9A00000FAA00000FBA0000027
-:1083F000FCA00000FDA00000FEA00000FFA0000007
-:1084000000A1000001A1000002A1000003A10000E2
-:1084100004A1000005A1000006A1000007A10000C2
-:1084200008A1000009A100000AA100000BA10000A2
-:108430000CA100000DA100000EA100000FA1000082
-:1084400010A1000011A1000012A1000013A1000062
-:1084500014A1000015A1000016A1000017A1000042
-:1084600018A1000019A100001AA100001BA1000022
-:108470001CA100001DA100001EA100001FA1000002
-:1084800020A1000021A1000022A1000023A10000E2
-:1084900024A1000025A1000026A1000027A10000C2
-:1084A00028A1000029A100002AA100002BA10000A2
-:1084B0002CA100002DA100002EA100002FA1000082
-:1084C00030A1000031A1000032A1000033A1000062
-:1084D00034A1000035A1000036A1000037A1000042
-:1084E00038A1000039A100003AA100003BA1000022
-:1084F0003CA100003DA100003EA100003FA1000002
-:1085000040A1000041A1000042A1000043A10000E1
-:1085100044A1000045A1000046A1000047A10000C1
-:1085200048A1000049A100004AA100004BA10000A1
-:108530004CA100004DA100004EA100004FA1000081
-:1085400050A1000051A1000052A1000053A1000061
-:1085500054A1000055A1000056A1000057A1000041
-:1085600058A1000059A100005AA100005BA1000021
-:108570005CA100005DA100005EA100005FA1000001
-:1085800060A1000061A1000062A1000063A10000E1
-:1085900064A1000065A1000066A1000067A10000C1
-:1085A00068A1000069A100006AA100006BA10000A1
-:1085B0006CA100006DA100006EA100006FA1000081
-:1085C00070A1000071A1000072A1000073A1000061
-:1085D00074A1000075A1000076A1000077A1000041
-:1085E00078A1000079A100007AA100007BA1000021
-:1085F0007CA100007DA100007EA100007FA1000001
-:1086000080A1000081A1000082A1000083A10000E0
-:1086100084A1000085A1000086A1000087A10000C0
-:1086200088A1000089A100008AA100008BA10000A0
-:108630008CA100008DA100008EA100008FA1000080
-:1086400090A1000091A1000092A1000093A1000060
-:1086500094A1000095A1000096A1000097A1000040
-:1086600098A1000099A100009AA100009BA1000020
-:108670009CA100009DA100009EA100009FA1000000
-:10868000A0A10000A1A10000A2A10000A3A10000E0
-:10869000A4A10000A5A10000A6A10000A7A10000C0
-:1086A000A8A10000A9A10000AAA10000ABA10000A0
-:1086B000ACA10000ADA10000AEA10000AFA1000080
-:1086C000B0A10000B1A10000B2A10000B3A1000060
-:1086D000B4A10000B5A10000B6A10000B7A1000040
-:1086E000B8A10000B9A10000BAA10000BBA1000020
-:1086F000BCA10000BDA10000BEA10000BFA1000000
-:10870000C0A10000C1A10000C2A10000C3A10000DF
-:10871000C4A10000C5A10000C6A10000C7A10000BF
-:10872000C8A10000C9A10000CAA10000CBA100009F
-:10873000CCA10000CDA10000CEA10000CFA100007F
-:10874000D0A10000D1A10000D2A10000D3A100005F
-:10875000D4A10000D5A10000D6A10000D7A100003F
-:10876000D8A10000D9A10000DAA10000DBA100001F
-:10877000DCA10000DDA10000DEA10000DFA10000FF
-:10878000E0A10000E1A10000E2A10000E3A10000DF
-:10879000E4A10000E5A10000E6A10000E7A10000BF
-:1087A000E8A10000E9A10000EAA10000EBA100009F
-:1087B000ECA10000EDA10000EEA10000EFA100007F
-:1087C000F0A10000F1A10000F2A10000F3A100005F
-:1087D000F4A10000F5A10000F6A10000F7A100003F
-:1087E000F8A10000F9A10000FAA10000FBA100001F
-:1087F000FCA10000FDA10000FEA10000FFA10000FF
-:1088000000A2000001A2000002A2000003A20000DA
-:1088100004A2000005A2000006A2000007A20000BA
-:1088200008A2000009A200000AA200000BA200009A
-:108830000CA200000DA200000EA200000FA200007A
-:1088400010A2000011A2000012A2000013A200005A
-:1088500014A2000015A2000016A2000017A200003A
-:1088600018A2000019A200001AA200001BA200001A
-:108870001CA200001DA200001EA200001FA20000FA
-:1088800020A2000021A2000022A2000023A20000DA
-:1088900024A2000025A2000026A2000027A20000BA
-:1088A00028A2000029A200002AA200002BA200009A
-:1088B0002CA200002DA200002EA200002FA200007A
-:1088C00030A2000031A2000032A2000033A200005A
-:1088D00034A2000035A2000036A2000037A200003A
-:1088E00038A2000039A200003AA200003BA200001A
-:1088F0003CA200003DA200003EA200003FA20000FA
-:1089000040A2000041A2000042A2000043A20000D9
-:1089100044A2000045A2000046A2000047A20000B9
-:1089200048A2000049A200004AA200004BA2000099
-:108930004CA200004DA200004EA200004FA2000079
-:1089400050A2000051A2000052A2000053A2000059
-:1089500054A2000055A2000056A2000057A2000039
-:1089600058A2000059A200005AA200005BA2000019
-:108970005CA200005DA200005EA200005FA20000F9
-:1089800060A2000061A2000062A2000063A20000D9
-:1089900064A2000065A2000066A2000067A20000B9
-:1089A00068A2000069A200006AA200006BA2000099
-:1089B0006CA200006DA200006EA200006FA2000079
-:1089C00070A2000071A2000072A2000073A2000059
-:1089D00074A2000075A2000076A2000077A2000039
-:1089E00078A2000079A200007AA200007BA2000019
-:1089F0007CA200007DA200007EA200007FA20000F9
-:108A000080A2000081A2000082A2000083A20000D8
-:108A100084A2000085A2000086A2000087A20000B8
-:108A200088A2000089A200008AA200008BA2000098
-:108A30008CA200008DA200008EA200008FA2000078
-:108A400090A2000091A2000092A2000093A2000058
-:108A500094A2000095A2000096A2000097A2000038
-:108A600098A2000099A200009AA200009BA2000018
-:108A70009CA200009DA200009EA200009FA20000F8
-:108A8000A0A20000A1A20000A2A20000A3A20000D8
-:108A9000A4A20000A5A20000A6A20000A7A20000B8
-:108AA000A8A20000A9A20000AAA20000ABA2000098
-:108AB000ACA20000ADA20000AEA20000AFA2000078
-:108AC000B0A20000B1A20000B2A20000B3A2000058
-:108AD000B4A20000B5A20000B6A20000B7A2000038
-:108AE000B8A20000B9A20000BAA20000BBA2000018
-:108AF000BCA20000BDA20000BEA20000BFA20000F8
-:108B0000C0A20000C1A20000C2A20000C3A20000D7
-:108B1000C4A20000C5A20000C6A20000C7A20000B7
-:108B2000C8A20000C9A20000CAA20000CBA2000097
-:108B3000CCA20000CDA20000CEA20000CFA2000077
-:108B4000D0A20000D1A20000D2A20000D3A2000057
-:108B5000D4A20000D5A20000D6A20000D7A2000037
-:108B6000D8A20000D9A20000DAA20000DBA2000017
-:108B7000DCA20000DDA20000DEA20000DFA20000F7
-:108B8000E0A20000E1A20000E2A20000E3A20000D7
-:108B9000E4A20000E5A20000E6A20000E7A20000B7
-:108BA000E8A20000E9A20000EAA20000EBA2000097
-:108BB000ECA20000EDA20000EEA20000EFA2000077
-:108BC000F0A20000F1A20000F2A20000F3A2000057
-:108BD000F4A20000F5A20000F6A20000F7A2000037
-:108BE000F8A20000F9A20000FAA20000FBA2000017
-:108BF000FCA20000FDA20000FEA20000FFA20000F7
-:108C000000A3000001A3000002A3000003A30000D2
-:108C100004A3000005A3000006A3000007A30000B2
-:108C200008A3000009A300000AA300000BA3000092
-:108C30000CA300000DA300000EA300000FA3000072
-:108C400010A3000011A3000012A3000013A3000052
-:108C500014A3000015A3000016A3000017A3000032
-:108C600018A3000019A300001AA300001BA3000012
-:108C70001CA300001DA300001EA300001FA30000F2
-:108C800020A3000021A3000022A3000023A30000D2
-:108C900024A3000025A3000026A3000027A30000B2
-:108CA00028A3000029A300002AA300002BA3000092
-:108CB0002CA300002DA300002EA300002FA3000072
-:108CC00030A3000031A3000032A3000033A3000052
-:108CD00034A3000035A3000036A3000037A3000032
-:108CE00038A3000039A300003AA300003BA3000012
-:108CF0003CA300003DA300003EA300003FA30000F2
-:108D000040A3000041A3000042A3000043A30000D1
-:108D100044A3000045A3000046A3000047A30000B1
-:108D200048A3000049A300004AA300004BA3000091
-:108D30004CA300004DA300004EA300004FA3000071
-:108D400050A3000051A3000052A3000053A3000051
-:108D500054A3000055A3000056A3000057A3000031
-:108D600058A3000059A300005AA300005BA3000011
-:108D70005CA300005DA300005EA300005FA30000F1
-:108D800060A3000061A3000062A3000063A30000D1
-:108D900064A3000065A3000066A3000067A30000B1
-:108DA00068A3000069A300006AA300006BA3000091
-:108DB0006CA300006DA300006EA300006FA3000071
-:108DC00070A3000071A3000072A3000073A3000051
-:108DD00074A3000075A3000076A3000077A3000031
-:108DE00078A3000079A300007AA300007BA3000011
-:108DF0007CA300007DA300007EA300007FA30000F1
-:108E000080A3000081A3000082A3000083A30000D0
-:108E100084A3000085A3000086A3000087A30000B0
-:108E200088A3000089A300008AA300008BA3000090
-:108E30008CA300008DA300008EA300008FA3000070
-:108E400090A3000091A3000092A3000093A3000050
-:108E500094A3000095A3000096A3000097A3000030
-:108E600098A3000099A300009AA300009BA3000010
-:108E70009CA300009DA300009EA300009FA30000F0
-:108E8000A0A30000A1A30000A2A30000A3A30000D0
-:108E9000A4A30000A5A30000A6A30000A7A30000B0
-:108EA000A8A30000A9A30000AAA30000ABA3000090
-:108EB000ACA30000ADA30000AEA30000AFA3000070
-:108EC000B0A30000B1A30000B2A30000B3A3000050
-:108ED000B4A30000B5A30000B6A30000B7A3000030
-:108EE000B8A30000B9A30000BAA30000BBA3000010
-:108EF000BCA30000BDA30000BEA30000BFA30000F0
-:108F0000C0A30000C1A30000C2A30000C3A30000CF
-:108F1000C4A30000C5A30000C6A30000C7A30000AF
-:108F2000C8A30000C9A30000CAA30000CBA300008F
-:108F3000CCA30000CDA30000CEA30000CFA300006F
-:108F4000D0A30000D1A30000D2A30000D3A300004F
-:108F5000D4A30000D5A30000D6A30000D7A300002F
-:108F6000D8A30000D9A30000DAA30000DBA300000F
-:108F7000DCA30000DDA30000DEA30000DFA30000EF
-:108F8000E0A30000E1A30000E2A30000E3A30000CF
-:108F9000E4A30000E5A30000E6A30000E7A30000AF
-:108FA000E8A30000E9A30000EAA30000EBA300008F
-:108FB000ECA30000EDA30000EEA30000EFA300006F
-:108FC000F0A30000F1A30000F2A30000F3A300004F
-:108FD000F4A30000F5A30000F6A30000F7A300002F
-:108FE000F8A30000F9A30000FAA30000FBA300000F
-:108FF000FCA30000FDA30000FEA30000FFA30000EF
-:1090000000A4000001A4000002A4000003A40000CA
-:1090100004A4000005A4000006A4000007A40000AA
-:1090200008A4000009A400000AA400000BA400008A
-:109030000CA400000DA400000EA400000FA400006A
-:1090400010A4000011A4000012A4000013A400004A
-:1090500014A4000015A4000016A4000017A400002A
-:1090600018A4000019A400001AA400001BA400000A
-:109070001CA400001DA400001EA400001FA40000EA
-:1090800020A4000021A4000022A4000023A40000CA
-:1090900024A4000025A4000026A4000027A40000AA
-:1090A00028A4000029A400002AA400002BA400008A
-:1090B0002CA400002DA400002EA400002FA400006A
-:1090C00030A4000031A4000032A4000033A400004A
-:1090D00034A4000035A4000036A4000037A400002A
-:1090E00038A4000039A400003AA400003BA400000A
-:1090F0003CA400003DA400003EA400003FA40000EA
-:1091000040A4000041A4000042A4000043A40000C9
-:1091100044A4000045A4000046A4000047A40000A9
-:1091200048A4000049A400004AA400004BA4000089
-:109130004CA400004DA400004EA400004FA4000069
-:1091400050A4000051A4000052A4000053A4000049
-:1091500054A4000055A4000056A4000057A4000029
-:1091600058A4000059A400005AA400005BA4000009
-:109170005CA400005DA400005EA400005FA40000E9
-:1091800060A4000061A4000062A4000063A40000C9
-:1091900064A4000065A4000066A4000067A40000A9
-:1091A00068A4000069A400006AA400006BA4000089
-:1091B0006CA400006DA400006EA400006FA4000069
-:1091C00070A4000071A4000072A4000073A4000049
-:1091D00074A4000075A4000076A4000077A4000029
-:1091E00078A4000079A400007AA400007BA4000009
-:1091F0007CA400007DA400007EA400007FA40000E9
-:1092000080A4000081A4000082A4000083A40000C8
-:1092100084A4000085A4000086A4000087A40000A8
-:1092200088A4000089A400008AA400008BA4000088
-:109230008CA400008DA400008EA400008FA4000068
-:1092400090A4000091A4000092A4000093A4000048
-:1092500094A4000095A4000096A4000097A4000028
-:1092600098A4000099A400009AA400009BA4000008
-:109270009CA400009DA400009EA400009FA40000E8
-:10928000A0A40000A1A40000A2A40000A3A40000C8
-:10929000A4A40000A5A40000A6A40000A7A40000A8
-:1092A000A8A40000A9A40000AAA40000ABA4000088
-:1092B000ACA40000ADA40000AEA40000AFA4000068
-:1092C000B0A40000B1A40000B2A40000B3A4000048
-:1092D000B4A40000B5A40000B6A40000B7A4000028
-:1092E000B8A40000B9A40000BAA40000BBA4000008
-:1092F000BCA40000BDA40000BEA40000BFA40000E8
-:10930000C0A40000C1A40000C2A40000C3A40000C7
-:10931000C4A40000C5A40000C6A40000C7A40000A7
-:10932000C8A40000C9A40000CAA40000CBA4000087
-:10933000CCA40000CDA40000CEA40000CFA4000067
-:10934000D0A40000D1A40000D2A40000D3A4000047
-:10935000D4A40000D5A40000D6A40000D7A4000027
-:10936000D8A40000D9A40000DAA40000DBA4000007
-:10937000DCA40000DDA40000DEA40000DFA40000E7
-:10938000E0A40000E1A40000E2A40000E3A40000C7
-:10939000E4A40000E5A40000E6A40000E7A40000A7
-:1093A000E8A40000E9A40000EAA40000EBA4000087
-:1093B000ECA40000EDA40000EEA40000EFA4000067
-:1093C000F0A40000F1A40000F2A40000F3A4000047
-:1093D000F4A40000F5A40000F6A40000F7A4000027
-:1093E000F8A40000F9A40000FAA40000FBA4000007
-:1093F000FCA40000FDA40000FEA40000FFA40000E7
-:1094000000A5000001A5000002A5000003A50000C2
-:1094100004A5000005A5000006A5000007A50000A2
-:1094200008A5000009A500000AA500000BA5000082
-:109430000CA500000DA500000EA500000FA5000062
-:1094400010A5000011A5000012A5000013A5000042
-:1094500014A5000015A5000016A5000017A5000022
-:1094600018A5000019A500001AA500001BA5000002
-:109470001CA500001DA500001EA500001FA50000E2
-:1094800020A5000021A5000022A5000023A50000C2
-:1094900024A5000025A5000026A5000027A50000A2
-:1094A00028A5000029A500002AA500002BA5000082
-:1094B0002CA500002DA500002EA500002FA5000062
-:1094C00030A5000031A5000032A5000033A5000042
-:1094D00034A5000035A5000036A5000037A5000022
-:1094E00038A5000039A500003AA500003BA5000002
-:1094F0003CA500003DA500003EA500003FA50000E2
-:1095000040A5000041A5000042A5000043A50000C1
-:1095100044A5000045A5000046A5000047A50000A1
-:1095200048A5000049A500004AA500004BA5000081
-:109530004CA500004DA500004EA500004FA5000061
-:1095400050A5000051A5000052A5000053A5000041
-:1095500054A5000055A5000056A5000057A5000021
-:1095600058A5000059A500005AA500005BA5000001
-:109570005CA500005DA500005EA500005FA50000E1
-:1095800060A5000061A5000062A5000063A50000C1
-:1095900064A5000065A5000066A5000067A50000A1
-:1095A00068A5000069A500006AA500006BA5000081
-:1095B0006CA500006DA500006EA500006FA5000061
-:1095C00070A5000071A5000072A5000073A5000041
-:1095D00074A5000075A5000076A5000077A5000021
-:1095E00078A5000079A500007AA500007BA5000001
-:1095F0007CA500007DA500007EA500007FA50000E1
-:1096000080A5000081A5000082A5000083A50000C0
-:1096100084A5000085A5000086A5000087A50000A0
-:1096200088A5000089A500008AA500008BA5000080
-:109630008CA500008DA500008EA500008FA5000060
-:1096400090A5000091A5000092A5000093A5000040
-:1096500094A5000095A5000096A5000097A5000020
-:1096600098A5000099A500009AA500009BA5000000
-:109670009CA500009DA500009EA500009FA50000E0
-:10968000A0A50000A1A50000A2A50000A3A50000C0
-:10969000A4A50000A5A50000A6A50000A7A50000A0
-:1096A000A8A50000A9A50000AAA50000ABA5000080
-:1096B000ACA50000ADA50000AEA50000AFA5000060
-:1096C000B0A50000B1A50000B2A50000B3A5000040
-:1096D000B4A50000B5A50000B6A50000B7A5000020
-:1096E000B8A50000B9A50000BAA50000BBA5000000
-:1096F000BCA50000BDA50000BEA50000BFA50000E0
-:10970000C0A50000C1A50000C2A50000C3A50000BF
-:10971000C4A50000C5A50000C6A50000C7A500009F
-:10972000C8A50000C9A50000CAA50000CBA500007F
-:10973000CCA50000CDA50000CEA50000CFA500005F
-:10974000D0A50000D1A50000D2A50000D3A500003F
-:10975000D4A50000D5A50000D6A50000D7A500001F
-:10976000D8A50000D9A50000DAA50000DBA50000FF
-:10977000DCA50000DDA50000DEA50000DFA50000DF
-:10978000E0A50000E1A50000E2A50000E3A50000BF
-:10979000E4A50000E5A50000E6A50000E7A500009F
-:1097A000E8A50000E9A50000EAA50000EBA500007F
-:1097B000ECA50000EDA50000EEA50000EFA500005F
-:1097C000F0A50000F1A50000F2A50000F3A500003F
-:1097D000F4A50000F5A50000F6A50000F7A500001F
-:1097E000F8A50000F9A50000FAA50000FBA50000FF
-:1097F000FCA50000FDA50000FEA50000FFA50000DF
-:1098000000A6000001A6000002A6000003A60000BA
-:1098100004A6000005A6000006A6000007A600009A
-:1098200008A6000009A600000AA600000BA600007A
-:109830000CA600000DA600000EA600000FA600005A
-:1098400010A6000011A6000012A6000013A600003A
-:1098500014A6000015A6000016A6000017A600001A
-:1098600018A6000019A600001AA600001BA60000FA
-:109870001CA600001DA600001EA600001FA60000DA
-:1098800020A6000021A6000022A6000023A60000BA
-:1098900024A6000025A6000026A6000027A600009A
-:1098A00028A6000029A600002AA600002BA600007A
-:1098B0002CA600002DA600002EA600002FA600005A
-:1098C00030A6000031A6000032A6000033A600003A
-:1098D00034A6000035A6000036A6000037A600001A
-:1098E00038A6000039A600003AA600003BA60000FA
-:1098F0003CA600003DA600003EA600003FA60000DA
-:1099000040A6000041A6000042A6000043A60000B9
-:1099100044A6000045A6000046A6000047A6000099
-:1099200048A6000049A600004AA600004BA6000079
-:109930004CA600004DA600004EA600004FA6000059
-:1099400050A6000051A6000052A6000053A6000039
-:1099500054A6000055A6000056A6000057A6000019
-:1099600058A6000059A600005AA600005BA60000F9
-:109970005CA600005DA600005EA600005FA60000D9
-:1099800060A6000061A6000062A6000063A60000B9
-:1099900064A6000065A6000066A6000067A6000099
-:1099A00068A6000069A600006AA600006BA6000079
-:1099B0006CA600006DA600006EA600006FA6000059
-:1099C00070A6000071A6000072A6000073A6000039
-:1099D00074A6000075A6000076A6000077A6000019
-:1099E00078A6000079A600007AA600007BA60000F9
-:1099F0007CA600007DA600007EA600007FA60000D9
-:109A000080A6000081A6000082A6000083A60000B8
-:109A100084A6000085A6000086A6000087A6000098
-:109A200088A6000089A600008AA600008BA6000078
-:109A30008CA600008DA600008EA600008FA6000058
-:109A400090A6000091A6000092A6000093A6000038
-:109A500094A6000095A6000096A6000097A6000018
-:109A600098A6000099A600009AA600009BA60000F8
-:109A70009CA600009DA600009EA600009FA60000D8
-:109A8000A0A60000A1A60000A2A60000A3A60000B8
-:109A9000A4A60000A5A60000A6A60000A7A6000098
-:109AA000A8A60000A9A60000AAA60000ABA6000078
-:109AB000ACA60000ADA60000AEA60000AFA6000058
-:109AC000B0A60000B1A60000B2A60000B3A6000038
-:109AD000B4A60000B5A60000B6A60000B7A6000018
-:109AE000B8A60000B9A60000BAA60000BBA60000F8
-:109AF000BCA60000BDA60000BEA60000BFA60000D8
-:109B0000C0A60000C1A60000C2A60000C3A60000B7
-:109B1000C4A60000C5A60000C6A60000C7A6000097
-:109B2000C8A60000C9A60000CAA60000CBA6000077
-:109B3000CCA60000CDA60000CEA60000CFA6000057
-:109B4000D0A60000D1A60000D2A60000D3A6000037
-:109B5000D4A60000D5A60000D6A60000D7A6000017
-:109B6000D8A60000D9A60000DAA60000DBA60000F7
-:109B7000DCA60000DDA60000DEA60000DFA60000D7
-:109B8000E0A60000E1A60000E2A60000E3A60000B7
-:109B9000E4A60000E5A60000E6A60000E7A6000097
-:109BA000E8A60000E9A60000EAA60000EBA6000077
-:109BB000ECA60000EDA60000EEA60000EFA6000057
-:109BC000F0A60000F1A60000F2A60000F3A6000037
-:109BD000F4A60000F5A60000F6A60000F7A6000017
-:109BE000F8A60000F9A60000FAA60000FBA60000F7
-:109BF000FCA60000FDA60000FEA60000FFA60000D7
-:109C000000A7000001A7000002A7000003A70000B2
-:109C100004A7000005A7000006A7000007A7000092
-:109C200008A7000009A700000AA700000BA7000072
-:109C30000CA700000DA700000EA700000FA7000052
-:109C400010A7000011A7000012A7000013A7000032
-:109C500014A7000015A7000016A7000017A7000012
-:109C600018A7000019A700001AA700001BA70000F2
-:109C70001CA700001DA700001EA700001FA70000D2
-:109C800020A7000021A7000022A7000023A70000B2
-:109C900024A7000025A7000026A7000027A7000092
-:109CA00028A7000029A700002AA700002BA7000072
-:109CB0002CA700002DA700002EA700002FA7000052
-:109CC00030A7000031A7000032A7000033A7000032
-:109CD00034A7000035A7000036A7000037A7000012
-:109CE00038A7000039A700003AA700003BA70000F2
-:109CF0003CA700003DA700003EA700003FA70000D2
-:109D000040A7000041A7000042A7000043A70000B1
-:109D100044A7000045A7000046A7000047A7000091
-:109D200048A7000049A700004AA700004BA7000071
-:109D30004CA700004DA700004EA700004FA7000051
-:109D400050A7000051A7000052A7000053A7000031
-:109D500054A7000055A7000056A7000057A7000011
-:109D600058A7000059A700005AA700005BA70000F1
-:109D70005CA700005DA700005EA700005FA70000D1
-:109D800060A7000061A7000062A7000063A70000B1
-:109D900064A7000065A7000066A7000067A7000091
-:109DA00068A7000069A700006AA700006BA7000071
-:109DB0006CA700006DA700006EA700006FA7000051
-:109DC00070A7000071A7000072A7000073A7000031
-:109DD00074A7000075A7000076A7000077A7000011
-:109DE00078A7000079A700007AA700007BA70000F1
-:109DF0007CA700007DA700007EA700007FA70000D1
-:109E000080A7000081A7000082A7000083A70000B0
-:109E100084A7000085A7000086A7000087A7000090
-:109E200088A7000089A700008AA700008BA7000070
-:109E30008CA700008DA700008EA700008FA7000050
-:109E400090A7000091A7000092A7000093A7000030
-:109E500094A7000095A7000096A7000097A7000010
-:109E600098A7000099A700009AA700009BA70000F0
-:109E70009CA700009DA700009EA700009FA70000D0
-:109E8000A0A70000A1A70000A2A70000A3A70000B0
-:109E9000A4A70000A5A70000A6A70000A7A7000090
-:109EA000A8A70000A9A70000AAA70000ABA7000070
-:109EB000ACA70000ADA70000AEA70000AFA7000050
-:109EC000B0A70000B1A70000B2A70000B3A7000030
-:109ED000B4A70000B5A70000B6A70000B7A7000010
-:109EE000B8A70000B9A70000BAA70000BBA70000F0
-:109EF000BCA70000BDA70000BEA70000BFA70000D0
-:109F0000C0A70000C1A70000C2A70000C3A70000AF
-:109F1000C4A70000C5A70000C6A70000C7A700008F
-:109F2000C8A70000C9A70000CAA70000CBA700006F
-:109F3000CCA70000CDA70000CEA70000CFA700004F
-:109F4000D0A70000D1A70000D2A70000D3A700002F
-:109F5000D4A70000D5A70000D6A70000D7A700000F
-:109F6000D8A70000D9A70000DAA70000DBA70000EF
-:109F7000DCA70000DDA70000DEA70000DFA70000CF
-:109F8000E0A70000E1A70000E2A70000E3A70000AF
-:109F9000E4A70000E5A70000E6A70000E7A700008F
-:109FA000E8A70000E9A70000EAA70000EBA700006F
-:109FB000ECA70000EDA70000EEA70000EFA700004F
-:109FC000F0A70000F1A70000F2A70000F3A700002F
-:109FD000F4A70000F5A70000F6A70000F7A700000F
-:109FE000F8A70000F9A70000FAA70000FBA70000EF
-:109FF000FCA70000FDA70000FEA70000FFA70000CF
-:10A0000000A8000001A8000002A8000003A80000AA
-:10A0100004A8000005A8000006A8000007A800008A
-:10A0200008A8000009A800000AA800000BA800006A
-:10A030000CA800000DA800000EA800000FA800004A
-:10A0400010A8000011A8000012A8000013A800002A
-:10A0500014A8000015A8000016A8000017A800000A
-:10A0600018A8000019A800001AA800001BA80000EA
-:10A070001CA800001DA800001EA800001FA80000CA
-:10A0800020A8000021A8000022A8000023A80000AA
-:10A0900024A8000025A8000026A8000027A800008A
-:10A0A00028A8000029A800002AA800002BA800006A
-:10A0B0002CA800002DA800002EA800002FA800004A
-:10A0C00030A8000031A8000032A8000033A800002A
-:10A0D00034A8000035A8000036A8000037A800000A
-:10A0E00038A8000039A800003AA800003BA80000EA
-:10A0F0003CA800003DA800003EA800003FA80000CA
-:10A1000040A8000041A8000042A8000043A80000A9
-:10A1100044A8000045A8000046A8000047A8000089
-:10A1200048A8000049A800004AA800004BA8000069
-:10A130004CA800004DA800004EA800004FA8000049
-:10A1400050A8000051A8000052A8000053A8000029
-:10A1500054A8000055A8000056A8000057A8000009
-:10A1600058A8000059A800005AA800005BA80000E9
-:10A170005CA800005DA800005EA800005FA80000C9
-:10A1800060A8000061A8000062A8000063A80000A9
-:10A1900064A8000065A8000066A8000067A8000089
-:10A1A00068A8000069A800006AA800006BA8000069
-:10A1B0006CA800006DA800006EA800006FA8000049
-:10A1C00070A8000071A8000072A8000073A8000029
-:10A1D00074A8000075A8000076A8000077A8000009
-:10A1E00078A8000079A800007AA800007BA80000E9
-:10A1F0007CA800007DA800007EA800007FA80000C9
-:10A2000080A8000081A8000082A8000083A80000A8
-:10A2100084A8000085A8000086A8000087A8000088
-:10A2200088A8000089A800008AA800008BA8000068
-:10A230008CA800008DA800008EA800008FA8000048
-:10A2400090A8000091A8000092A8000093A8000028
-:10A2500094A8000095A8000096A8000097A8000008
-:10A2600098A8000099A800009AA800009BA80000E8
-:10A270009CA800009DA800009EA800009FA80000C8
-:10A28000A0A80000A1A80000A2A80000A3A80000A8
-:10A29000A4A80000A5A80000A6A80000A7A8000088
-:10A2A000A8A80000A9A80000AAA80000ABA8000068
-:10A2B000ACA80000ADA80000AEA80000AFA8000048
-:10A2C000B0A80000B1A80000B2A80000B3A8000028
-:10A2D000B4A80000B5A80000B6A80000B7A8000008
-:10A2E000B8A80000B9A80000BAA80000BBA80000E8
-:10A2F000BCA80000BDA80000BEA80000BFA80000C8
-:10A30000C0A80000C1A80000C2A80000C3A80000A7
-:10A31000C4A80000C5A80000C6A80000C7A8000087
-:10A32000C8A80000C9A80000CAA80000CBA8000067
-:10A33000CCA80000CDA80000CEA80000CFA8000047
-:10A34000D0A80000D1A80000D2A80000D3A8000027
-:10A35000D4A80000D5A80000D6A80000D7A8000007
-:10A36000D8A80000D9A80000DAA80000DBA80000E7
-:10A37000DCA80000DDA80000DEA80000DFA80000C7
-:10A38000E0A80000E1A80000E2A80000E3A80000A7
-:10A39000E4A80000E5A80000E6A80000E7A8000087
-:10A3A000E8A80000E9A80000EAA80000EBA8000067
-:10A3B000ECA80000EDA80000EEA80000EFA8000047
-:10A3C000F0A80000F1A80000F2A80000F3A8000027
-:10A3D000F4A80000F5A80000F6A80000F7A8000007
-:10A3E000F8A80000F9A80000FAA80000FBA80000E7
-:10A3F000FCA80000FDA80000FEA80000FFA80000C7
-:10A4000000A9000001A9000002A9000003A90000A2
-:10A4100004A9000005A9000006A9000007A9000082
-:10A4200008A9000009A900000AA900000BA9000062
-:10A430000CA900000DA900000EA900000FA9000042
-:10A4400010A9000011A9000012A9000013A9000022
-:10A4500014A9000015A9000016A9000017A9000002
-:10A4600018A9000019A900001AA900001BA90000E2
-:10A470001CA900001DA900001EA900001FA90000C2
-:10A4800020A9000021A9000022A9000023A90000A2
-:10A4900024A9000025A9000026A9000027A9000082
-:10A4A00028A9000029A900002AA900002BA9000062
-:10A4B0002CA900002DA900002EA900002FA9000042
-:10A4C00030A9000031A9000032A9000033A9000022
-:10A4D00034A9000035A9000036A9000037A9000002
-:10A4E00038A9000039A900003AA900003BA90000E2
-:10A4F0003CA900003DA900003EA900003FA90000C2
-:10A5000040A9000041A9000042A9000043A90000A1
-:10A5100044A9000045A9000046A9000047A9000081
-:10A5200048A9000049A900004AA900004BA9000061
-:10A530004CA900004DA900004EA900004FA9000041
-:10A5400050A9000051A9000052A9000053A9000021
-:10A5500054A9000055A9000056A9000057A9000001
-:10A5600058A9000059A900005AA900005BA90000E1
-:10A570005CA900005DA900005EA900005FA90000C1
-:10A5800060A9000061A9000062A9000063A90000A1
-:10A5900064A9000065A9000066A9000067A9000081
-:10A5A00068A9000069A900006AA900006BA9000061
-:10A5B0006CA900006DA900006EA900006FA9000041
-:10A5C00070A9000071A9000072A9000073A9000021
-:10A5D00074A9000075A9000076A9000077A9000001
-:10A5E00078A9000079A900007AA900007BA90000E1
-:10A5F0007CA900007DA900007EA900007FA90000C1
-:10A6000080A9000081A9000082A9000083A90000A0
-:10A6100084A9000085A9000086A9000087A9000080
-:10A6200088A9000089A900008AA900008BA9000060
-:10A630008CA900008DA900008EA900008FA9000040
-:10A6400090A9000091A9000092A9000093A9000020
-:10A6500094A9000095A9000096A9000097A9000000
-:10A6600098A9000099A900009AA900009BA90000E0
-:10A670009CA900009DA900009EA900009FA90000C0
-:10A68000A0A90000A1A90000A2A90000A3A90000A0
-:10A69000A4A90000A5A90000A6A90000A7A9000080
-:10A6A000A8A90000A9A90000AAA90000ABA9000060
-:10A6B000ACA90000ADA90000AEA90000AFA9000040
-:10A6C000B0A90000B1A90000B2A90000B3A9000020
-:10A6D000B4A90000B5A90000B6A90000B7A9000000
-:10A6E000B8A90000B9A90000BAA90000BBA90000E0
-:10A6F000BCA90000BDA90000BEA90000BFA90000C0
-:10A70000C0A90000C1A90000C2A90000C3A900009F
-:10A71000C4A90000C5A90000C6A90000C7A900007F
-:10A72000C8A90000C9A90000CAA90000CBA900005F
-:10A73000CCA90000CDA90000CEA90000CFA900003F
-:10A74000D0A90000D1A90000D2A90000D3A900001F
-:10A75000D4A90000D5A90000D6A90000D7A90000FF
-:10A76000D8A90000D9A90000DAA90000DBA90000DF
-:10A77000DCA90000DDA90000DEA90000DFA90000BF
-:10A78000E0A90000E1A90000E2A90000E3A900009F
-:10A79000E4A90000E5A90000E6A90000E7A900007F
-:10A7A000E8A90000E9A90000EAA90000EBA900005F
-:10A7B000ECA90000EDA90000EEA90000EFA900003F
-:10A7C000F0A90000F1A90000F2A90000F3A900001F
-:10A7D000F4A90000F5A90000F6A90000F7A90000FF
-:10A7E000F8A90000F9A90000FAA90000FBA90000DF
-:10A7F000FCA90000FDA90000FEA90000FFA90000BF
-:10A8000000AA000001AA000002AA000003AA00009A
-:10A8100004AA000005AA000006AA000007AA00007A
-:10A8200008AA000009AA00000AAA00000BAA00005A
-:10A830000CAA00000DAA00000EAA00000FAA00003A
-:10A8400010AA000011AA000012AA000013AA00001A
-:10A8500014AA000015AA000016AA000017AA0000FA
-:10A8600018AA000019AA00001AAA00001BAA0000DA
-:10A870001CAA00001DAA00001EAA00001FAA0000BA
-:10A8800020AA000021AA000022AA000023AA00009A
-:10A8900024AA000025AA000026AA000027AA00007A
-:10A8A00028AA000029AA00002AAA00002BAA00005A
-:10A8B0002CAA00002DAA00002EAA00002FAA00003A
-:10A8C00030AA000031AA000032AA000033AA00001A
-:10A8D00034AA000035AA000036AA000037AA0000FA
-:10A8E00038AA000039AA00003AAA00003BAA0000DA
-:10A8F0003CAA00003DAA00003EAA00003FAA0000BA
-:10A9000040AA000041AA000042AA000043AA000099
-:10A9100044AA000045AA000046AA000047AA000079
-:10A9200048AA000049AA00004AAA00004BAA000059
-:10A930004CAA00004DAA00004EAA00004FAA000039
-:10A9400050AA000051AA000052AA000053AA000019
-:10A9500054AA000055AA000056AA000057AA0000F9
-:10A9600058AA000059AA00005AAA00005BAA0000D9
-:10A970005CAA00005DAA00005EAA00005FAA0000B9
-:10A9800060AA000061AA000062AA000063AA000099
-:10A9900064AA000065AA000066AA000067AA000079
-:10A9A00068AA000069AA00006AAA00006BAA000059
-:10A9B0006CAA00006DAA00006EAA00006FAA000039
-:10A9C00070AA000071AA000072AA000073AA000019
-:10A9D00074AA000075AA000076AA000077AA0000F9
-:10A9E00078AA000079AA00007AAA00007BAA0000D9
-:10A9F0007CAA00007DAA00007EAA00007FAA0000B9
-:10AA000080AA000081AA000082AA000083AA000098
-:10AA100084AA000085AA000086AA000087AA000078
-:10AA200088AA000089AA00008AAA00008BAA000058
-:10AA30008CAA00008DAA00008EAA00008FAA000038
-:10AA400090AA000091AA000092AA000093AA000018
-:10AA500094AA000095AA000096AA000097AA0000F8
-:10AA600098AA000099AA00009AAA00009BAA0000D8
-:10AA70009CAA00009DAA00009EAA00009FAA0000B8
-:10AA8000A0AA0000A1AA0000A2AA0000A3AA000098
-:10AA9000A4AA0000A5AA0000A6AA0000A7AA000078
-:10AAA000A8AA0000A9AA0000AAAA0000ABAA000058
-:10AAB000ACAA0000ADAA0000AEAA0000AFAA000038
-:10AAC000B0AA0000B1AA0000B2AA0000B3AA000018
-:10AAD000B4AA0000B5AA0000B6AA0000B7AA0000F8
-:10AAE000B8AA0000B9AA0000BAAA0000BBAA0000D8
-:10AAF000BCAA0000BDAA0000BEAA0000BFAA0000B8
-:10AB0000C0AA0000C1AA0000C2AA0000C3AA000097
-:10AB1000C4AA0000C5AA0000C6AA0000C7AA000077
-:10AB2000C8AA0000C9AA0000CAAA0000CBAA000057
-:10AB3000CCAA0000CDAA0000CEAA0000CFAA000037
-:10AB4000D0AA0000D1AA0000D2AA0000D3AA000017
-:10AB5000D4AA0000D5AA0000D6AA0000D7AA0000F7
-:10AB6000D8AA0000D9AA0000DAAA0000DBAA0000D7
-:10AB7000DCAA0000DDAA0000DEAA0000DFAA0000B7
-:10AB8000E0AA0000E1AA0000E2AA0000E3AA000097
-:10AB9000E4AA0000E5AA0000E6AA0000E7AA000077
-:10ABA000E8AA0000E9AA0000EAAA0000EBAA000057
-:10ABB000ECAA0000EDAA0000EEAA0000EFAA000037
-:10ABC000F0AA0000F1AA0000F2AA0000F3AA000017
-:10ABD000F4AA0000F5AA0000F6AA0000F7AA0000F7
-:10ABE000F8AA0000F9AA0000FAAA0000FBAA0000D7
-:10ABF000FCAA0000FDAA0000FEAA0000FFAA0000B7
-:10AC000000AB000001AB000002AB000003AB000092
-:10AC100004AB000005AB000006AB000007AB000072
-:10AC200008AB000009AB00000AAB00000BAB000052
-:10AC30000CAB00000DAB00000EAB00000FAB000032
-:10AC400010AB000011AB000012AB000013AB000012
-:10AC500014AB000015AB000016AB000017AB0000F2
-:10AC600018AB000019AB00001AAB00001BAB0000D2
-:10AC70001CAB00001DAB00001EAB00001FAB0000B2
-:10AC800020AB000021AB000022AB000023AB000092
-:10AC900024AB000025AB000026AB000027AB000072
-:10ACA00028AB000029AB00002AAB00002BAB000052
-:10ACB0002CAB00002DAB00002EAB00002FAB000032
-:10ACC00030AB000031AB000032AB000033AB000012
-:10ACD00034AB000035AB000036AB000037AB0000F2
-:10ACE00038AB000039AB00003AAB00003BAB0000D2
-:10ACF0003CAB00003DAB00003EAB00003FAB0000B2
-:10AD000040AB000041AB000042AB000043AB000091
-:10AD100044AB000045AB000046AB000047AB000071
-:10AD200048AB000049AB00004AAB00004BAB000051
-:10AD30004CAB00004DAB00004EAB00004FAB000031
-:10AD400050AB000051AB000052AB000053AB000011
-:10AD500054AB000055AB000056AB000057AB0000F1
-:10AD600058AB000059AB00005AAB00005BAB0000D1
-:10AD70005CAB00005DAB00005EAB00005FAB0000B1
-:10AD800060AB000061AB000062AB000063AB000091
-:10AD900064AB000065AB000066AB000067AB000071
-:10ADA00068AB000069AB00006AAB00006BAB000051
-:10ADB0006CAB00006DAB00006EAB00006FAB000031
-:10ADC00070AB000071AB000072AB000073AB000011
-:10ADD00074AB000075AB000076AB000077AB0000F1
-:10ADE00078AB000079AB00007AAB00007BAB0000D1
-:10ADF0007CAB00007DAB00007EAB00007FAB0000B1
-:10AE000080AB000081AB000082AB000083AB000090
-:10AE100084AB000085AB000086AB000087AB000070
-:10AE200088AB000089AB00008AAB00008BAB000050
-:10AE30008CAB00008DAB00008EAB00008FAB000030
-:10AE400090AB000091AB000092AB000093AB000010
-:10AE500094AB000095AB000096AB000097AB0000F0
-:10AE600098AB000099AB00009AAB00009BAB0000D0
-:10AE70009CAB00009DAB00009EAB00009FAB0000B0
-:10AE8000A0AB0000A1AB0000A2AB0000A3AB000090
-:10AE9000A4AB0000A5AB0000A6AB0000A7AB000070
-:10AEA000A8AB0000A9AB0000AAAB0000ABAB000050
-:10AEB000ACAB0000ADAB0000AEAB0000AFAB000030
-:10AEC000B0AB0000B1AB0000B2AB0000B3AB000010
-:10AED000B4AB0000B5AB0000B6AB0000B7AB0000F0
-:10AEE000B8AB0000B9AB0000BAAB0000BBAB0000D0
-:10AEF000BCAB0000BDAB0000BEAB0000BFAB0000B0
-:10AF0000C0AB0000C1AB0000C2AB0000C3AB00008F
-:10AF1000C4AB0000C5AB0000C6AB0000C7AB00006F
-:10AF2000C8AB0000C9AB0000CAAB0000CBAB00004F
-:10AF3000CCAB0000CDAB0000CEAB0000CFAB00002F
-:10AF4000D0AB0000D1AB0000D2AB0000D3AB00000F
-:10AF5000D4AB0000D5AB0000D6AB0000D7AB0000EF
-:10AF6000D8AB0000D9AB0000DAAB0000DBAB0000CF
-:10AF7000DCAB0000DDAB0000DEAB0000DFAB0000AF
-:10AF8000E0AB0000E1AB0000E2AB0000E3AB00008F
-:10AF9000E4AB0000E5AB0000E6AB0000E7AB00006F
-:10AFA000E8AB0000E9AB0000EAAB0000EBAB00004F
-:10AFB000ECAB0000EDAB0000EEAB0000EFAB00002F
-:10AFC000F0AB0000F1AB0000F2AB0000F3AB00000F
-:10AFD000F4AB0000F5AB0000F6AB0000F7AB0000EF
-:10AFE000F8AB0000F9AB0000FAAB0000FBAB0000CF
-:10AFF000FCAB0000FDAB0000FEAB0000FFAB0000AF
-:10B0000000AC000001AC000002AC000003AC00008A
-:10B0100004AC000005AC000006AC000007AC00006A
-:10B0200008AC000009AC00000AAC00000BAC00004A
-:10B030000CAC00000DAC00000EAC00000FAC00002A
-:10B0400010AC000011AC000012AC000013AC00000A
-:10B0500014AC000015AC000016AC000017AC0000EA
-:10B0600018AC000019AC00001AAC00001BAC0000CA
-:10B070001CAC00001DAC00001EAC00001FAC0000AA
-:10B0800020AC000021AC000022AC000023AC00008A
-:10B0900024AC000025AC000026AC000027AC00006A
-:10B0A00028AC000029AC00002AAC00002BAC00004A
-:10B0B0002CAC00002DAC00002EAC00002FAC00002A
-:10B0C00030AC000031AC000032AC000033AC00000A
-:10B0D00034AC000035AC000036AC000037AC0000EA
-:10B0E00038AC000039AC00003AAC00003BAC0000CA
-:10B0F0003CAC00003DAC00003EAC00003FAC0000AA
-:10B1000040AC000041AC000042AC000043AC000089
-:10B1100044AC000045AC000046AC000047AC000069
-:10B1200048AC000049AC00004AAC00004BAC000049
-:10B130004CAC00004DAC00004EAC00004FAC000029
-:10B1400050AC000051AC000052AC000053AC000009
-:10B1500054AC000055AC000056AC000057AC0000E9
-:10B1600058AC000059AC00005AAC00005BAC0000C9
-:10B170005CAC00005DAC00005EAC00005FAC0000A9
-:10B1800060AC000061AC000062AC000063AC000089
-:10B1900064AC000065AC000066AC000067AC000069
-:10B1A00068AC000069AC00006AAC00006BAC000049
-:10B1B0006CAC00006DAC00006EAC00006FAC000029
-:10B1C00070AC000071AC000072AC000073AC000009
-:10B1D00074AC000075AC000076AC000077AC0000E9
-:10B1E00078AC000079AC00007AAC00007BAC0000C9
-:10B1F0007CAC00007DAC00007EAC00007FAC0000A9
-:10B2000080AC000081AC000082AC000083AC000088
-:10B2100084AC000085AC000086AC000087AC000068
-:10B2200088AC000089AC00008AAC00008BAC000048
-:10B230008CAC00008DAC00008EAC00008FAC000028
-:10B2400090AC000091AC000092AC000093AC000008
-:10B2500094AC000095AC000096AC000097AC0000E8
-:10B2600098AC000099AC00009AAC00009BAC0000C8
-:10B270009CAC00009DAC00009EAC00009FAC0000A8
-:10B28000A0AC0000A1AC0000A2AC0000A3AC000088
-:10B29000A4AC0000A5AC0000A6AC0000A7AC000068
-:10B2A000A8AC0000A9AC0000AAAC0000ABAC000048
-:10B2B000ACAC0000ADAC0000AEAC0000AFAC000028
-:10B2C000B0AC0000B1AC0000B2AC0000B3AC000008
-:10B2D000B4AC0000B5AC0000B6AC0000B7AC0000E8
-:10B2E000B8AC0000B9AC0000BAAC0000BBAC0000C8
-:10B2F000BCAC0000BDAC0000BEAC0000BFAC0000A8
-:10B30000C0AC0000C1AC0000C2AC0000C3AC000087
-:10B31000C4AC0000C5AC0000C6AC0000C7AC000067
-:10B32000C8AC0000C9AC0000CAAC0000CBAC000047
-:10B33000CCAC0000CDAC0000CEAC0000CFAC000027
-:10B34000D0AC0000D1AC0000D2AC0000D3AC000007
-:10B35000D4AC0000D5AC0000D6AC0000D7AC0000E7
-:10B36000D8AC0000D9AC0000DAAC0000DBAC0000C7
-:10B37000DCAC0000DDAC0000DEAC0000DFAC0000A7
-:10B38000E0AC0000E1AC0000E2AC0000E3AC000087
-:10B39000E4AC0000E5AC0000E6AC0000E7AC000067
-:10B3A000E8AC0000E9AC0000EAAC0000EBAC000047
-:10B3B000ECAC0000EDAC0000EEAC0000EFAC000027
-:10B3C000F0AC0000F1AC0000F2AC0000F3AC000007
-:10B3D000F4AC0000F5AC0000F6AC0000F7AC0000E7
-:10B3E000F8AC0000F9AC0000FAAC0000FBAC0000C7
-:10B3F000FCAC0000FDAC0000FEAC0000FFAC0000A7
-:10B4000000AD000001AD000002AD000003AD000082
-:10B4100004AD000005AD000006AD000007AD000062
-:10B4200008AD000009AD00000AAD00000BAD000042
-:10B430000CAD00000DAD00000EAD00000FAD000022
-:10B4400010AD000011AD000012AD000013AD000002
-:10B4500014AD000015AD000016AD000017AD0000E2
-:10B4600018AD000019AD00001AAD00001BAD0000C2
-:10B470001CAD00001DAD00001EAD00001FAD0000A2
-:10B4800020AD000021AD000022AD000023AD000082
-:10B4900024AD000025AD000026AD000027AD000062
-:10B4A00028AD000029AD00002AAD00002BAD000042
-:10B4B0002CAD00002DAD00002EAD00002FAD000022
-:10B4C00030AD000031AD000032AD000033AD000002
-:10B4D00034AD000035AD000036AD000037AD0000E2
-:10B4E00038AD000039AD00003AAD00003BAD0000C2
-:10B4F0003CAD00003DAD00003EAD00003FAD0000A2
-:10B5000040AD000041AD000042AD000043AD000081
-:10B5100044AD000045AD000046AD000047AD000061
-:10B5200048AD000049AD00004AAD00004BAD000041
-:10B530004CAD00004DAD00004EAD00004FAD000021
-:10B5400050AD000051AD000052AD000053AD000001
-:10B5500054AD000055AD000056AD000057AD0000E1
-:10B5600058AD000059AD00005AAD00005BAD0000C1
-:10B570005CAD00005DAD00005EAD00005FAD0000A1
-:10B5800060AD000061AD000062AD000063AD000081
-:10B5900064AD000065AD000066AD000067AD000061
-:10B5A00068AD000069AD00006AAD00006BAD000041
-:10B5B0006CAD00006DAD00006EAD00006FAD000021
-:10B5C00070AD000071AD000072AD000073AD000001
-:10B5D00074AD000075AD000076AD000077AD0000E1
-:10B5E00078AD000079AD00007AAD00007BAD0000C1
-:10B5F0007CAD00007DAD00007EAD00007FAD0000A1
-:10B6000080AD000081AD000082AD000083AD000080
-:10B6100084AD000085AD000086AD000087AD000060
-:10B6200088AD000089AD00008AAD00008BAD000040
-:10B630008CAD00008DAD00008EAD00008FAD000020
-:10B6400090AD000091AD000092AD000093AD000000
-:10B6500094AD000095AD000096AD000097AD0000E0
-:10B6600098AD000099AD00009AAD00009BAD0000C0
-:10B670009CAD00009DAD00009EAD00009FAD0000A0
-:10B68000A0AD0000A1AD0000A2AD0000A3AD000080
-:10B69000A4AD0000A5AD0000A6AD0000A7AD000060
-:10B6A000A8AD0000A9AD0000AAAD0000ABAD000040
-:10B6B000ACAD0000ADAD0000AEAD0000AFAD000020
-:10B6C000B0AD0000B1AD0000B2AD0000B3AD000000
-:10B6D000B4AD0000B5AD0000B6AD0000B7AD0000E0
-:10B6E000B8AD0000B9AD0000BAAD0000BBAD0000C0
-:10B6F000BCAD0000BDAD0000BEAD0000BFAD0000A0
-:10B70000C0AD0000C1AD0000C2AD0000C3AD00007F
-:10B71000C4AD0000C5AD0000C6AD0000C7AD00005F
-:10B72000C8AD0000C9AD0000CAAD0000CBAD00003F
-:10B73000CCAD0000CDAD0000CEAD0000CFAD00001F
-:10B74000D0AD0000D1AD0000D2AD0000D3AD0000FF
-:10B75000D4AD0000D5AD0000D6AD0000D7AD0000DF
-:10B76000D8AD0000D9AD0000DAAD0000DBAD0000BF
-:10B77000DCAD0000DDAD0000DEAD0000DFAD00009F
-:10B78000E0AD0000E1AD0000E2AD0000E3AD00007F
-:10B79000E4AD0000E5AD0000E6AD0000E7AD00005F
-:10B7A000E8AD0000E9AD0000EAAD0000EBAD00003F
-:10B7B000ECAD0000EDAD0000EEAD0000EFAD00001F
-:10B7C000F0AD0000F1AD0000F2AD0000F3AD0000FF
-:10B7D000F4AD0000F5AD0000F6AD0000F7AD0000DF
-:10B7E000F8AD0000F9AD0000FAAD0000FBAD0000BF
-:10B7F000FCAD0000FDAD0000FEAD0000FFAD00009F
-:10B8000000AE000001AE000002AE000003AE00007A
-:10B8100004AE000005AE000006AE000007AE00005A
-:10B8200008AE000009AE00000AAE00000BAE00003A
-:10B830000CAE00000DAE00000EAE00000FAE00001A
-:10B8400010AE000011AE000012AE000013AE0000FA
-:10B8500014AE000015AE000016AE000017AE0000DA
-:10B8600018AE000019AE00001AAE00001BAE0000BA
-:10B870001CAE00001DAE00001EAE00001FAE00009A
-:10B8800020AE000021AE000022AE000023AE00007A
-:10B8900024AE000025AE000026AE000027AE00005A
-:10B8A00028AE000029AE00002AAE00002BAE00003A
-:10B8B0002CAE00002DAE00002EAE00002FAE00001A
-:10B8C00030AE000031AE000032AE000033AE0000FA
-:10B8D00034AE000035AE000036AE000037AE0000DA
-:10B8E00038AE000039AE00003AAE00003BAE0000BA
-:10B8F0003CAE00003DAE00003EAE00003FAE00009A
-:10B9000040AE000041AE000042AE000043AE000079
-:10B9100044AE000045AE000046AE000047AE000059
-:10B9200048AE000049AE00004AAE00004BAE000039
-:10B930004CAE00004DAE00004EAE00004FAE000019
-:10B9400050AE000051AE000052AE000053AE0000F9
-:10B9500054AE000055AE000056AE000057AE0000D9
-:10B9600058AE000059AE00005AAE00005BAE0000B9
-:10B970005CAE00005DAE00005EAE00005FAE000099
-:10B9800060AE000061AE000062AE000063AE000079
-:10B9900064AE000065AE000066AE000067AE000059
-:10B9A00068AE000069AE00006AAE00006BAE000039
-:10B9B0006CAE00006DAE00006EAE00006FAE000019
-:10B9C00070AE000071AE000072AE000073AE0000F9
-:10B9D00074AE000075AE000076AE000077AE0000D9
-:10B9E00078AE000079AE00007AAE00007BAE0000B9
-:10B9F0007CAE00007DAE00007EAE00007FAE000099
-:10BA000080AE000081AE000082AE000083AE000078
-:10BA100084AE000085AE000086AE000087AE000058
-:10BA200088AE000089AE00008AAE00008BAE000038
-:10BA30008CAE00008DAE00008EAE00008FAE000018
-:10BA400090AE000091AE000092AE000093AE0000F8
-:10BA500094AE000095AE000096AE000097AE0000D8
-:10BA600098AE000099AE00009AAE00009BAE0000B8
-:10BA70009CAE00009DAE00009EAE00009FAE000098
-:10BA8000A0AE0000A1AE0000A2AE0000A3AE000078
-:10BA9000A4AE0000A5AE0000A6AE0000A7AE000058
-:10BAA000A8AE0000A9AE0000AAAE0000ABAE000038
-:10BAB000ACAE0000ADAE0000AEAE0000AFAE000018
-:10BAC000B0AE0000B1AE0000B2AE0000B3AE0000F8
-:10BAD000B4AE0000B5AE0000B6AE0000B7AE0000D8
-:10BAE000B8AE0000B9AE0000BAAE0000BBAE0000B8
-:10BAF000BCAE0000BDAE0000BEAE0000BFAE000098
-:10BB0000C0AE0000C1AE0000C2AE0000C3AE000077
-:10BB1000C4AE0000C5AE0000C6AE0000C7AE000057
-:10BB2000C8AE0000C9AE0000CAAE0000CBAE000037
-:10BB3000CCAE0000CDAE0000CEAE0000CFAE000017
-:10BB4000D0AE0000D1AE0000D2AE0000D3AE0000F7
-:10BB5000D4AE0000D5AE0000D6AE0000D7AE0000D7
-:10BB6000D8AE0000D9AE0000DAAE0000DBAE0000B7
-:10BB7000DCAE0000DDAE0000DEAE0000DFAE000097
-:10BB8000E0AE0000E1AE0000E2AE0000E3AE000077
-:10BB9000E4AE0000E5AE0000E6AE0000E7AE000057
-:10BBA000E8AE0000E9AE0000EAAE0000EBAE000037
-:10BBB000ECAE0000EDAE0000EEAE0000EFAE000017
-:10BBC000F0AE0000F1AE0000F2AE0000F3AE0000F7
-:10BBD000F4AE0000F5AE0000F6AE0000F7AE0000D7
-:10BBE000F8AE0000F9AE0000FAAE0000FBAE0000B7
-:10BBF000FCAE0000FDAE0000FEAE0000FFAE000097
-:10BC000000AF000001AF000002AF000003AF000072
-:10BC100004AF000005AF000006AF000007AF000052
-:10BC200008AF000009AF00000AAF00000BAF000032
-:10BC30000CAF00000DAF00000EAF00000FAF000012
-:10BC400010AF000011AF000012AF000013AF0000F2
-:10BC500014AF000015AF000016AF000017AF0000D2
-:10BC600018AF000019AF00001AAF00001BAF0000B2
-:10BC70001CAF00001DAF00001EAF00001FAF000092
-:10BC800020AF000021AF000022AF000023AF000072
-:10BC900024AF000025AF000026AF000027AF000052
-:10BCA00028AF000029AF00002AAF00002BAF000032
-:10BCB0002CAF00002DAF00002EAF00002FAF000012
-:10BCC00030AF000031AF000032AF000033AF0000F2
-:10BCD00034AF000035AF000036AF000037AF0000D2
-:10BCE00038AF000039AF00003AAF00003BAF0000B2
-:10BCF0003CAF00003DAF00003EAF00003FAF000092
-:10BD000040AF000041AF000042AF000043AF000071
-:10BD100044AF000045AF000046AF000047AF000051
-:10BD200048AF000049AF00004AAF00004BAF000031
-:10BD30004CAF00004DAF00004EAF00004FAF000011
-:10BD400050AF000051AF000052AF000053AF0000F1
-:10BD500054AF000055AF000056AF000057AF0000D1
-:10BD600058AF000059AF00005AAF00005BAF0000B1
-:10BD70005CAF00005DAF00005EAF00005FAF000091
-:10BD800060AF000061AF000062AF000063AF000071
-:10BD900064AF000065AF000066AF000067AF000051
-:10BDA00068AF000069AF00006AAF00006BAF000031
-:10BDB0006CAF00006DAF00006EAF00006FAF000011
-:10BDC00070AF000071AF000072AF000073AF0000F1
-:10BDD00074AF000075AF000076AF000077AF0000D1
-:10BDE00078AF000079AF00007AAF00007BAF0000B1
-:10BDF0007CAF00007DAF00007EAF00007FAF000091
-:10BE000080AF000081AF000082AF000083AF000070
-:10BE100084AF000085AF000086AF000087AF000050
-:10BE200088AF000089AF00008AAF00008BAF000030
-:10BE30008CAF00008DAF00008EAF00008FAF000010
-:10BE400090AF000091AF000092AF000093AF0000F0
-:10BE500094AF000095AF000096AF000097AF0000D0
-:10BE600098AF000099AF00009AAF00009BAF0000B0
-:10BE70009CAF00009DAF00009EAF00009FAF000090
-:10BE8000A0AF0000A1AF0000A2AF0000A3AF000070
-:10BE9000A4AF0000A5AF0000A6AF0000A7AF000050
-:10BEA000A8AF0000A9AF0000AAAF0000ABAF000030
-:10BEB000ACAF0000ADAF0000AEAF0000AFAF000010
-:10BEC000B0AF0000B1AF0000B2AF0000B3AF0000F0
-:10BED000B4AF0000B5AF0000B6AF0000B7AF0000D0
-:10BEE000B8AF0000B9AF0000BAAF0000BBAF0000B0
-:10BEF000BCAF0000BDAF0000BEAF0000BFAF000090
-:10BF0000C0AF0000C1AF0000C2AF0000C3AF00006F
-:10BF1000C4AF0000C5AF0000C6AF0000C7AF00004F
-:10BF2000C8AF0000C9AF0000CAAF0000CBAF00002F
-:10BF3000CCAF0000CDAF0000CEAF0000CFAF00000F
-:10BF4000D0AF0000D1AF0000D2AF0000D3AF0000EF
-:10BF5000D4AF0000D5AF0000D6AF0000D7AF0000CF
-:10BF6000D8AF0000D9AF0000DAAF0000DBAF0000AF
-:10BF7000DCAF0000DDAF0000DEAF0000DFAF00008F
-:10BF8000E0AF0000E1AF0000E2AF0000E3AF00006F
-:10BF9000E4AF0000E5AF0000E6AF0000E7AF00004F
-:10BFA000E8AF0000E9AF0000EAAF0000EBAF00002F
-:10BFB000ECAF0000EDAF0000EEAF0000EFAF00000F
-:10BFC000F0AF0000F1AF0000F2AF0000F3AF0000EF
-:10BFD000F4AF0000F5AF0000F6AF0000F7AF0000CF
-:10BFE000F8AF0000F9AF0000FAAF0000FBAF0000AF
-:10BFF000FCAF0000FDAF0000FEAF0000FFAF00008F
-:10C0000000B0000001B0000002B0000003B000006A
-:10C0100004B0000005B0000006B0000007B000004A
-:10C0200008B0000009B000000AB000000BB000002A
-:10C030000CB000000DB000000EB000000FB000000A
-:10C0400010B0000011B0000012B0000013B00000EA
-:10C0500014B0000015B0000016B0000017B00000CA
-:10C0600018B0000019B000001AB000001BB00000AA
-:10C070001CB000001DB000001EB000001FB000008A
-:10C0800020B0000021B0000022B0000023B000006A
-:10C0900024B0000025B0000026B0000027B000004A
-:10C0A00028B0000029B000002AB000002BB000002A
-:10C0B0002CB000002DB000002EB000002FB000000A
-:10C0C00030B0000031B0000032B0000033B00000EA
-:10C0D00034B0000035B0000036B0000037B00000CA
-:10C0E00038B0000039B000003AB000003BB00000AA
-:10C0F0003CB000003DB000003EB000003FB000008A
-:10C1000040B0000041B0000042B0000043B0000069
-:10C1100044B0000045B0000046B0000047B0000049
-:10C1200048B0000049B000004AB000004BB0000029
-:10C130004CB000004DB000004EB000004FB0000009
-:10C1400050B0000051B0000052B0000053B00000E9
-:10C1500054B0000055B0000056B0000057B00000C9
-:10C1600058B0000059B000005AB000005BB00000A9
-:10C170005CB000005DB000005EB000005FB0000089
-:10C1800060B0000061B0000062B0000063B0000069
-:10C1900064B0000065B0000066B0000067B0000049
-:10C1A00068B0000069B000006AB000006BB0000029
-:10C1B0006CB000006DB000006EB000006FB0000009
-:10C1C00070B0000071B0000072B0000073B00000E9
-:10C1D00074B0000075B0000076B0000077B00000C9
-:10C1E00078B0000079B000007AB000007BB00000A9
-:10C1F0007CB000007DB000007EB000007FB0000089
-:10C2000080B0000081B0000082B0000083B0000068
-:10C2100084B0000085B0000086B0000087B0000048
-:10C2200088B0000089B000008AB000008BB0000028
-:10C230008CB000008DB000008EB000008FB0000008
-:10C2400090B0000091B0000092B0000093B00000E8
-:10C2500094B0000095B0000096B0000097B00000C8
-:10C2600098B0000099B000009AB000009BB00000A8
-:10C270009CB000009DB000009EB000009FB0000088
-:10C28000A0B00000A1B00000A2B00000A3B0000068
-:10C29000A4B00000A5B00000A6B00000A7B0000048
-:10C2A000A8B00000A9B00000AAB00000ABB0000028
-:10C2B000ACB00000ADB00000AEB00000AFB0000008
-:10C2C000B0B00000B1B00000B2B00000B3B00000E8
-:10C2D000B4B00000B5B00000B6B00000B7B00000C8
-:10C2E000B8B00000B9B00000BAB00000BBB00000A8
-:10C2F000BCB00000BDB00000BEB00000BFB0000088
-:10C30000C0B00000C1B00000C2B00000C3B0000067
-:10C31000C4B00000C5B00000C6B00000C7B0000047
-:10C32000C8B00000C9B00000CAB00000CBB0000027
-:10C33000CCB00000CDB00000CEB00000CFB0000007
-:10C34000D0B00000D1B00000D2B00000D3B00000E7
-:10C35000D4B00000D5B00000D6B00000D7B00000C7
-:10C36000D8B00000D9B00000DAB00000DBB00000A7
-:10C37000DCB00000DDB00000DEB00000DFB0000087
-:10C38000E0B00000E1B00000E2B00000E3B0000067
-:10C39000E4B00000E5B00000E6B00000E7B0000047
-:10C3A000E8B00000E9B00000EAB00000EBB0000027
-:10C3B000ECB00000EDB00000EEB00000EFB0000007
-:10C3C000F0B00000F1B00000F2B00000F3B00000E7
-:10C3D000F4B00000F5B00000F6B00000F7B00000C7
-:10C3E000F8B00000F9B00000FAB00000FBB00000A7
-:10C3F000FCB00000FDB00000FEB00000FFB0000087
-:10C4000000B1000001B1000002B1000003B1000062
-:10C4100004B1000005B1000006B1000007B1000042
-:10C4200008B1000009B100000AB100000BB1000022
-:10C430000CB100000DB100000EB100000FB1000002
-:10C4400010B1000011B1000012B1000013B10000E2
-:10C4500014B1000015B1000016B1000017B10000C2
-:10C4600018B1000019B100001AB100001BB10000A2
-:10C470001CB100001DB100001EB100001FB1000082
-:10C4800020B1000021B1000022B1000023B1000062
-:10C4900024B1000025B1000026B1000027B1000042
-:10C4A00028B1000029B100002AB100002BB1000022
-:10C4B0002CB100002DB100002EB100002FB1000002
-:10C4C00030B1000031B1000032B1000033B10000E2
-:10C4D00034B1000035B1000036B1000037B10000C2
-:10C4E00038B1000039B100003AB100003BB10000A2
-:10C4F0003CB100003DB100003EB100003FB1000082
-:10C5000040B1000041B1000042B1000043B1000061
-:10C5100044B1000045B1000046B1000047B1000041
-:10C5200048B1000049B100004AB100004BB1000021
-:10C530004CB100004DB100004EB100004FB1000001
-:10C5400050B1000051B1000052B1000053B10000E1
-:10C5500054B1000055B1000056B1000057B10000C1
-:10C5600058B1000059B100005AB100005BB10000A1
-:10C570005CB100005DB100005EB100005FB1000081
-:10C5800060B1000061B1000062B1000063B1000061
-:10C5900064B1000065B1000066B1000067B1000041
-:10C5A00068B1000069B100006AB100006BB1000021
-:10C5B0006CB100006DB100006EB100006FB1000001
-:10C5C00070B1000071B1000072B1000073B10000E1
-:10C5D00074B1000075B1000076B1000077B10000C1
-:10C5E00078B1000079B100007AB100007BB10000A1
-:10C5F0007CB100007DB100007EB100007FB1000081
-:10C6000080B1000081B1000082B1000083B1000060
-:10C6100084B1000085B1000086B1000087B1000040
-:10C6200088B1000089B100008AB100008BB1000020
-:10C630008CB100008DB100008EB100008FB1000000
-:10C6400090B1000091B1000092B1000093B10000E0
-:10C6500094B1000095B1000096B1000097B10000C0
-:10C6600098B1000099B100009AB100009BB10000A0
-:10C670009CB100009DB100009EB100009FB1000080
-:10C68000A0B10000A1B10000A2B10000A3B1000060
-:10C69000A4B10000A5B10000A6B10000A7B1000040
-:10C6A000A8B10000A9B10000AAB10000ABB1000020
-:10C6B000ACB10000ADB10000AEB10000AFB1000000
-:10C6C000B0B10000B1B10000B2B10000B3B10000E0
-:10C6D000B4B10000B5B10000B6B10000B7B10000C0
-:10C6E000B8B10000B9B10000BAB10000BBB10000A0
-:10C6F000BCB10000BDB10000BEB10000BFB1000080
-:10C70000C0B10000C1B10000C2B10000C3B100005F
-:10C71000C4B10000C5B10000C6B10000C7B100003F
-:10C72000C8B10000C9B10000CAB10000CBB100001F
-:10C73000CCB10000CDB10000CEB10000CFB10000FF
-:10C74000D0B10000D1B10000D2B10000D3B10000DF
-:10C75000D4B10000D5B10000D6B10000D7B10000BF
-:10C76000D8B10000D9B10000DAB10000DBB100009F
-:10C77000DCB10000DDB10000DEB10000DFB100007F
-:10C78000E0B10000E1B10000E2B10000E3B100005F
-:10C79000E4B10000E5B10000E6B10000E7B100003F
-:10C7A000E8B10000E9B10000EAB10000EBB100001F
-:10C7B000ECB10000EDB10000EEB10000EFB10000FF
-:10C7C000F0B10000F1B10000F2B10000F3B10000DF
-:10C7D000F4B10000F5B10000F6B10000F7B10000BF
-:10C7E000F8B10000F9B10000FAB10000FBB100009F
-:10C7F000FCB10000FDB10000FEB10000FFB100007F
-:10C8000000B2000001B2000002B2000003B200005A
-:10C8100004B2000005B2000006B2000007B200003A
-:10C8200008B2000009B200000AB200000BB200001A
-:10C830000CB200000DB200000EB200000FB20000FA
-:10C8400010B2000011B2000012B2000013B20000DA
-:10C8500014B2000015B2000016B2000017B20000BA
-:10C8600018B2000019B200001AB200001BB200009A
-:10C870001CB200001DB200001EB200001FB200007A
-:10C8800020B2000021B2000022B2000023B200005A
-:10C8900024B2000025B2000026B2000027B200003A
-:10C8A00028B2000029B200002AB200002BB200001A
-:10C8B0002CB200002DB200002EB200002FB20000FA
-:10C8C00030B2000031B2000032B2000033B20000DA
-:10C8D00034B2000035B2000036B2000037B20000BA
-:10C8E00038B2000039B200003AB200003BB200009A
-:10C8F0003CB200003DB200003EB200003FB200007A
-:10C9000040B2000041B2000042B2000043B2000059
-:10C9100044B2000045B2000046B2000047B2000039
-:10C9200048B2000049B200004AB200004BB2000019
-:10C930004CB200004DB200004EB200004FB20000F9
-:10C9400050B2000051B2000052B2000053B20000D9
-:10C9500054B2000055B2000056B2000057B20000B9
-:10C9600058B2000059B200005AB200005BB2000099
-:10C970005CB200005DB200005EB200005FB2000079
-:10C9800060B2000061B2000062B2000063B2000059
-:10C9900064B2000065B2000066B2000067B2000039
-:10C9A00068B2000069B200006AB200006BB2000019
-:10C9B0006CB200006DB200006EB200006FB20000F9
-:10C9C00070B2000071B2000072B2000073B20000D9
-:10C9D00074B2000075B2000076B2000077B20000B9
-:10C9E00078B2000079B200007AB200007BB2000099
-:10C9F0007CB200007DB200007EB200007FB2000079
-:10CA000080B2000081B2000082B2000083B2000058
-:10CA100084B2000085B2000086B2000087B2000038
-:10CA200088B2000089B200008AB200008BB2000018
-:10CA30008CB200008DB200008EB200008FB20000F8
-:10CA400090B2000091B2000092B2000093B20000D8
-:10CA500094B2000095B2000096B2000097B20000B8
-:10CA600098B2000099B200009AB200009BB2000098
-:10CA70009CB200009DB200009EB200009FB2000078
-:10CA8000A0B20000A1B20000A2B20000A3B2000058
-:10CA9000A4B20000A5B20000A6B20000A7B2000038
-:10CAA000A8B20000A9B20000AAB20000ABB2000018
-:10CAB000ACB20000ADB20000AEB20000AFB20000F8
-:10CAC000B0B20000B1B20000B2B20000B3B20000D8
-:10CAD000B4B20000B5B20000B6B20000B7B20000B8
-:10CAE000B8B20000B9B20000BAB20000BBB2000098
-:10CAF000BCB20000BDB20000BEB20000BFB2000078
-:10CB0000C0B20000C1B20000C2B20000C3B2000057
-:10CB1000C4B20000C5B20000C6B20000C7B2000037
-:10CB2000C8B20000C9B20000CAB20000CBB2000017
-:10CB3000CCB20000CDB20000CEB20000CFB20000F7
-:10CB4000D0B20000D1B20000D2B20000D3B20000D7
-:10CB5000D4B20000D5B20000D6B20000D7B20000B7
-:10CB6000D8B20000D9B20000DAB20000DBB2000097
-:10CB7000DCB20000DDB20000DEB20000DFB2000077
-:10CB8000E0B20000E1B20000E2B20000E3B2000057
-:10CB9000E4B20000E5B20000E6B20000E7B2000037
-:10CBA000E8B20000E9B20000EAB20000EBB2000017
-:10CBB000ECB20000EDB20000EEB20000EFB20000F7
-:10CBC000F0B20000F1B20000F2B20000F3B20000D7
-:10CBD000F4B20000F5B20000F6B20000F7B20000B7
-:10CBE000F8B20000F9B20000FAB20000FBB2000097
-:10CBF000FCB20000FDB20000FEB20000FFB2000077
-:10CC000000B3000001B3000002B3000003B3000052
-:10CC100004B3000005B3000006B3000007B3000032
-:10CC200008B3000009B300000AB300000BB3000012
-:10CC30000CB300000DB300000EB300000FB30000F2
-:10CC400010B3000011B3000012B3000013B30000D2
-:10CC500014B3000015B3000016B3000017B30000B2
-:10CC600018B3000019B300001AB300001BB3000092
-:10CC70001CB300001DB300001EB300001FB3000072
-:10CC800020B3000021B3000022B3000023B3000052
-:10CC900024B3000025B3000026B3000027B3000032
-:10CCA00028B3000029B300002AB300002BB3000012
-:10CCB0002CB300002DB300002EB300002FB30000F2
-:10CCC00030B3000031B3000032B3000033B30000D2
-:10CCD00034B3000035B3000036B3000037B30000B2
-:10CCE00038B3000039B300003AB300003BB3000092
-:10CCF0003CB300003DB300003EB300003FB3000072
-:10CD000040B3000041B3000042B3000043B3000051
-:10CD100044B3000045B3000046B3000047B3000031
-:10CD200048B3000049B300004AB300004BB3000011
-:10CD30004CB300004DB300004EB300004FB30000F1
-:10CD400050B3000051B3000052B3000053B30000D1
-:10CD500054B3000055B3000056B3000057B30000B1
-:10CD600058B3000059B300005AB300005BB3000091
-:10CD70005CB300005DB300005EB300005FB3000071
-:10CD800060B3000061B3000062B3000063B3000051
-:10CD900064B3000065B3000066B3000067B3000031
-:10CDA00068B3000069B300006AB300006BB3000011
-:10CDB0006CB300006DB300006EB300006FB30000F1
-:10CDC00070B3000071B3000072B3000073B30000D1
-:10CDD00074B3000075B3000076B3000077B30000B1
-:10CDE00078B3000079B300007AB300007BB3000091
-:10CDF0007CB300007DB300007EB300007FB3000071
-:10CE000080B3000081B3000082B3000083B3000050
-:10CE100084B3000085B3000086B3000087B3000030
-:10CE200088B3000089B300008AB300008BB3000010
-:10CE30008CB300008DB300008EB300008FB30000F0
-:10CE400090B3000091B3000092B3000093B30000D0
-:10CE500094B3000095B3000096B3000097B30000B0
-:10CE600098B3000099B300009AB300009BB3000090
-:10CE70009CB300009DB300009EB300009FB3000070
-:10CE8000A0B30000A1B30000A2B30000A3B3000050
-:10CE9000A4B30000A5B30000A6B30000A7B3000030
-:10CEA000A8B30000A9B30000AAB30000ABB3000010
-:10CEB000ACB30000ADB30000AEB30000AFB30000F0
-:10CEC000B0B30000B1B30000B2B30000B3B30000D0
-:10CED000B4B30000B5B30000B6B30000B7B30000B0
-:10CEE000B8B30000B9B30000BAB30000BBB3000090
-:10CEF000BCB30000BDB30000BEB30000BFB3000070
-:10CF0000C0B30000C1B30000C2B30000C3B300004F
-:10CF1000C4B30000C5B30000C6B30000C7B300002F
-:10CF2000C8B30000C9B30000CAB30000CBB300000F
-:10CF3000CCB30000CDB30000CEB30000CFB30000EF
-:10CF4000D0B30000D1B30000D2B30000D3B30000CF
-:10CF5000D4B30000D5B30000D6B30000D7B30000AF
-:10CF6000D8B30000D9B30000DAB30000DBB300008F
-:10CF7000DCB30000DDB30000DEB30000DFB300006F
-:10CF8000E0B30000E1B30000E2B30000E3B300004F
-:10CF9000E4B30000E5B30000E6B30000E7B300002F
-:10CFA000E8B30000E9B30000EAB30000EBB300000F
-:10CFB000ECB30000EDB30000EEB30000EFB30000EF
-:10CFC000F0B30000F1B30000F2B30000F3B30000CF
-:10CFD000F4B30000F5B30000F6B30000F7B30000AF
-:10CFE000F8B30000F9B30000FAB30000FBB300008F
-:10CFF000FCB30000FDB30000FEB30000FFB300006F
-:10D0000000B4000001B4000002B4000003B400004A
-:10D0100004B4000005B4000006B4000007B400002A
-:10D0200008B4000009B400000AB400000BB400000A
-:10D030000CB400000DB400000EB400000FB40000EA
-:10D0400010B4000011B4000012B4000013B40000CA
-:10D0500014B4000015B4000016B4000017B40000AA
-:10D0600018B4000019B400001AB400001BB400008A
-:10D070001CB400001DB400001EB400001FB400006A
-:10D0800020B4000021B4000022B4000023B400004A
-:10D0900024B4000025B4000026B4000027B400002A
-:10D0A00028B4000029B400002AB400002BB400000A
-:10D0B0002CB400002DB400002EB400002FB40000EA
-:10D0C00030B4000031B4000032B4000033B40000CA
-:10D0D00034B4000035B4000036B4000037B40000AA
-:10D0E00038B4000039B400003AB400003BB400008A
-:10D0F0003CB400003DB400003EB400003FB400006A
-:10D1000040B4000041B4000042B4000043B4000049
-:10D1100044B4000045B4000046B4000047B4000029
-:10D1200048B4000049B400004AB400004BB4000009
-:10D130004CB400004DB400004EB400004FB40000E9
-:10D1400050B4000051B4000052B4000053B40000C9
-:10D1500054B4000055B4000056B4000057B40000A9
-:10D1600058B4000059B400005AB400005BB4000089
-:10D170005CB400005DB400005EB400005FB4000069
-:10D1800060B4000061B4000062B4000063B4000049
-:10D1900064B4000065B4000066B4000067B4000029
-:10D1A00068B4000069B400006AB400006BB4000009
-:10D1B0006CB400006DB400006EB400006FB40000E9
-:10D1C00070B4000071B4000072B4000073B40000C9
-:10D1D00074B4000075B4000076B4000077B40000A9
-:10D1E00078B4000079B400007AB400007BB4000089
-:10D1F0007CB400007DB400007EB400007FB4000069
-:10D2000080B4000081B4000082B4000083B4000048
-:10D2100084B4000085B4000086B4000087B4000028
-:10D2200088B4000089B400008AB400008BB4000008
-:10D230008CB400008DB400008EB400008FB40000E8
-:10D2400090B4000091B4000092B4000093B40000C8
-:10D2500094B4000095B4000096B4000097B40000A8
-:10D2600098B4000099B400009AB400009BB4000088
-:10D270009CB400009DB400009EB400009FB4000068
-:10D28000A0B40000A1B40000A2B40000A3B4000048
-:10D29000A4B40000A5B40000A6B40000A7B4000028
-:10D2A000A8B40000A9B40000AAB40000ABB4000008
-:10D2B000ACB40000ADB40000AEB40000AFB40000E8
-:10D2C000B0B40000B1B40000B2B40000B3B40000C8
-:10D2D000B4B40000B5B40000B6B40000B7B40000A8
-:10D2E000B8B40000B9B40000BAB40000BBB4000088
-:10D2F000BCB40000BDB40000BEB40000BFB4000068
-:10D30000C0B40000C1B40000C2B40000C3B4000047
-:10D31000C4B40000C5B40000C6B40000C7B4000027
-:10D32000C8B40000C9B40000CAB40000CBB4000007
-:10D33000CCB40000CDB40000CEB40000CFB40000E7
-:10D34000D0B40000D1B40000D2B40000D3B40000C7
-:10D35000D4B40000D5B40000D6B40000D7B40000A7
-:10D36000D8B40000D9B40000DAB40000DBB4000087
-:10D37000DCB40000DDB40000DEB40000DFB4000067
-:10D38000E0B40000E1B40000E2B40000E3B4000047
-:10D39000E4B40000E5B40000E6B40000E7B4000027
-:10D3A000E8B40000E9B40000EAB40000EBB4000007
-:10D3B000ECB40000EDB40000EEB40000EFB40000E7
-:10D3C000F0B40000F1B40000F2B40000F3B40000C7
-:10D3D000F4B40000F5B40000F6B40000F7B40000A7
-:10D3E000F8B40000F9B40000FAB40000FBB4000087
-:10D3F000FCB40000FDB40000FEB40000FFB4000067
-:10D4000000B5000001B5000002B5000003B5000042
-:10D4100004B5000005B5000006B5000007B5000022
-:10D4200008B5000009B500000AB500000BB5000002
-:10D430000CB500000DB500000EB500000FB50000E2
-:10D4400010B5000011B5000012B5000013B50000C2
-:10D4500014B5000015B5000016B5000017B50000A2
-:10D4600018B5000019B500001AB500001BB5000082
-:10D470001CB500001DB500001EB500001FB5000062
-:10D4800020B5000021B5000022B5000023B5000042
-:10D4900024B5000025B5000026B5000027B5000022
-:10D4A00028B5000029B500002AB500002BB5000002
-:10D4B0002CB500002DB500002EB500002FB50000E2
-:10D4C00030B5000031B5000032B5000033B50000C2
-:10D4D00034B5000035B5000036B5000037B50000A2
-:10D4E00038B5000039B500003AB500003BB5000082
-:10D4F0003CB500003DB500003EB500003FB5000062
-:10D5000040B5000041B5000042B5000043B5000041
-:10D5100044B5000045B5000046B5000047B5000021
-:10D5200048B5000049B500004AB500004BB5000001
-:10D530004CB500004DB500004EB500004FB50000E1
-:10D5400050B5000051B5000052B5000053B50000C1
-:10D5500054B5000055B5000056B5000057B50000A1
-:10D5600058B5000059B500005AB500005BB5000081
-:10D570005CB500005DB500005EB500005FB5000061
-:10D5800060B5000061B5000062B5000063B5000041
-:10D5900064B5000065B5000066B5000067B5000021
-:10D5A00068B5000069B500006AB500006BB5000001
-:10D5B0006CB500006DB500006EB500006FB50000E1
-:10D5C00070B5000071B5000072B5000073B50000C1
-:10D5D00074B5000075B5000076B5000077B50000A1
-:10D5E00078B5000079B500007AB500007BB5000081
-:10D5F0007CB500007DB500007EB500007FB5000061
-:10D6000080B5000081B5000082B5000083B5000040
-:10D6100084B5000085B5000086B5000087B5000020
-:10D6200088B5000089B500008AB500008BB5000000
-:10D630008CB500008DB500008EB500008FB50000E0
-:10D6400090B5000091B5000092B5000093B50000C0
-:10D6500094B5000095B5000096B5000097B50000A0
-:10D6600098B5000099B500009AB500009BB5000080
-:10D670009CB500009DB500009EB500009FB5000060
-:10D68000A0B50000A1B50000A2B50000A3B5000040
-:10D69000A4B50000A5B50000A6B50000A7B5000020
-:10D6A000A8B50000A9B50000AAB50000ABB5000000
-:10D6B000ACB50000ADB50000AEB50000AFB50000E0
-:10D6C000B0B50000B1B50000B2B50000B3B50000C0
-:10D6D000B4B50000B5B50000B6B50000B7B50000A0
-:10D6E000B8B50000B9B50000BAB50000BBB5000080
-:10D6F000BCB50000BDB50000BEB50000BFB5000060
-:10D70000C0B50000C1B50000C2B50000C3B500003F
-:10D71000C4B50000C5B50000C6B50000C7B500001F
-:10D72000C8B50000C9B50000CAB50000CBB50000FF
-:10D73000CCB50000CDB50000CEB50000CFB50000DF
-:10D74000D0B50000D1B50000D2B50000D3B50000BF
-:10D75000D4B50000D5B50000D6B50000D7B500009F
-:10D76000D8B50000D9B50000DAB50000DBB500007F
-:10D77000DCB50000DDB50000DEB50000DFB500005F
-:10D78000E0B50000E1B50000E2B50000E3B500003F
-:10D79000E4B50000E5B50000E6B50000E7B500001F
-:10D7A000E8B50000E9B50000EAB50000EBB50000FF
-:10D7B000ECB50000EDB50000EEB50000EFB50000DF
-:10D7C000F0B50000F1B50000F2B50000F3B50000BF
-:10D7D000F4B50000F5B50000F6B50000F7B500009F
-:10D7E000F8B50000F9B50000FAB50000FBB500007F
-:10D7F000FCB50000FDB50000FEB50000FFB500005F
-:10D8000000B6000001B6000002B6000003B600003A
-:10D8100004B6000005B6000006B6000007B600001A
-:10D8200008B6000009B600000AB600000BB60000FA
-:10D830000CB600000DB600000EB600000FB60000DA
-:10D8400010B6000011B6000012B6000013B60000BA
-:10D8500014B6000015B6000016B6000017B600009A
-:10D8600018B6000019B600001AB600001BB600007A
-:10D870001CB600001DB600001EB600001FB600005A
-:10D8800020B6000021B6000022B6000023B600003A
-:10D8900024B6000025B6000026B6000027B600001A
-:10D8A00028B6000029B600002AB600002BB60000FA
-:10D8B0002CB600002DB600002EB600002FB60000DA
-:10D8C00030B6000031B6000032B6000033B60000BA
-:10D8D00034B6000035B6000036B6000037B600009A
-:10D8E00038B6000039B600003AB600003BB600007A
-:10D8F0003CB600003DB600003EB600003FB600005A
-:10D9000040B6000041B6000042B6000043B6000039
-:10D9100044B6000045B6000046B6000047B6000019
-:10D9200048B6000049B600004AB600004BB60000F9
-:10D930004CB600004DB600004EB600004FB60000D9
-:10D9400050B6000051B6000052B6000053B60000B9
-:10D9500054B6000055B6000056B6000057B6000099
-:10D9600058B6000059B600005AB600005BB6000079
-:10D970005CB600005DB600005EB600005FB6000059
-:10D9800060B6000061B6000062B6000063B6000039
-:10D9900064B6000065B6000066B6000067B6000019
-:10D9A00068B6000069B600006AB600006BB60000F9
-:10D9B0006CB600006DB600006EB600006FB60000D9
-:10D9C00070B6000071B6000072B6000073B60000B9
-:10D9D00074B6000075B6000076B6000077B6000099
-:10D9E00078B6000079B600007AB600007BB6000079
-:10D9F0007CB600007DB600007EB600007FB6000059
-:10DA000080B6000081B6000082B6000083B6000038
-:10DA100084B6000085B6000086B6000087B6000018
-:10DA200088B6000089B600008AB600008BB60000F8
-:10DA30008CB600008DB600008EB600008FB60000D8
-:10DA400090B6000091B6000092B6000093B60000B8
-:10DA500094B6000095B6000096B6000097B6000098
-:10DA600098B6000099B600009AB600009BB6000078
-:10DA70009CB600009DB600009EB600009FB6000058
-:10DA8000A0B60000A1B60000A2B60000A3B6000038
-:10DA9000A4B60000A5B60000A6B60000A7B6000018
-:10DAA000A8B60000A9B60000AAB60000ABB60000F8
-:10DAB000ACB60000ADB60000AEB60000AFB60000D8
-:10DAC000B0B60000B1B60000B2B60000B3B60000B8
-:10DAD000B4B60000B5B60000B6B60000B7B6000098
-:10DAE000B8B60000B9B60000BAB60000BBB6000078
-:10DAF000BCB60000BDB60000BEB60000BFB6000058
-:10DB0000C0B60000C1B60000C2B60000C3B6000037
-:10DB1000C4B60000C5B60000C6B60000C7B6000017
-:10DB2000C8B60000C9B60000CAB60000CBB60000F7
-:10DB3000CCB60000CDB60000CEB60000CFB60000D7
-:10DB4000D0B60000D1B60000D2B60000D3B60000B7
-:10DB5000D4B60000D5B60000D6B60000D7B6000097
-:10DB6000D8B60000D9B60000DAB60000DBB6000077
-:10DB7000DCB60000DDB60000DEB60000DFB6000057
-:10DB8000E0B60000E1B60000E2B60000E3B6000037
-:10DB9000E4B60000E5B60000E6B60000E7B6000017
-:10DBA000E8B60000E9B60000EAB60000EBB60000F7
-:10DBB000ECB60000EDB60000EEB60000EFB60000D7
-:10DBC000F0B60000F1B60000F2B60000F3B60000B7
-:10DBD000F4B60000F5B60000F6B60000F7B6000097
-:10DBE000F8B60000F9B60000FAB60000FBB6000077
-:10DBF000FCB60000FDB60000FEB60000FFB6000057
-:10DC000000B7000001B7000002B7000003B7000032
-:10DC100004B7000005B7000006B7000007B7000012
-:10DC200008B7000009B700000AB700000BB70000F2
-:10DC30000CB700000DB700000EB700000FB70000D2
-:10DC400010B7000011B7000012B7000013B70000B2
-:10DC500014B7000015B7000016B7000017B7000092
-:10DC600018B7000019B700001AB700001BB7000072
-:10DC70001CB700001DB700001EB700001FB7000052
-:10DC800020B7000021B7000022B7000023B7000032
-:10DC900024B7000025B7000026B7000027B7000012
-:10DCA00028B7000029B700002AB700002BB70000F2
-:10DCB0002CB700002DB700002EB700002FB70000D2
-:10DCC00030B7000031B7000032B7000033B70000B2
-:10DCD00034B7000035B7000036B7000037B7000092
-:10DCE00038B7000039B700003AB700003BB7000072
-:10DCF0003CB700003DB700003EB700003FB7000052
-:10DD000040B7000041B7000042B7000043B7000031
-:10DD100044B7000045B7000046B7000047B7000011
-:10DD200048B7000049B700004AB700004BB70000F1
-:10DD30004CB700004DB700004EB700004FB70000D1
-:10DD400050B7000051B7000052B7000053B70000B1
-:10DD500054B7000055B7000056B7000057B7000091
-:10DD600058B7000059B700005AB700005BB7000071
-:10DD70005CB700005DB700005EB700005FB7000051
-:10DD800060B7000061B7000062B7000063B7000031
-:10DD900064B7000065B7000066B7000067B7000011
-:10DDA00068B7000069B700006AB700006BB70000F1
-:10DDB0006CB700006DB700006EB700006FB70000D1
-:10DDC00070B7000071B7000072B7000073B70000B1
-:10DDD00074B7000075B7000076B7000077B7000091
-:10DDE00078B7000079B700007AB700007BB7000071
-:10DDF0007CB700007DB700007EB700007FB7000051
-:10DE000080B7000081B7000082B7000083B7000030
-:10DE100084B7000085B7000086B7000087B7000010
-:10DE200088B7000089B700008AB700008BB70000F0
-:10DE30008CB700008DB700008EB700008FB70000D0
-:10DE400090B7000091B7000092B7000093B70000B0
-:10DE500094B7000095B7000096B7000097B7000090
-:10DE600098B7000099B700009AB700009BB7000070
-:10DE70009CB700009DB700009EB700009FB7000050
-:10DE8000A0B70000A1B70000A2B70000A3B7000030
-:10DE9000A4B70000A5B70000A6B70000A7B7000010
-:10DEA000A8B70000A9B70000AAB70000ABB70000F0
-:10DEB000ACB70000ADB70000AEB70000AFB70000D0
-:10DEC000B0B70000B1B70000B2B70000B3B70000B0
-:10DED000B4B70000B5B70000B6B70000B7B7000090
-:10DEE000B8B70000B9B70000BAB70000BBB7000070
-:10DEF000BCB70000BDB70000BEB70000BFB7000050
-:10DF0000C0B70000C1B70000C2B70000C3B700002F
-:10DF1000C4B70000C5B70000C6B70000C7B700000F
-:10DF2000C8B70000C9B70000CAB70000CBB70000EF
-:10DF3000CCB70000CDB70000CEB70000CFB70000CF
-:10DF4000D0B70000D1B70000D2B70000D3B70000AF
-:10DF5000D4B70000D5B70000D6B70000D7B700008F
-:10DF6000D8B70000D9B70000DAB70000DBB700006F
-:10DF7000DCB70000DDB70000DEB70000DFB700004F
-:10DF8000E0B70000E1B70000E2B70000E3B700002F
-:10DF9000E4B70000E5B70000E6B70000E7B700000F
-:10DFA000E8B70000E9B70000EAB70000EBB70000EF
-:10DFB000ECB70000EDB70000EEB70000EFB70000CF
-:10DFC000F0B70000F1B70000F2B70000F3B70000AF
-:10DFD000F4B70000F5B70000F6B70000F7B700008F
-:10DFE000F8B70000F9B70000FAB70000FBB700006F
-:10DFF000FCB70000FDB70000FEB70000FFB700004F
-:10E0000000B8000001B8000002B8000003B800002A
-:10E0100004B8000005B8000006B8000007B800000A
-:10E0200008B8000009B800000AB800000BB80000EA
-:10E030000CB800000DB800000EB800000FB80000CA
-:10E0400010B8000011B8000012B8000013B80000AA
-:10E0500014B8000015B8000016B8000017B800008A
-:10E0600018B8000019B800001AB800001BB800006A
-:10E070001CB800001DB800001EB800001FB800004A
-:10E0800020B8000021B8000022B8000023B800002A
-:10E0900024B8000025B8000026B8000027B800000A
-:10E0A00028B8000029B800002AB800002BB80000EA
-:10E0B0002CB800002DB800002EB800002FB80000CA
-:10E0C00030B8000031B8000032B8000033B80000AA
-:10E0D00034B8000035B8000036B8000037B800008A
-:10E0E00038B8000039B800003AB800003BB800006A
-:10E0F0003CB800003DB800003EB800003FB800004A
-:10E1000040B8000041B8000042B8000043B8000029
-:10E1100044B8000045B8000046B8000047B8000009
-:10E1200048B8000049B800004AB800004BB80000E9
-:10E130004CB800004DB800004EB800004FB80000C9
-:10E1400050B8000051B8000052B8000053B80000A9
-:10E1500054B8000055B8000056B8000057B8000089
-:10E1600058B8000059B800005AB800005BB8000069
-:10E170005CB800005DB800005EB800005FB8000049
-:10E1800060B8000061B8000062B8000063B8000029
-:10E1900064B8000065B8000066B8000067B8000009
-:10E1A00068B8000069B800006AB800006BB80000E9
-:10E1B0006CB800006DB800006EB800006FB80000C9
-:10E1C00070B8000071B8000072B8000073B80000A9
-:10E1D00074B8000075B8000076B8000077B8000089
-:10E1E00078B8000079B800007AB800007BB8000069
-:10E1F0007CB800007DB800007EB800007FB8000049
-:10E2000080B8000081B8000082B8000083B8000028
-:10E2100084B8000085B8000086B8000087B8000008
-:10E2200088B8000089B800008AB800008BB80000E8
-:10E230008CB800008DB800008EB800008FB80000C8
-:10E2400090B8000091B8000092B8000093B80000A8
-:10E2500094B8000095B8000096B8000097B8000088
-:10E2600098B8000099B800009AB800009BB8000068
-:10E270009CB800009DB800009EB800009FB8000048
-:10E28000A0B80000A1B80000A2B80000A3B8000028
-:10E29000A4B80000A5B80000A6B80000A7B8000008
-:10E2A000A8B80000A9B80000AAB80000ABB80000E8
-:10E2B000ACB80000ADB80000AEB80000AFB80000C8
-:10E2C000B0B80000B1B80000B2B80000B3B80000A8
-:10E2D000B4B80000B5B80000B6B80000B7B8000088
-:10E2E000B8B80000B9B80000BAB80000BBB8000068
-:10E2F000BCB80000BDB80000BEB80000BFB8000048
-:10E30000C0B80000C1B80000C2B80000C3B8000027
-:10E31000C4B80000C5B80000C6B80000C7B8000007
-:10E32000C8B80000C9B80000CAB80000CBB80000E7
-:10E33000CCB80000CDB80000CEB80000CFB80000C7
-:10E34000D0B80000D1B80000D2B80000D3B80000A7
-:10E35000D4B80000D5B80000D6B80000D7B8000087
-:10E36000D8B80000D9B80000DAB80000DBB8000067
-:10E37000DCB80000DDB80000DEB80000DFB8000047
-:10E38000E0B80000E1B80000E2B80000E3B8000027
-:10E39000E4B80000E5B80000E6B80000E7B8000007
-:10E3A000E8B80000E9B80000EAB80000EBB80000E7
-:10E3B000ECB80000EDB80000EEB80000EFB80000C7
-:10E3C000F0B80000F1B80000F2B80000F3B80000A7
-:10E3D000F4B80000F5B80000F6B80000F7B8000087
-:10E3E000F8B80000F9B80000FAB80000FBB8000067
-:10E3F000FCB80000FDB80000FEB80000FFB8000047
-:10E4000000B9000001B9000002B9000003B9000022
-:10E4100004B9000005B9000006B9000007B9000002
-:10E4200008B9000009B900000AB900000BB90000E2
-:10E430000CB900000DB900000EB900000FB90000C2
-:10E4400010B9000011B9000012B9000013B90000A2
-:10E4500014B9000015B9000016B9000017B9000082
-:10E4600018B9000019B900001AB900001BB9000062
-:10E470001CB900001DB900001EB900001FB9000042
-:10E4800020B9000021B9000022B9000023B9000022
-:10E4900024B9000025B9000026B9000027B9000002
-:10E4A00028B9000029B900002AB900002BB90000E2
-:10E4B0002CB900002DB900002EB900002FB90000C2
-:10E4C00030B9000031B9000032B9000033B90000A2
-:10E4D00034B9000035B9000036B9000037B9000082
-:10E4E00038B9000039B900003AB900003BB9000062
-:10E4F0003CB900003DB900003EB900003FB9000042
-:10E5000040B9000041B9000042B9000043B9000021
-:10E5100044B9000045B9000046B9000047B9000001
-:10E5200048B9000049B900004AB900004BB90000E1
-:10E530004CB900004DB900004EB900004FB90000C1
-:10E5400050B9000051B9000052B9000053B90000A1
-:10E5500054B9000055B9000056B9000057B9000081
-:10E5600058B9000059B900005AB900005BB9000061
-:10E570005CB900005DB900005EB900005FB9000041
-:10E5800060B9000061B9000062B9000063B9000021
-:10E5900064B9000065B9000066B9000067B9000001
-:10E5A00068B9000069B900006AB900006BB90000E1
-:10E5B0006CB900006DB900006EB900006FB90000C1
-:10E5C00070B9000071B9000072B9000073B90000A1
-:10E5D00074B9000075B9000076B9000077B9000081
-:10E5E00078B9000079B900007AB900007BB9000061
-:10E5F0007CB900007DB900007EB900007FB9000041
-:10E6000080B9000081B9000082B9000083B9000020
-:10E6100084B9000085B9000086B9000087B9000000
-:10E6200088B9000089B900008AB900008BB90000E0
-:10E630008CB900008DB900008EB900008FB90000C0
-:10E6400090B9000091B9000092B9000093B90000A0
-:10E6500094B9000095B9000096B9000097B9000080
-:10E6600098B9000099B900009AB900009BB9000060
-:10E670009CB900009DB900009EB900009FB9000040
-:10E68000A0B90000A1B90000A2B90000A3B9000020
-:10E69000A4B90000A5B90000A6B90000A7B9000000
-:10E6A000A8B90000A9B90000AAB90000ABB90000E0
-:10E6B000ACB90000ADB90000AEB90000AFB90000C0
-:10E6C000B0B90000B1B90000B2B90000B3B90000A0
-:10E6D000B4B90000B5B90000B6B90000B7B9000080
-:10E6E000B8B90000B9B90000BAB90000BBB9000060
-:10E6F000BCB90000BDB90000BEB90000BFB9000040
-:10E70000C0B90000C1B90000C2B90000C3B900001F
-:10E71000C4B90000C5B90000C6B90000C7B90000FF
-:10E72000C8B90000C9B90000CAB90000CBB90000DF
-:10E73000CCB90000CDB90000CEB90000CFB90000BF
-:10E74000D0B90000D1B90000D2B90000D3B900009F
-:10E75000D4B90000D5B90000D6B90000D7B900007F
-:10E76000D8B90000D9B90000DAB90000DBB900005F
-:10E77000DCB90000DDB90000DEB90000DFB900003F
-:10E78000E0B90000E1B90000E2B90000E3B900001F
-:10E79000E4B90000E5B90000E6B90000E7B90000FF
-:10E7A000E8B90000E9B90000EAB90000EBB90000DF
-:10E7B000ECB90000EDB90000EEB90000EFB90000BF
-:10E7C000F0B90000F1B90000F2B90000F3B900009F
-:10E7D000F4B90000F5B90000F6B90000F7B900007F
-:10E7E000F8B90000F9B90000FAB90000FBB900005F
-:10E7F000FCB90000FDB90000FEB90000FFB900003F
-:10E8000000BA000001BA000002BA000003BA00001A
-:10E8100004BA000005BA000006BA000007BA0000FA
-:10E8200008BA000009BA00000ABA00000BBA0000DA
-:10E830000CBA00000DBA00000EBA00000FBA0000BA
-:10E8400010BA000011BA000012BA000013BA00009A
-:10E8500014BA000015BA000016BA000017BA00007A
-:10E8600018BA000019BA00001ABA00001BBA00005A
-:10E870001CBA00001DBA00001EBA00001FBA00003A
-:10E8800020BA000021BA000022BA000023BA00001A
-:10E8900024BA000025BA000026BA000027BA0000FA
-:10E8A00028BA000029BA00002ABA00002BBA0000DA
-:10E8B0002CBA00002DBA00002EBA00002FBA0000BA
-:10E8C00030BA000031BA000032BA000033BA00009A
-:10E8D00034BA000035BA000036BA000037BA00007A
-:10E8E00038BA000039BA00003ABA00003BBA00005A
-:10E8F0003CBA00003DBA00003EBA00003FBA00003A
-:10E9000040BA000041BA000042BA000043BA000019
-:10E9100044BA000045BA000046BA000047BA0000F9
-:10E9200048BA000049BA00004ABA00004BBA0000D9
-:10E930004CBA00004DBA00004EBA00004FBA0000B9
-:10E9400050BA000051BA000052BA000053BA000099
-:10E9500054BA000055BA000056BA000057BA000079
-:10E9600058BA000059BA00005ABA00005BBA000059
-:10E970005CBA00005DBA00005EBA00005FBA000039
-:10E9800060BA000061BA000062BA000063BA000019
-:10E9900064BA000065BA000066BA000067BA0000F9
-:10E9A00068BA000069BA00006ABA00006BBA0000D9
-:10E9B0006CBA00006DBA00006EBA00006FBA0000B9
-:10E9C00070BA000071BA000072BA000073BA000099
-:10E9D00074BA000075BA000076BA000077BA000079
-:10E9E00078BA000079BA00007ABA00007BBA000059
-:10E9F0007CBA00007DBA00007EBA00007FBA000039
-:10EA000080BA000081BA000082BA000083BA000018
-:10EA100084BA000085BA000086BA000087BA0000F8
-:10EA200088BA000089BA00008ABA00008BBA0000D8
-:10EA30008CBA00008DBA00008EBA00008FBA0000B8
-:10EA400090BA000091BA000092BA000093BA000098
-:10EA500094BA000095BA000096BA000097BA000078
-:10EA600098BA000099BA00009ABA00009BBA000058
-:10EA70009CBA00009DBA00009EBA00009FBA000038
-:10EA8000A0BA0000A1BA0000A2BA0000A3BA000018
-:10EA9000A4BA0000A5BA0000A6BA0000A7BA0000F8
-:10EAA000A8BA0000A9BA0000AABA0000ABBA0000D8
-:10EAB000ACBA0000ADBA0000AEBA0000AFBA0000B8
-:10EAC000B0BA0000B1BA0000B2BA0000B3BA000098
-:10EAD000B4BA0000B5BA0000B6BA0000B7BA000078
-:10EAE000B8BA0000B9BA0000BABA0000BBBA000058
-:10EAF000BCBA0000BDBA0000BEBA0000BFBA000038
-:10EB0000C0BA0000C1BA0000C2BA0000C3BA000017
-:10EB1000C4BA0000C5BA0000C6BA0000C7BA0000F7
-:10EB2000C8BA0000C9BA0000CABA0000CBBA0000D7
-:10EB3000CCBA0000CDBA0000CEBA0000CFBA0000B7
-:10EB4000D0BA0000D1BA0000D2BA0000D3BA000097
-:10EB5000D4BA0000D5BA0000D6BA0000D7BA000077
-:10EB6000D8BA0000D9BA0000DABA0000DBBA000057
-:10EB7000DCBA0000DDBA0000DEBA0000DFBA000037
-:10EB8000E0BA0000E1BA0000E2BA0000E3BA000017
-:10EB9000E4BA0000E5BA0000E6BA0000E7BA0000F7
-:10EBA000E8BA0000E9BA0000EABA0000EBBA0000D7
-:10EBB000ECBA0000EDBA0000EEBA0000EFBA0000B7
-:10EBC000F0BA0000F1BA0000F2BA0000F3BA000097
-:10EBD000F4BA0000F5BA0000F6BA0000F7BA000077
-:10EBE000F8BA0000F9BA0000FABA0000FBBA000057
-:10EBF000FCBA0000FDBA0000FEBA0000FFBA000037
-:10EC000000BB000001BB000002BB000003BB000012
-:10EC100004BB000005BB000006BB000007BB0000F2
-:10EC200008BB000009BB00000ABB00000BBB0000D2
-:10EC30000CBB00000DBB00000EBB00000FBB0000B2
-:10EC400010BB000011BB000012BB000013BB000092
-:10EC500014BB000015BB000016BB000017BB000072
-:10EC600018BB000019BB00001ABB00001BBB000052
-:10EC70001CBB00001DBB00001EBB00001FBB000032
-:10EC800020BB000021BB000022BB000023BB000012
-:10EC900024BB000025BB000026BB000027BB0000F2
-:10ECA00028BB000029BB00002ABB00002BBB0000D2
-:10ECB0002CBB00002DBB00002EBB00002FBB0000B2
-:10ECC00030BB000031BB000032BB000033BB000092
-:10ECD00034BB000035BB000036BB000037BB000072
-:10ECE00038BB000039BB00003ABB00003BBB000052
-:10ECF0003CBB00003DBB00003EBB00003FBB000032
-:10ED000040BB000041BB000042BB000043BB000011
-:10ED100044BB000045BB000046BB000047BB0000F1
-:10ED200048BB000049BB00004ABB00004BBB0000D1
-:10ED30004CBB00004DBB00004EBB00004FBB0000B1
-:10ED400050BB000051BB000052BB000053BB000091
-:10ED500054BB000055BB000056BB000057BB000071
-:10ED600058BB000059BB00005ABB00005BBB000051
-:10ED70005CBB00005DBB00005EBB00005FBB000031
-:10ED800060BB000061BB000062BB000063BB000011
-:10ED900064BB000065BB000066BB000067BB0000F1
-:10EDA00068BB000069BB00006ABB00006BBB0000D1
-:10EDB0006CBB00006DBB00006EBB00006FBB0000B1
-:10EDC00070BB000071BB000072BB000073BB000091
-:10EDD00074BB000075BB000076BB000077BB000071
-:10EDE00078BB000079BB00007ABB00007BBB000051
-:10EDF0007CBB00007DBB00007EBB00007FBB000031
-:10EE000080BB000081BB000082BB000083BB000010
-:10EE100084BB000085BB000086BB000087BB0000F0
-:10EE200088BB000089BB00008ABB00008BBB0000D0
-:10EE30008CBB00008DBB00008EBB00008FBB0000B0
-:10EE400090BB000091BB000092BB000093BB000090
-:10EE500094BB000095BB000096BB000097BB000070
-:10EE600098BB000099BB00009ABB00009BBB000050
-:10EE70009CBB00009DBB00009EBB00009FBB000030
-:10EE8000A0BB0000A1BB0000A2BB0000A3BB000010
-:10EE9000A4BB0000A5BB0000A6BB0000A7BB0000F0
-:10EEA000A8BB0000A9BB0000AABB0000ABBB0000D0
-:10EEB000ACBB0000ADBB0000AEBB0000AFBB0000B0
-:10EEC000B0BB0000B1BB0000B2BB0000B3BB000090
-:10EED000B4BB0000B5BB0000B6BB0000B7BB000070
-:10EEE000B8BB0000B9BB0000BABB0000BBBB000050
-:10EEF000BCBB0000BDBB0000BEBB0000BFBB000030
-:10EF0000C0BB0000C1BB0000C2BB0000C3BB00000F
-:10EF1000C4BB0000C5BB0000C6BB0000C7BB0000EF
-:10EF2000C8BB0000C9BB0000CABB0000CBBB0000CF
-:10EF3000CCBB0000CDBB0000CEBB0000CFBB0000AF
-:10EF4000D0BB0000D1BB0000D2BB0000D3BB00008F
-:10EF5000D4BB0000D5BB0000D6BB0000D7BB00006F
-:10EF6000D8BB0000D9BB0000DABB0000DBBB00004F
-:10EF7000DCBB0000DDBB0000DEBB0000DFBB00002F
-:10EF8000E0BB0000E1BB0000E2BB0000E3BB00000F
-:10EF9000E4BB0000E5BB0000E6BB0000E7BB0000EF
-:10EFA000E8BB0000E9BB0000EABB0000EBBB0000CF
-:10EFB000ECBB0000EDBB0000EEBB0000EFBB0000AF
-:10EFC000F0BB0000F1BB0000F2BB0000F3BB00008F
-:10EFD000F4BB0000F5BB0000F6BB0000F7BB00006F
-:10EFE000F8BB0000F9BB0000FABB0000FBBB00004F
-:10EFF000FCBB0000FDBB0000FEBB0000FFBB00002F
-:10F0000000BC000001BC000002BC000003BC00000A
-:10F0100004BC000005BC000006BC000007BC0000EA
-:10F0200008BC000009BC00000ABC00000BBC0000CA
-:10F030000CBC00000DBC00000EBC00000FBC0000AA
-:10F0400010BC000011BC000012BC000013BC00008A
-:10F0500014BC000015BC000016BC000017BC00006A
-:10F0600018BC000019BC00001ABC00001BBC00004A
-:10F070001CBC00001DBC00001EBC00001FBC00002A
-:10F0800020BC000021BC000022BC000023BC00000A
-:10F0900024BC000025BC000026BC000027BC0000EA
-:10F0A00028BC000029BC00002ABC00002BBC0000CA
-:10F0B0002CBC00002DBC00002EBC00002FBC0000AA
-:10F0C00030BC000031BC000032BC000033BC00008A
-:10F0D00034BC000035BC000036BC000037BC00006A
-:10F0E00038BC000039BC00003ABC00003BBC00004A
-:10F0F0003CBC00003DBC00003EBC00003FBC00002A
-:10F1000040BC000041BC000042BC000043BC000009
-:10F1100044BC000045BC000046BC000047BC0000E9
-:10F1200048BC000049BC00004ABC00004BBC0000C9
-:10F130004CBC00004DBC00004EBC00004FBC0000A9
-:10F1400050BC000051BC000052BC000053BC000089
-:10F1500054BC000055BC000056BC000057BC000069
-:10F1600058BC000059BC00005ABC00005BBC000049
-:10F170005CBC00005DBC00005EBC00005FBC000029
-:10F1800060BC000061BC000062BC000063BC000009
-:10F1900064BC000065BC000066BC000067BC0000E9
-:10F1A00068BC000069BC00006ABC00006BBC0000C9
-:10F1B0006CBC00006DBC00006EBC00006FBC0000A9
-:10F1C00070BC000071BC000072BC000073BC000089
-:10F1D00074BC000075BC000076BC000077BC000069
-:10F1E00078BC000079BC00007ABC00007BBC000049
-:10F1F0007CBC00007DBC00007EBC00007FBC000029
-:10F2000080BC000081BC000082BC000083BC000008
-:10F2100084BC000085BC000086BC000087BC0000E8
-:10F2200088BC000089BC00008ABC00008BBC0000C8
-:10F230008CBC00008DBC00008EBC00008FBC0000A8
-:10F2400090BC000091BC000092BC000093BC000088
-:10F2500094BC000095BC000096BC000097BC000068
-:10F2600098BC000099BC00009ABC00009BBC000048
-:10F270009CBC00009DBC00009EBC00009FBC000028
-:10F28000A0BC0000A1BC0000A2BC0000A3BC000008
-:10F29000A4BC0000A5BC0000A6BC0000A7BC0000E8
-:10F2A000A8BC0000A9BC0000AABC0000ABBC0000C8
-:10F2B000ACBC0000ADBC0000AEBC0000AFBC0000A8
-:10F2C000B0BC0000B1BC0000B2BC0000B3BC000088
-:10F2D000B4BC0000B5BC0000B6BC0000B7BC000068
-:10F2E000B8BC0000B9BC0000BABC0000BBBC000048
-:10F2F000BCBC0000BDBC0000BEBC0000BFBC000028
-:10F30000C0BC0000C1BC0000C2BC0000C3BC000007
-:10F31000C4BC0000C5BC0000C6BC0000C7BC0000E7
-:10F32000C8BC0000C9BC0000CABC0000CBBC0000C7
-:10F33000CCBC0000CDBC0000CEBC0000CFBC0000A7
-:10F34000D0BC0000D1BC0000D2BC0000D3BC000087
-:10F35000D4BC0000D5BC0000D6BC0000D7BC000067
-:10F36000D8BC0000D9BC0000DABC0000DBBC000047
-:10F37000DCBC0000DDBC0000DEBC0000DFBC000027
-:10F38000E0BC0000E1BC0000E2BC0000E3BC000007
-:10F39000E4BC0000E5BC0000E6BC0000E7BC0000E7
-:10F3A000E8BC0000E9BC0000EABC0000EBBC0000C7
-:10F3B000ECBC0000EDBC0000EEBC0000EFBC0000A7
-:10F3C000F0BC0000F1BC0000F2BC0000F3BC000087
-:10F3D000F4BC0000F5BC0000F6BC0000F7BC000067
-:10F3E000F8BC0000F9BC0000FABC0000FBBC000047
-:10F3F000FCBC0000FDBC0000FEBC0000FFBC000027
-:10F4000000BD000001BD000002BD000003BD000002
-:10F4100004BD000005BD000006BD000007BD0000E2
-:10F4200008BD000009BD00000ABD00000BBD0000C2
-:10F430000CBD00000DBD00000EBD00000FBD0000A2
-:10F4400010BD000011BD000012BD000013BD000082
-:10F4500014BD000015BD000016BD000017BD000062
-:10F4600018BD000019BD00001ABD00001BBD000042
-:10F470001CBD00001DBD00001EBD00001FBD000022
-:10F4800020BD000021BD000022BD000023BD000002
-:10F4900024BD000025BD000026BD000027BD0000E2
-:10F4A00028BD000029BD00002ABD00002BBD0000C2
-:10F4B0002CBD00002DBD00002EBD00002FBD0000A2
-:10F4C00030BD000031BD000032BD000033BD000082
-:10F4D00034BD000035BD000036BD000037BD000062
-:10F4E00038BD000039BD00003ABD00003BBD000042
-:10F4F0003CBD00003DBD00003EBD00003FBD000022
-:10F5000040BD000041BD000042BD000043BD000001
-:10F5100044BD000045BD000046BD000047BD0000E1
-:10F5200048BD000049BD00004ABD00004BBD0000C1
-:10F530004CBD00004DBD00004EBD00004FBD0000A1
-:10F5400050BD000051BD000052BD000053BD000081
-:10F5500054BD000055BD000056BD000057BD000061
-:10F5600058BD000059BD00005ABD00005BBD000041
-:10F570005CBD00005DBD00005EBD00005FBD000021
-:10F5800060BD000061BD000062BD000063BD000001
-:10F5900064BD000065BD000066BD000067BD0000E1
-:10F5A00068BD000069BD00006ABD00006BBD0000C1
-:10F5B0006CBD00006DBD00006EBD00006FBD0000A1
-:10F5C00070BD000071BD000072BD000073BD000081
-:10F5D00074BD000075BD000076BD000077BD000061
-:10F5E00078BD000079BD00007ABD00007BBD000041
-:10F5F0007CBD00007DBD00007EBD00007FBD000021
-:10F6000080BD000081BD000082BD000083BD000000
-:10F6100084BD000085BD000086BD000087BD0000E0
-:10F6200088BD000089BD00008ABD00008BBD0000C0
-:10F630008CBD00008DBD00008EBD00008FBD0000A0
-:10F6400090BD000091BD000092BD000093BD000080
-:10F6500094BD000095BD000096BD000097BD000060
-:10F6600098BD000099BD00009ABD00009BBD000040
-:10F670009CBD00009DBD00009EBD00009FBD000020
-:10F68000A0BD0000A1BD0000A2BD0000A3BD000000
-:10F69000A4BD0000A5BD0000A6BD0000A7BD0000E0
-:10F6A000A8BD0000A9BD0000AABD0000ABBD0000C0
-:10F6B000ACBD0000ADBD0000AEBD0000AFBD0000A0
-:10F6C000B0BD0000B1BD0000B2BD0000B3BD000080
-:10F6D000B4BD0000B5BD0000B6BD0000B7BD000060
-:10F6E000B8BD0000B9BD0000BABD0000BBBD000040
-:10F6F000BCBD0000BDBD0000BEBD0000BFBD000020
-:10F70000C0BD0000C1BD0000C2BD0000C3BD0000FF
-:10F71000C4BD0000C5BD0000C6BD0000C7BD0000DF
-:10F72000C8BD0000C9BD0000CABD0000CBBD0000BF
-:10F73000CCBD0000CDBD0000CEBD0000CFBD00009F
-:10F74000D0BD0000D1BD0000D2BD0000D3BD00007F
-:10F75000D4BD0000D5BD0000D6BD0000D7BD00005F
-:10F76000D8BD0000D9BD0000DABD0000DBBD00003F
-:10F77000DCBD0000DDBD0000DEBD0000DFBD00001F
-:10F78000E0BD0000E1BD0000E2BD0000E3BD0000FF
-:10F79000E4BD0000E5BD0000E6BD0000E7BD0000DF
-:10F7A000E8BD0000E9BD0000EABD0000EBBD0000BF
-:10F7B000ECBD0000EDBD0000EEBD0000EFBD00009F
-:10F7C000F0BD0000F1BD0000F2BD0000F3BD00007F
-:10F7D000F4BD0000F5BD0000F6BD0000F7BD00005F
-:10F7E000F8BD0000F9BD0000FABD0000FBBD00003F
-:10F7F000FCBD0000FDBD0000FEBD0000FFBD00001F
-:10F8000000BE000001BE000002BE000003BE0000FA
-:10F8100004BE000005BE000006BE000007BE0000DA
-:10F8200008BE000009BE00000ABE00000BBE0000BA
-:10F830000CBE00000DBE00000EBE00000FBE00009A
-:10F8400010BE000011BE000012BE000013BE00007A
-:10F8500014BE000015BE000016BE000017BE00005A
-:10F8600018BE000019BE00001ABE00001BBE00003A
-:10F870001CBE00001DBE00001EBE00001FBE00001A
-:10F8800020BE000021BE000022BE000023BE0000FA
-:10F8900024BE000025BE000026BE000027BE0000DA
-:10F8A00028BE000029BE00002ABE00002BBE0000BA
-:10F8B0002CBE00002DBE00002EBE00002FBE00009A
-:10F8C00030BE000031BE000032BE000033BE00007A
-:10F8D00034BE000035BE000036BE000037BE00005A
-:10F8E00038BE000039BE00003ABE00003BBE00003A
-:10F8F0003CBE00003DBE00003EBE00003FBE00001A
-:10F9000040BE000041BE000042BE000043BE0000F9
-:10F9100044BE000045BE000046BE000047BE0000D9
-:10F9200048BE000049BE00004ABE00004BBE0000B9
-:10F930004CBE00004DBE00004EBE00004FBE000099
-:10F9400050BE000051BE000052BE000053BE000079
-:10F9500054BE000055BE000056BE000057BE000059
-:10F9600058BE000059BE00005ABE00005BBE000039
-:10F970005CBE00005DBE00005EBE00005FBE000019
-:10F9800060BE000061BE000062BE000063BE0000F9
-:10F9900064BE000065BE000066BE000067BE0000D9
-:10F9A00068BE000069BE00006ABE00006BBE0000B9
-:10F9B0006CBE00006DBE00006EBE00006FBE000099
-:10F9C00070BE000071BE000072BE000073BE000079
-:10F9D00074BE000075BE000076BE000077BE000059
-:10F9E00078BE000079BE00007ABE00007BBE000039
-:10F9F0007CBE00007DBE00007EBE00007FBE000019
-:10FA000080BE000081BE000082BE000083BE0000F8
-:10FA100084BE000085BE000086BE000087BE0000D8
-:10FA200088BE000089BE00008ABE00008BBE0000B8
-:10FA30008CBE00008DBE00008EBE00008FBE000098
-:10FA400090BE000091BE000092BE000093BE000078
-:10FA500094BE000095BE000096BE000097BE000058
-:10FA600098BE000099BE00009ABE00009BBE000038
-:10FA70009CBE00009DBE00009EBE00009FBE000018
-:10FA8000A0BE0000A1BE0000A2BE0000A3BE0000F8
-:10FA9000A4BE0000A5BE0000A6BE0000A7BE0000D8
-:10FAA000A8BE0000A9BE0000AABE0000ABBE0000B8
-:10FAB000ACBE0000ADBE0000AEBE0000AFBE000098
-:10FAC000B0BE0000B1BE0000B2BE0000B3BE000078
-:10FAD000B4BE0000B5BE0000B6BE0000B7BE000058
-:10FAE000B8BE0000B9BE0000BABE0000BBBE000038
-:10FAF000BCBE0000BDBE0000BEBE0000BFBE000018
-:10FB0000C0BE0000C1BE0000C2BE0000C3BE0000F7
-:10FB1000C4BE0000C5BE0000C6BE0000C7BE0000D7
-:10FB2000C8BE0000C9BE0000CABE0000CBBE0000B7
-:10FB3000CCBE0000CDBE0000CEBE0000CFBE000097
-:10FB4000D0BE0000D1BE0000D2BE0000D3BE000077
-:10FB5000D4BE0000D5BE0000D6BE0000D7BE000057
-:10FB6000D8BE0000D9BE0000DABE0000DBBE000037
-:10FB7000DCBE0000DDBE0000DEBE0000DFBE000017
-:10FB8000E0BE0000E1BE0000E2BE0000E3BE0000F7
-:10FB9000E4BE0000E5BE0000E6BE0000E7BE0000D7
-:10FBA000E8BE0000E9BE0000EABE0000EBBE0000B7
-:10FBB000ECBE0000EDBE0000EEBE0000EFBE000097
-:10FBC000F0BE0000F1BE0000F2BE0000F3BE000077
-:10FBD000F4BE0000F5BE0000F6BE0000F7BE000057
-:10FBE000F8BE0000F9BE0000FABE0000FBBE000037
-:10FBF000FCBE0000FDBE0000FEBE0000FFBE000017
-:10FC000000BF000001BF000002BF000003BF0000F2
-:10FC100004BF000005BF000006BF000007BF0000D2
-:10FC200008BF000009BF00000ABF00000BBF0000B2
-:10FC30000CBF00000DBF00000EBF00000FBF000092
-:10FC400010BF000011BF000012BF000013BF000072
-:10FC500014BF000015BF000016BF000017BF000052
-:10FC600018BF000019BF00001ABF00001BBF000032
-:10FC70001CBF00001DBF00001EBF00001FBF000012
-:10FC800020BF000021BF000022BF000023BF0000F2
-:10FC900024BF000025BF000026BF000027BF0000D2
-:10FCA00028BF000029BF00002ABF00002BBF0000B2
-:10FCB0002CBF00002DBF00002EBF00002FBF000092
-:10FCC00030BF000031BF000032BF000033BF000072
-:10FCD00034BF000035BF000036BF000037BF000052
-:10FCE00038BF000039BF00003ABF00003BBF000032
-:10FCF0003CBF00003DBF00003EBF00003FBF000012
-:10FD000040BF000041BF000042BF000043BF0000F1
-:10FD100044BF000045BF000046BF000047BF0000D1
-:10FD200048BF000049BF00004ABF00004BBF0000B1
-:10FD30004CBF00004DBF00004EBF00004FBF000091
-:10FD400050BF000051BF000052BF000053BF000071
-:10FD500054BF000055BF000056BF000057BF000051
-:10FD600058BF000059BF00005ABF00005BBF000031
-:10FD70005CBF00005DBF00005EBF00005FBF000011
-:10FD800060BF000061BF000062BF000063BF0000F1
-:10FD900064BF000065BF000066BF000067BF0000D1
-:10FDA00068BF000069BF00006ABF00006BBF0000B1
-:10FDB0006CBF00006DBF00006EBF00006FBF000091
-:10FDC00070BF000071BF000072BF000073BF000071
-:10FDD00074BF000075BF000076BF000077BF000051
-:10FDE00078BF000079BF00007ABF00007BBF000031
-:10FDF0007CBF00007DBF00007EBF00007FBF000011
-:10FE000080BF000081BF000082BF000083BF0000F0
-:10FE100084BF000085BF000086BF000087BF0000D0
-:10FE200088BF000089BF00008ABF00008BBF0000B0
-:10FE30008CBF00008DBF00008EBF00008FBF000090
-:10FE400090BF000091BF000092BF000093BF000070
-:10FE500094BF000095BF000096BF000097BF000050
-:10FE600098BF000099BF00009ABF00009BBF000030
-:10FE70009CBF00009DBF00009EBF00009FBF000010
-:10FE8000A0BF0000A1BF0000A2BF0000A3BF0000F0
-:10FE9000A4BF0000A5BF0000A6BF0000A7BF0000D0
-:10FEA000A8BF0000A9BF0000AABF0000ABBF0000B0
-:10FEB000ACBF0000ADBF0000AEBF0000AFBF000090
-:10FEC000B0BF0000B1BF0000B2BF0000B3BF000070
-:10FED000B4BF0000B5BF0000B6BF0000B7BF000050
-:10FEE000B8BF0000B9BF0000BABF0000BBBF000030
-:10FEF000BCBF0000BDBF0000BEBF0000BFBF000010
-:10FF0000C0BF0000C1BF0000C2BF0000C3BF0000EF
-:10FF1000C4BF0000C5BF0000C6BF0000C7BF0000CF
-:10FF2000C8BF0000C9BF0000CABF0000CBBF0000AF
-:10FF3000CCBF0000CDBF0000CEBF0000CFBF00008F
-:10FF4000D0BF0000D1BF0000D2BF0000D3BF00006F
-:10FF5000D4BF0000D5BF0000D6BF0000D7BF00004F
-:10FF6000D8BF0000D9BF0000DABF0000DBBF00002F
-:10FF7000DCBF0000DDBF0000DEBF0000DFBF00000F
-:10FF8000E0BF0000E1BF0000E2BF0000E3BF0000EF
-:10FF9000E4BF0000E5BF0000E6BF0000E7BF0000CF
-:10FFA000E8BF0000E9BF0000EABF0000EBBF0000AF
-:10FFB000ECBF0000EDBF0000EEBF0000EFBF00008F
-:10FFC000F0BF0000F1BF0000F2BF0000F3BF00006F
-:10FFD000F4BF0000F5BF0000F6BF0000F7BF00004F
-:10FFE000F8BF0000F9BF0000FABF0000FBBF00002F
-:10FFF000FCBF0000FDBF0000FEBF0000FFBF00000F
-:020000023000CC
-:1000000000C0000001C0000002C0000003C00000EA
-:1000100004C0000005C0000006C0000007C00000CA
-:1000200008C0000009C000000AC000000BC00000AA
-:100030000CC000000DC000000EC000000FC000008A
-:1000400010C0000011C0000012C0000013C000006A
-:1000500014C0000015C0000016C0000017C000004A
-:1000600018C0000019C000001AC000001BC000002A
-:100070001CC000001DC000001EC000001FC000000A
-:1000800020C0000021C0000022C0000023C00000EA
-:1000900024C0000025C0000026C0000027C00000CA
-:1000A00028C0000029C000002AC000002BC00000AA
-:1000B0002CC000002DC000002EC000002FC000008A
-:1000C00030C0000031C0000032C0000033C000006A
-:1000D00034C0000035C0000036C0000037C000004A
-:1000E00038C0000039C000003AC000003BC000002A
-:1000F0003CC000003DC000003EC000003FC000000A
-:1001000040C0000041C0000042C0000043C00000E9
-:1001100044C0000045C0000046C0000047C00000C9
-:1001200048C0000049C000004AC000004BC00000A9
-:100130004CC000004DC000004EC000004FC0000089
-:1001400050C0000051C0000052C0000053C0000069
-:1001500054C0000055C0000056C0000057C0000049
-:1001600058C0000059C000005AC000005BC0000029
-:100170005CC000005DC000005EC000005FC0000009
-:1001800060C0000061C0000062C0000063C00000E9
-:1001900064C0000065C0000066C0000067C00000C9
-:1001A00068C0000069C000006AC000006BC00000A9
-:1001B0006CC000006DC000006EC000006FC0000089
-:1001C00070C0000071C0000072C0000073C0000069
-:1001D00074C0000075C0000076C0000077C0000049
-:1001E00078C0000079C000007AC000007BC0000029
-:1001F0007CC000007DC000007EC000007FC0000009
-:1002000080C0000081C0000082C0000083C00000E8
-:1002100084C0000085C0000086C0000087C00000C8
-:1002200088C0000089C000008AC000008BC00000A8
-:100230008CC000008DC000008EC000008FC0000088
-:1002400090C0000091C0000092C0000093C0000068
-:1002500094C0000095C0000096C0000097C0000048
-:1002600098C0000099C000009AC000009BC0000028
-:100270009CC000009DC000009EC000009FC0000008
-:10028000A0C00000A1C00000A2C00000A3C00000E8
-:10029000A4C00000A5C00000A6C00000A7C00000C8
-:1002A000A8C00000A9C00000AAC00000ABC00000A8
-:1002B000ACC00000ADC00000AEC00000AFC0000088
-:1002C000B0C00000B1C00000B2C00000B3C0000068
-:1002D000B4C00000B5C00000B6C00000B7C0000048
-:1002E000B8C00000B9C00000BAC00000BBC0000028
-:1002F000BCC00000BDC00000BEC00000BFC0000008
-:10030000C0C00000C1C00000C2C00000C3C00000E7
-:10031000C4C00000C5C00000C6C00000C7C00000C7
-:10032000C8C00000C9C00000CAC00000CBC00000A7
-:10033000CCC00000CDC00000CEC00000CFC0000087
-:10034000D0C00000D1C00000D2C00000D3C0000067
-:10035000D4C00000D5C00000D6C00000D7C0000047
-:10036000D8C00000D9C00000DAC00000DBC0000027
-:10037000DCC00000DDC00000DEC00000DFC0000007
-:10038000E0C00000E1C00000E2C00000E3C00000E7
-:10039000E4C00000E5C00000E6C00000E7C00000C7
-:1003A000E8C00000E9C00000EAC00000EBC00000A7
-:1003B000ECC00000EDC00000EEC00000EFC0000087
-:1003C000F0C00000F1C00000F2C00000F3C0000067
-:1003D000F4C00000F5C00000F6C00000F7C0000047
-:1003E000F8C00000F9C00000FAC00000FBC0000027
-:1003F000FCC00000FDC00000FEC00000FFC0000007
-:1004000000C1000001C1000002C1000003C10000E2
-:1004100004C1000005C1000006C1000007C10000C2
-:1004200008C1000009C100000AC100000BC10000A2
-:100430000CC100000DC100000EC100000FC1000082
-:1004400010C1000011C1000012C1000013C1000062
-:1004500014C1000015C1000016C1000017C1000042
-:1004600018C1000019C100001AC100001BC1000022
-:100470001CC100001DC100001EC100001FC1000002
-:1004800020C1000021C1000022C1000023C10000E2
-:1004900024C1000025C1000026C1000027C10000C2
-:1004A00028C1000029C100002AC100002BC10000A2
-:1004B0002CC100002DC100002EC100002FC1000082
-:1004C00030C1000031C1000032C1000033C1000062
-:1004D00034C1000035C1000036C1000037C1000042
-:1004E00038C1000039C100003AC100003BC1000022
-:1004F0003CC100003DC100003EC100003FC1000002
-:1005000040C1000041C1000042C1000043C10000E1
-:1005100044C1000045C1000046C1000047C10000C1
-:1005200048C1000049C100004AC100004BC10000A1
-:100530004CC100004DC100004EC100004FC1000081
-:1005400050C1000051C1000052C1000053C1000061
-:1005500054C1000055C1000056C1000057C1000041
-:1005600058C1000059C100005AC100005BC1000021
-:100570005CC100005DC100005EC100005FC1000001
-:1005800060C1000061C1000062C1000063C10000E1
-:1005900064C1000065C1000066C1000067C10000C1
-:1005A00068C1000069C100006AC100006BC10000A1
-:1005B0006CC100006DC100006EC100006FC1000081
-:1005C00070C1000071C1000072C1000073C1000061
-:1005D00074C1000075C1000076C1000077C1000041
-:1005E00078C1000079C100007AC100007BC1000021
-:1005F0007CC100007DC100007EC100007FC1000001
-:1006000080C1000081C1000082C1000083C10000E0
-:1006100084C1000085C1000086C1000087C10000C0
-:1006200088C1000089C100008AC100008BC10000A0
-:100630008CC100008DC100008EC100008FC1000080
-:1006400090C1000091C1000092C1000093C1000060
-:1006500094C1000095C1000096C1000097C1000040
-:1006600098C1000099C100009AC100009BC1000020
-:100670009CC100009DC100009EC100009FC1000000
-:10068000A0C10000A1C10000A2C10000A3C10000E0
-:10069000A4C10000A5C10000A6C10000A7C10000C0
-:1006A000A8C10000A9C10000AAC10000ABC10000A0
-:1006B000ACC10000ADC10000AEC10000AFC1000080
-:1006C000B0C10000B1C10000B2C10000B3C1000060
-:1006D000B4C10000B5C10000B6C10000B7C1000040
-:1006E000B8C10000B9C10000BAC10000BBC1000020
-:1006F000BCC10000BDC10000BEC10000BFC1000000
-:10070000C0C10000C1C10000C2C10000C3C10000DF
-:10071000C4C10000C5C10000C6C10000C7C10000BF
-:10072000C8C10000C9C10000CAC10000CBC100009F
-:10073000CCC10000CDC10000CEC10000CFC100007F
-:10074000D0C10000D1C10000D2C10000D3C100005F
-:10075000D4C10000D5C10000D6C10000D7C100003F
-:10076000D8C10000D9C10000DAC10000DBC100001F
-:10077000DCC10000DDC10000DEC10000DFC10000FF
-:10078000E0C10000E1C10000E2C10000E3C10000DF
-:10079000E4C10000E5C10000E6C10000E7C10000BF
-:1007A000E8C10000E9C10000EAC10000EBC100009F
-:1007B000ECC10000EDC10000EEC10000EFC100007F
-:1007C000F0C10000F1C10000F2C10000F3C100005F
-:1007D000F4C10000F5C10000F6C10000F7C100003F
-:1007E000F8C10000F9C10000FAC10000FBC100001F
-:1007F000FCC10000FDC10000FEC10000FFC10000FF
-:1008000000C2000001C2000002C2000003C20000DA
-:1008100004C2000005C2000006C2000007C20000BA
-:1008200008C2000009C200000AC200000BC200009A
-:100830000CC200000DC200000EC200000FC200007A
-:1008400010C2000011C2000012C2000013C200005A
-:1008500014C2000015C2000016C2000017C200003A
-:1008600018C2000019C200001AC200001BC200001A
-:100870001CC200001DC200001EC200001FC20000FA
-:1008800020C2000021C2000022C2000023C20000DA
-:1008900024C2000025C2000026C2000027C20000BA
-:1008A00028C2000029C200002AC200002BC200009A
-:1008B0002CC200002DC200002EC200002FC200007A
-:1008C00030C2000031C2000032C2000033C200005A
-:1008D00034C2000035C2000036C2000037C200003A
-:1008E00038C2000039C200003AC200003BC200001A
-:1008F0003CC200003DC200003EC200003FC20000FA
-:1009000040C2000041C2000042C2000043C20000D9
-:1009100044C2000045C2000046C2000047C20000B9
-:1009200048C2000049C200004AC200004BC2000099
-:100930004CC200004DC200004EC200004FC2000079
-:1009400050C2000051C2000052C2000053C2000059
-:1009500054C2000055C2000056C2000057C2000039
-:1009600058C2000059C200005AC200005BC2000019
-:100970005CC200005DC200005EC200005FC20000F9
-:1009800060C2000061C2000062C2000063C20000D9
-:1009900064C2000065C2000066C2000067C20000B9
-:1009A00068C2000069C200006AC200006BC2000099
-:1009B0006CC200006DC200006EC200006FC2000079
-:1009C00070C2000071C2000072C2000073C2000059
-:1009D00074C2000075C2000076C2000077C2000039
-:1009E00078C2000079C200007AC200007BC2000019
-:1009F0007CC200007DC200007EC200007FC20000F9
-:100A000080C2000081C2000082C2000083C20000D8
-:100A100084C2000085C2000086C2000087C20000B8
-:100A200088C2000089C200008AC200008BC2000098
-:100A30008CC200008DC200008EC200008FC2000078
-:100A400090C2000091C2000092C2000093C2000058
-:100A500094C2000095C2000096C2000097C2000038
-:100A600098C2000099C200009AC200009BC2000018
-:100A70009CC200009DC200009EC200009FC20000F8
-:100A8000A0C20000A1C20000A2C20000A3C20000D8
-:100A9000A4C20000A5C20000A6C20000A7C20000B8
-:100AA000A8C20000A9C20000AAC20000ABC2000098
-:100AB000ACC20000ADC20000AEC20000AFC2000078
-:100AC000B0C20000B1C20000B2C20000B3C2000058
-:100AD000B4C20000B5C20000B6C20000B7C2000038
-:100AE000B8C20000B9C20000BAC20000BBC2000018
-:100AF000BCC20000BDC20000BEC20000BFC20000F8
-:100B0000C0C20000C1C20000C2C20000C3C20000D7
-:100B1000C4C20000C5C20000C6C20000C7C20000B7
-:100B2000C8C20000C9C20000CAC20000CBC2000097
-:100B3000CCC20000CDC20000CEC20000CFC2000077
-:100B4000D0C20000D1C20000D2C20000D3C2000057
-:100B5000D4C20000D5C20000D6C20000D7C2000037
-:100B6000D8C20000D9C20000DAC20000DBC2000017
-:100B7000DCC20000DDC20000DEC20000DFC20000F7
-:100B8000E0C20000E1C20000E2C20000E3C20000D7
-:100B9000E4C20000E5C20000E6C20000E7C20000B7
-:100BA000E8C20000E9C20000EAC20000EBC2000097
-:100BB000ECC20000EDC20000EEC20000EFC2000077
-:100BC000F0C20000F1C20000F2C20000F3C2000057
-:100BD000F4C20000F5C20000F6C20000F7C2000037
-:100BE000F8C20000F9C20000FAC20000FBC2000017
-:100BF000FCC20000FDC20000FEC20000FFC20000F7
-:100C000000C3000001C3000002C3000003C30000D2
-:100C100004C3000005C3000006C3000007C30000B2
-:100C200008C3000009C300000AC300000BC3000092
-:100C30000CC300000DC300000EC300000FC3000072
-:100C400010C3000011C3000012C3000013C3000052
-:100C500014C3000015C3000016C3000017C3000032
-:100C600018C3000019C300001AC300001BC3000012
-:100C70001CC300001DC300001EC300001FC30000F2
-:100C800020C3000021C3000022C3000023C30000D2
-:100C900024C3000025C3000026C3000027C30000B2
-:100CA00028C3000029C300002AC300002BC3000092
-:100CB0002CC300002DC300002EC300002FC3000072
-:100CC00030C3000031C3000032C3000033C3000052
-:100CD00034C3000035C3000036C3000037C3000032
-:100CE00038C3000039C300003AC300003BC3000012
-:100CF0003CC300003DC300003EC300003FC30000F2
-:100D000040C3000041C3000042C3000043C30000D1
-:100D100044C3000045C3000046C3000047C30000B1
-:100D200048C3000049C300004AC300004BC3000091
-:100D30004CC300004DC300004EC300004FC3000071
-:100D400050C3000051C3000052C3000053C3000051
-:100D500054C3000055C3000056C3000057C3000031
-:100D600058C3000059C300005AC300005BC3000011
-:100D70005CC300005DC300005EC300005FC30000F1
-:100D800060C3000061C3000062C3000063C30000D1
-:100D900064C3000065C3000066C3000067C30000B1
-:100DA00068C3000069C300006AC300006BC3000091
-:100DB0006CC300006DC300006EC300006FC3000071
-:100DC00070C3000071C3000072C3000073C3000051
-:100DD00074C3000075C3000076C3000077C3000031
-:100DE00078C3000079C300007AC300007BC3000011
-:100DF0007CC300007DC300007EC300007FC30000F1
-:100E000080C3000081C3000082C3000083C30000D0
-:100E100084C3000085C3000086C3000087C30000B0
-:100E200088C3000089C300008AC300008BC3000090
-:100E30008CC300008DC300008EC300008FC3000070
-:100E400090C3000091C3000092C3000093C3000050
-:100E500094C3000095C3000096C3000097C3000030
-:100E600098C3000099C300009AC300009BC3000010
-:100E70009CC300009DC300009EC300009FC30000F0
-:100E8000A0C30000A1C30000A2C30000A3C30000D0
-:100E9000A4C30000A5C30000A6C30000A7C30000B0
-:100EA000A8C30000A9C30000AAC30000ABC3000090
-:100EB000ACC30000ADC30000AEC30000AFC3000070
-:100EC000B0C30000B1C30000B2C30000B3C3000050
-:100ED000B4C30000B5C30000B6C30000B7C3000030
-:100EE000B8C30000B9C30000BAC30000BBC3000010
-:100EF000BCC30000BDC30000BEC30000BFC30000F0
-:100F0000C0C30000C1C30000C2C30000C3C30000CF
-:100F1000C4C30000C5C30000C6C30000C7C30000AF
-:100F2000C8C30000C9C30000CAC30000CBC300008F
-:100F3000CCC30000CDC30000CEC30000CFC300006F
-:100F4000D0C30000D1C30000D2C30000D3C300004F
-:100F5000D4C30000D5C30000D6C30000D7C300002F
-:100F6000D8C30000D9C30000DAC30000DBC300000F
-:100F7000DCC30000DDC30000DEC30000DFC30000EF
-:100F8000E0C30000E1C30000E2C30000E3C30000CF
-:100F9000E4C30000E5C30000E6C30000E7C30000AF
-:100FA000E8C30000E9C30000EAC30000EBC300008F
-:100FB000ECC30000EDC30000EEC30000EFC300006F
-:100FC000F0C30000F1C30000F2C30000F3C300004F
-:100FD000F4C30000F5C30000F6C30000F7C300002F
-:100FE000F8C30000F9C30000FAC30000FBC300000F
-:100FF000FCC30000FDC30000FEC30000FFC30000EF
-:1010000000C4000001C4000002C4000003C40000CA
-:1010100004C4000005C4000006C4000007C40000AA
-:1010200008C4000009C400000AC400000BC400008A
-:101030000CC400000DC400000EC400000FC400006A
-:1010400010C4000011C4000012C4000013C400004A
-:1010500014C4000015C4000016C4000017C400002A
-:1010600018C4000019C400001AC400001BC400000A
-:101070001CC400001DC400001EC400001FC40000EA
-:1010800020C4000021C4000022C4000023C40000CA
-:1010900024C4000025C4000026C4000027C40000AA
-:1010A00028C4000029C400002AC400002BC400008A
-:1010B0002CC400002DC400002EC400002FC400006A
-:1010C00030C4000031C4000032C4000033C400004A
-:1010D00034C4000035C4000036C4000037C400002A
-:1010E00038C4000039C400003AC400003BC400000A
-:1010F0003CC400003DC400003EC400003FC40000EA
-:1011000040C4000041C4000042C4000043C40000C9
-:1011100044C4000045C4000046C4000047C40000A9
-:1011200048C4000049C400004AC400004BC4000089
-:101130004CC400004DC400004EC400004FC4000069
-:1011400050C4000051C4000052C4000053C4000049
-:1011500054C4000055C4000056C4000057C4000029
-:1011600058C4000059C400005AC400005BC4000009
-:101170005CC400005DC400005EC400005FC40000E9
-:1011800060C4000061C4000062C4000063C40000C9
-:1011900064C4000065C4000066C4000067C40000A9
-:1011A00068C4000069C400006AC400006BC4000089
-:1011B0006CC400006DC400006EC400006FC4000069
-:1011C00070C4000071C4000072C4000073C4000049
-:1011D00074C4000075C4000076C4000077C4000029
-:1011E00078C4000079C400007AC400007BC4000009
-:1011F0007CC400007DC400007EC400007FC40000E9
-:1012000080C4000081C4000082C4000083C40000C8
-:1012100084C4000085C4000086C4000087C40000A8
-:1012200088C4000089C400008AC400008BC4000088
-:101230008CC400008DC400008EC400008FC4000068
-:1012400090C4000091C4000092C4000093C4000048
-:1012500094C4000095C4000096C4000097C4000028
-:1012600098C4000099C400009AC400009BC4000008
-:101270009CC400009DC400009EC400009FC40000E8
-:10128000A0C40000A1C40000A2C40000A3C40000C8
-:10129000A4C40000A5C40000A6C40000A7C40000A8
-:1012A000A8C40000A9C40000AAC40000ABC4000088
-:1012B000ACC40000ADC40000AEC40000AFC4000068
-:1012C000B0C40000B1C40000B2C40000B3C4000048
-:1012D000B4C40000B5C40000B6C40000B7C4000028
-:1012E000B8C40000B9C40000BAC40000BBC4000008
-:1012F000BCC40000BDC40000BEC40000BFC40000E8
-:10130000C0C40000C1C40000C2C40000C3C40000C7
-:10131000C4C40000C5C40000C6C40000C7C40000A7
-:10132000C8C40000C9C40000CAC40000CBC4000087
-:10133000CCC40000CDC40000CEC40000CFC4000067
-:10134000D0C40000D1C40000D2C40000D3C4000047
-:10135000D4C40000D5C40000D6C40000D7C4000027
-:10136000D8C40000D9C40000DAC40000DBC4000007
-:10137000DCC40000DDC40000DEC40000DFC40000E7
-:10138000E0C40000E1C40000E2C40000E3C40000C7
-:10139000E4C40000E5C40000E6C40000E7C40000A7
-:1013A000E8C40000E9C40000EAC40000EBC4000087
-:1013B000ECC40000EDC40000EEC40000EFC4000067
-:1013C000F0C40000F1C40000F2C40000F3C4000047
-:1013D000F4C40000F5C40000F6C40000F7C4000027
-:1013E000F8C40000F9C40000FAC40000FBC4000007
-:1013F000FCC40000FDC40000FEC40000FFC40000E7
-:1014000000C5000001C5000002C5000003C50000C2
-:1014100004C5000005C5000006C5000007C50000A2
-:1014200008C5000009C500000AC500000BC5000082
-:101430000CC500000DC500000EC500000FC5000062
-:1014400010C5000011C5000012C5000013C5000042
-:1014500014C5000015C5000016C5000017C5000022
-:1014600018C5000019C500001AC500001BC5000002
-:101470001CC500001DC500001EC500001FC50000E2
-:1014800020C5000021C5000022C5000023C50000C2
-:1014900024C5000025C5000026C5000027C50000A2
-:1014A00028C5000029C500002AC500002BC5000082
-:1014B0002CC500002DC500002EC500002FC5000062
-:1014C00030C5000031C5000032C5000033C5000042
-:1014D00034C5000035C5000036C5000037C5000022
-:1014E00038C5000039C500003AC500003BC5000002
-:1014F0003CC500003DC500003EC500003FC50000E2
-:1015000040C5000041C5000042C5000043C50000C1
-:1015100044C5000045C5000046C5000047C50000A1
-:1015200048C5000049C500004AC500004BC5000081
-:101530004CC500004DC500004EC500004FC5000061
-:1015400050C5000051C5000052C5000053C5000041
-:1015500054C5000055C5000056C5000057C5000021
-:1015600058C5000059C500005AC500005BC5000001
-:101570005CC500005DC500005EC500005FC50000E1
-:1015800060C5000061C5000062C5000063C50000C1
-:1015900064C5000065C5000066C5000067C50000A1
-:1015A00068C5000069C500006AC500006BC5000081
-:1015B0006CC500006DC500006EC500006FC5000061
-:1015C00070C5000071C5000072C5000073C5000041
-:1015D00074C5000075C5000076C5000077C5000021
-:1015E00078C5000079C500007AC500007BC5000001
-:1015F0007CC500007DC500007EC500007FC50000E1
-:1016000080C5000081C5000082C5000083C50000C0
-:1016100084C5000085C5000086C5000087C50000A0
-:1016200088C5000089C500008AC500008BC5000080
-:101630008CC500008DC500008EC500008FC5000060
-:1016400090C5000091C5000092C5000093C5000040
-:1016500094C5000095C5000096C5000097C5000020
-:1016600098C5000099C500009AC500009BC5000000
-:101670009CC500009DC500009EC500009FC50000E0
-:10168000A0C50000A1C50000A2C50000A3C50000C0
-:10169000A4C50000A5C50000A6C50000A7C50000A0
-:1016A000A8C50000A9C50000AAC50000ABC5000080
-:1016B000ACC50000ADC50000AEC50000AFC5000060
-:1016C000B0C50000B1C50000B2C50000B3C5000040
-:1016D000B4C50000B5C50000B6C50000B7C5000020
-:1016E000B8C50000B9C50000BAC50000BBC5000000
-:1016F000BCC50000BDC50000BEC50000BFC50000E0
-:10170000C0C50000C1C50000C2C50000C3C50000BF
-:10171000C4C50000C5C50000C6C50000C7C500009F
-:10172000C8C50000C9C50000CAC50000CBC500007F
-:10173000CCC50000CDC50000CEC50000CFC500005F
-:10174000D0C50000D1C50000D2C50000D3C500003F
-:10175000D4C50000D5C50000D6C50000D7C500001F
-:10176000D8C50000D9C50000DAC50000DBC50000FF
-:10177000DCC50000DDC50000DEC50000DFC50000DF
-:10178000E0C50000E1C50000E2C50000E3C50000BF
-:10179000E4C50000E5C50000E6C50000E7C500009F
-:1017A000E8C50000E9C50000EAC50000EBC500007F
-:1017B000ECC50000EDC50000EEC50000EFC500005F
-:1017C000F0C50000F1C50000F2C50000F3C500003F
-:1017D000F4C50000F5C50000F6C50000F7C500001F
-:1017E000F8C50000F9C50000FAC50000FBC50000FF
-:1017F000FCC50000FDC50000FEC50000FFC50000DF
-:1018000000C6000001C6000002C6000003C60000BA
-:1018100004C6000005C6000006C6000007C600009A
-:1018200008C6000009C600000AC600000BC600007A
-:101830000CC600000DC600000EC600000FC600005A
-:1018400010C6000011C6000012C6000013C600003A
-:1018500014C6000015C6000016C6000017C600001A
-:1018600018C6000019C600001AC600001BC60000FA
-:101870001CC600001DC600001EC600001FC60000DA
-:1018800020C6000021C6000022C6000023C60000BA
-:1018900024C6000025C6000026C6000027C600009A
-:1018A00028C6000029C600002AC600002BC600007A
-:1018B0002CC600002DC600002EC600002FC600005A
-:1018C00030C6000031C6000032C6000033C600003A
-:1018D00034C6000035C6000036C6000037C600001A
-:1018E00038C6000039C600003AC600003BC60000FA
-:1018F0003CC600003DC600003EC600003FC60000DA
-:1019000040C6000041C6000042C6000043C60000B9
-:1019100044C6000045C6000046C6000047C6000099
-:1019200048C6000049C600004AC600004BC6000079
-:101930004CC600004DC600004EC600004FC6000059
-:1019400050C6000051C6000052C6000053C6000039
-:1019500054C6000055C6000056C6000057C6000019
-:1019600058C6000059C600005AC600005BC60000F9
-:101970005CC600005DC600005EC600005FC60000D9
-:1019800060C6000061C6000062C6000063C60000B9
-:1019900064C6000065C6000066C6000067C6000099
-:1019A00068C6000069C600006AC600006BC6000079
-:1019B0006CC600006DC600006EC600006FC6000059
-:1019C00070C6000071C6000072C6000073C6000039
-:1019D00074C6000075C6000076C6000077C6000019
-:1019E00078C6000079C600007AC600007BC60000F9
-:1019F0007CC600007DC600007EC600007FC60000D9
-:101A000080C6000081C6000082C6000083C60000B8
-:101A100084C6000085C6000086C6000087C6000098
-:101A200088C6000089C600008AC600008BC6000078
-:101A30008CC600008DC600008EC600008FC6000058
-:101A400090C6000091C6000092C6000093C6000038
-:101A500094C6000095C6000096C6000097C6000018
-:101A600098C6000099C600009AC600009BC60000F8
-:101A70009CC600009DC600009EC600009FC60000D8
-:101A8000A0C60000A1C60000A2C60000A3C60000B8
-:101A9000A4C60000A5C60000A6C60000A7C6000098
-:101AA000A8C60000A9C60000AAC60000ABC6000078
-:101AB000ACC60000ADC60000AEC60000AFC6000058
-:101AC000B0C60000B1C60000B2C60000B3C6000038
-:101AD000B4C60000B5C60000B6C60000B7C6000018
-:101AE000B8C60000B9C60000BAC60000BBC60000F8
-:101AF000BCC60000BDC60000BEC60000BFC60000D8
-:101B0000C0C60000C1C60000C2C60000C3C60000B7
-:101B1000C4C60000C5C60000C6C60000C7C6000097
-:101B2000C8C60000C9C60000CAC60000CBC6000077
-:101B3000CCC60000CDC60000CEC60000CFC6000057
-:101B4000D0C60000D1C60000D2C60000D3C6000037
-:101B5000D4C60000D5C60000D6C60000D7C6000017
-:101B6000D8C60000D9C60000DAC60000DBC60000F7
-:101B7000DCC60000DDC60000DEC60000DFC60000D7
-:101B8000E0C60000E1C60000E2C60000E3C60000B7
-:101B9000E4C60000E5C60000E6C60000E7C6000097
-:101BA000E8C60000E9C60000EAC60000EBC6000077
-:101BB000ECC60000EDC60000EEC60000EFC6000057
-:101BC000F0C60000F1C60000F2C60000F3C6000037
-:101BD000F4C60000F5C60000F6C60000F7C6000017
-:101BE000F8C60000F9C60000FAC60000FBC60000F7
-:101BF000FCC60000FDC60000FEC60000FFC60000D7
-:101C000000C7000001C7000002C7000003C70000B2
-:101C100004C7000005C7000006C7000007C7000092
-:101C200008C7000009C700000AC700000BC7000072
-:101C30000CC700000DC700000EC700000FC7000052
-:101C400010C7000011C7000012C7000013C7000032
-:101C500014C7000015C7000016C7000017C7000012
-:101C600018C7000019C700001AC700001BC70000F2
-:101C70001CC700001DC700001EC700001FC70000D2
-:101C800020C7000021C7000022C7000023C70000B2
-:101C900024C7000025C7000026C7000027C7000092
-:101CA00028C7000029C700002AC700002BC7000072
-:101CB0002CC700002DC700002EC700002FC7000052
-:101CC00030C7000031C7000032C7000033C7000032
-:101CD00034C7000035C7000036C7000037C7000012
-:101CE00038C7000039C700003AC700003BC70000F2
-:101CF0003CC700003DC700003EC700003FC70000D2
-:101D000040C7000041C7000042C7000043C70000B1
-:101D100044C7000045C7000046C7000047C7000091
-:101D200048C7000049C700004AC700004BC7000071
-:101D30004CC700004DC700004EC700004FC7000051
-:101D400050C7000051C7000052C7000053C7000031
-:101D500054C7000055C7000056C7000057C7000011
-:101D600058C7000059C700005AC700005BC70000F1
-:101D70005CC700005DC700005EC700005FC70000D1
-:101D800060C7000061C7000062C7000063C70000B1
-:101D900064C7000065C7000066C7000067C7000091
-:101DA00068C7000069C700006AC700006BC7000071
-:101DB0006CC700006DC700006EC700006FC7000051
-:101DC00070C7000071C7000072C7000073C7000031
-:101DD00074C7000075C7000076C7000077C7000011
-:101DE00078C7000079C700007AC700007BC70000F1
-:101DF0007CC700007DC700007EC700007FC70000D1
-:101E000080C7000081C7000082C7000083C70000B0
-:101E100084C7000085C7000086C7000087C7000090
-:101E200088C7000089C700008AC700008BC7000070
-:101E30008CC700008DC700008EC700008FC7000050
-:101E400090C7000091C7000092C7000093C7000030
-:101E500094C7000095C7000096C7000097C7000010
-:101E600098C7000099C700009AC700009BC70000F0
-:101E70009CC700009DC700009EC700009FC70000D0
-:101E8000A0C70000A1C70000A2C70000A3C70000B0
-:101E9000A4C70000A5C70000A6C70000A7C7000090
-:101EA000A8C70000A9C70000AAC70000ABC7000070
-:101EB000ACC70000ADC70000AEC70000AFC7000050
-:101EC000B0C70000B1C70000B2C70000B3C7000030
-:101ED000B4C70000B5C70000B6C70000B7C7000010
-:101EE000B8C70000B9C70000BAC70000BBC70000F0
-:101EF000BCC70000BDC70000BEC70000BFC70000D0
-:101F0000C0C70000C1C70000C2C70000C3C70000AF
-:101F1000C4C70000C5C70000C6C70000C7C700008F
-:101F2000C8C70000C9C70000CAC70000CBC700006F
-:101F3000CCC70000CDC70000CEC70000CFC700004F
-:101F4000D0C70000D1C70000D2C70000D3C700002F
-:101F5000D4C70000D5C70000D6C70000D7C700000F
-:101F6000D8C70000D9C70000DAC70000DBC70000EF
-:101F7000DCC70000DDC70000DEC70000DFC70000CF
-:101F8000E0C70000E1C70000E2C70000E3C70000AF
-:101F9000E4C70000E5C70000E6C70000E7C700008F
-:101FA000E8C70000E9C70000EAC70000EBC700006F
-:101FB000ECC70000EDC70000EEC70000EFC700004F
-:101FC000F0C70000F1C70000F2C70000F3C700002F
-:101FD000F4C70000F5C70000F6C70000F7C700000F
-:101FE000F8C70000F9C70000FAC70000FBC70000EF
-:101FF000FCC70000FDC70000FEC70000FFC70000CF
-:1020000000C8000001C8000002C8000003C80000AA
-:1020100004C8000005C8000006C8000007C800008A
-:1020200008C8000009C800000AC800000BC800006A
-:102030000CC800000DC800000EC800000FC800004A
-:1020400010C8000011C8000012C8000013C800002A
-:1020500014C8000015C8000016C8000017C800000A
-:1020600018C8000019C800001AC800001BC80000EA
-:102070001CC800001DC800001EC800001FC80000CA
-:1020800020C8000021C8000022C8000023C80000AA
-:1020900024C8000025C8000026C8000027C800008A
-:1020A00028C8000029C800002AC800002BC800006A
-:1020B0002CC800002DC800002EC800002FC800004A
-:1020C00030C8000031C8000032C8000033C800002A
-:1020D00034C8000035C8000036C8000037C800000A
-:1020E00038C8000039C800003AC800003BC80000EA
-:1020F0003CC800003DC800003EC800003FC80000CA
-:1021000040C8000041C8000042C8000043C80000A9
-:1021100044C8000045C8000046C8000047C8000089
-:1021200048C8000049C800004AC800004BC8000069
-:102130004CC800004DC800004EC800004FC8000049
-:1021400050C8000051C8000052C8000053C8000029
-:1021500054C8000055C8000056C8000057C8000009
-:1021600058C8000059C800005AC800005BC80000E9
-:102170005CC800005DC800005EC800005FC80000C9
-:1021800060C8000061C8000062C8000063C80000A9
-:1021900064C8000065C8000066C8000067C8000089
-:1021A00068C8000069C800006AC800006BC8000069
-:1021B0006CC800006DC800006EC800006FC8000049
-:1021C00070C8000071C8000072C8000073C8000029
-:1021D00074C8000075C8000076C8000077C8000009
-:1021E00078C8000079C800007AC800007BC80000E9
-:1021F0007CC800007DC800007EC800007FC80000C9
-:1022000080C8000081C8000082C8000083C80000A8
-:1022100084C8000085C8000086C8000087C8000088
-:1022200088C8000089C800008AC800008BC8000068
-:102230008CC800008DC800008EC800008FC8000048
-:1022400090C8000091C8000092C8000093C8000028
-:1022500094C8000095C8000096C8000097C8000008
-:1022600098C8000099C800009AC800009BC80000E8
-:102270009CC800009DC800009EC800009FC80000C8
-:10228000A0C80000A1C80000A2C80000A3C80000A8
-:10229000A4C80000A5C80000A6C80000A7C8000088
-:1022A000A8C80000A9C80000AAC80000ABC8000068
-:1022B000ACC80000ADC80000AEC80000AFC8000048
-:1022C000B0C80000B1C80000B2C80000B3C8000028
-:1022D000B4C80000B5C80000B6C80000B7C8000008
-:1022E000B8C80000B9C80000BAC80000BBC80000E8
-:1022F000BCC80000BDC80000BEC80000BFC80000C8
-:10230000C0C80000C1C80000C2C80000C3C80000A7
-:10231000C4C80000C5C80000C6C80000C7C8000087
-:10232000C8C80000C9C80000CAC80000CBC8000067
-:10233000CCC80000CDC80000CEC80000CFC8000047
-:10234000D0C80000D1C80000D2C80000D3C8000027
-:10235000D4C80000D5C80000D6C80000D7C8000007
-:10236000D8C80000D9C80000DAC80000DBC80000E7
-:10237000DCC80000DDC80000DEC80000DFC80000C7
-:10238000E0C80000E1C80000E2C80000E3C80000A7
-:10239000E4C80000E5C80000E6C80000E7C8000087
-:1023A000E8C80000E9C80000EAC80000EBC8000067
-:1023B000ECC80000EDC80000EEC80000EFC8000047
-:1023C000F0C80000F1C80000F2C80000F3C8000027
-:1023D000F4C80000F5C80000F6C80000F7C8000007
-:1023E000F8C80000F9C80000FAC80000FBC80000E7
-:1023F000FCC80000FDC80000FEC80000FFC80000C7
-:1024000000C9000001C9000002C9000003C90000A2
-:1024100004C9000005C9000006C9000007C9000082
-:1024200008C9000009C900000AC900000BC9000062
-:102430000CC900000DC900000EC900000FC9000042
-:1024400010C9000011C9000012C9000013C9000022
-:1024500014C9000015C9000016C9000017C9000002
-:1024600018C9000019C900001AC900001BC90000E2
-:102470001CC900001DC900001EC900001FC90000C2
-:1024800020C9000021C9000022C9000023C90000A2
-:1024900024C9000025C9000026C9000027C9000082
-:1024A00028C9000029C900002AC900002BC9000062
-:1024B0002CC900002DC900002EC900002FC9000042
-:1024C00030C9000031C9000032C9000033C9000022
-:1024D00034C9000035C9000036C9000037C9000002
-:1024E00038C9000039C900003AC900003BC90000E2
-:1024F0003CC900003DC900003EC900003FC90000C2
-:1025000040C9000041C9000042C9000043C90000A1
-:1025100044C9000045C9000046C9000047C9000081
-:1025200048C9000049C900004AC900004BC9000061
-:102530004CC900004DC900004EC900004FC9000041
-:1025400050C9000051C9000052C9000053C9000021
-:1025500054C9000055C9000056C9000057C9000001
-:1025600058C9000059C900005AC900005BC90000E1
-:102570005CC900005DC900005EC900005FC90000C1
-:1025800060C9000061C9000062C9000063C90000A1
-:1025900064C9000065C9000066C9000067C9000081
-:1025A00068C9000069C900006AC900006BC9000061
-:1025B0006CC900006DC900006EC900006FC9000041
-:1025C00070C9000071C9000072C9000073C9000021
-:1025D00074C9000075C9000076C9000077C9000001
-:1025E00078C9000079C900007AC900007BC90000E1
-:1025F0007CC900007DC900007EC900007FC90000C1
-:1026000080C9000081C9000082C9000083C90000A0
-:1026100084C9000085C9000086C9000087C9000080
-:1026200088C9000089C900008AC900008BC9000060
-:102630008CC900008DC900008EC900008FC9000040
-:1026400090C9000091C9000092C9000093C9000020
-:1026500094C9000095C9000096C9000097C9000000
-:1026600098C9000099C900009AC900009BC90000E0
-:102670009CC900009DC900009EC900009FC90000C0
-:10268000A0C90000A1C90000A2C90000A3C90000A0
-:10269000A4C90000A5C90000A6C90000A7C9000080
-:1026A000A8C90000A9C90000AAC90000ABC9000060
-:1026B000ACC90000ADC90000AEC90000AFC9000040
-:1026C000B0C90000B1C90000B2C90000B3C9000020
-:1026D000B4C90000B5C90000B6C90000B7C9000000
-:1026E000B8C90000B9C90000BAC90000BBC90000E0
-:1026F000BCC90000BDC90000BEC90000BFC90000C0
-:10270000C0C90000C1C90000C2C90000C3C900009F
-:10271000C4C90000C5C90000C6C90000C7C900007F
-:10272000C8C90000C9C90000CAC90000CBC900005F
-:10273000CCC90000CDC90000CEC90000CFC900003F
-:10274000D0C90000D1C90000D2C90000D3C900001F
-:10275000D4C90000D5C90000D6C90000D7C90000FF
-:10276000D8C90000D9C90000DAC90000DBC90000DF
-:10277000DCC90000DDC90000DEC90000DFC90000BF
-:10278000E0C90000E1C90000E2C90000E3C900009F
-:10279000E4C90000E5C90000E6C90000E7C900007F
-:1027A000E8C90000E9C90000EAC90000EBC900005F
-:1027B000ECC90000EDC90000EEC90000EFC900003F
-:1027C000F0C90000F1C90000F2C90000F3C900001F
-:1027D000F4C90000F5C90000F6C90000F7C90000FF
-:1027E000F8C90000F9C90000FAC90000FBC90000DF
-:1027F000FCC90000FDC90000FEC90000FFC90000BF
-:1028000000CA000001CA000002CA000003CA00009A
-:1028100004CA000005CA000006CA000007CA00007A
-:1028200008CA000009CA00000ACA00000BCA00005A
-:102830000CCA00000DCA00000ECA00000FCA00003A
-:1028400010CA000011CA000012CA000013CA00001A
-:1028500014CA000015CA000016CA000017CA0000FA
-:1028600018CA000019CA00001ACA00001BCA0000DA
-:102870001CCA00001DCA00001ECA00001FCA0000BA
-:1028800020CA000021CA000022CA000023CA00009A
-:1028900024CA000025CA000026CA000027CA00007A
-:1028A00028CA000029CA00002ACA00002BCA00005A
-:1028B0002CCA00002DCA00002ECA00002FCA00003A
-:1028C00030CA000031CA000032CA000033CA00001A
-:1028D00034CA000035CA000036CA000037CA0000FA
-:1028E00038CA000039CA00003ACA00003BCA0000DA
-:1028F0003CCA00003DCA00003ECA00003FCA0000BA
-:1029000040CA000041CA000042CA000043CA000099
-:1029100044CA000045CA000046CA000047CA000079
-:1029200048CA000049CA00004ACA00004BCA000059
-:102930004CCA00004DCA00004ECA00004FCA000039
-:1029400050CA000051CA000052CA000053CA000019
-:1029500054CA000055CA000056CA000057CA0000F9
-:1029600058CA000059CA00005ACA00005BCA0000D9
-:102970005CCA00005DCA00005ECA00005FCA0000B9
-:1029800060CA000061CA000062CA000063CA000099
-:1029900064CA000065CA000066CA000067CA000079
-:1029A00068CA000069CA00006ACA00006BCA000059
-:1029B0006CCA00006DCA00006ECA00006FCA000039
-:1029C00070CA000071CA000072CA000073CA000019
-:1029D00074CA000075CA000076CA000077CA0000F9
-:1029E00078CA000079CA00007ACA00007BCA0000D9
-:1029F0007CCA00007DCA00007ECA00007FCA0000B9
-:102A000080CA000081CA000082CA000083CA000098
-:102A100084CA000085CA000086CA000087CA000078
-:102A200088CA000089CA00008ACA00008BCA000058
-:102A30008CCA00008DCA00008ECA00008FCA000038
-:102A400090CA000091CA000092CA000093CA000018
-:102A500094CA000095CA000096CA000097CA0000F8
-:102A600098CA000099CA00009ACA00009BCA0000D8
-:102A70009CCA00009DCA00009ECA00009FCA0000B8
-:102A8000A0CA0000A1CA0000A2CA0000A3CA000098
-:102A9000A4CA0000A5CA0000A6CA0000A7CA000078
-:102AA000A8CA0000A9CA0000AACA0000ABCA000058
-:102AB000ACCA0000ADCA0000AECA0000AFCA000038
-:102AC000B0CA0000B1CA0000B2CA0000B3CA000018
-:102AD000B4CA0000B5CA0000B6CA0000B7CA0000F8
-:102AE000B8CA0000B9CA0000BACA0000BBCA0000D8
-:102AF000BCCA0000BDCA0000BECA0000BFCA0000B8
-:102B0000C0CA0000C1CA0000C2CA0000C3CA000097
-:102B1000C4CA0000C5CA0000C6CA0000C7CA000077
-:102B2000C8CA0000C9CA0000CACA0000CBCA000057
-:102B3000CCCA0000CDCA0000CECA0000CFCA000037
-:102B4000D0CA0000D1CA0000D2CA0000D3CA000017
-:102B5000D4CA0000D5CA0000D6CA0000D7CA0000F7
-:102B6000D8CA0000D9CA0000DACA0000DBCA0000D7
-:102B7000DCCA0000DDCA0000DECA0000DFCA0000B7
-:102B8000E0CA0000E1CA0000E2CA0000E3CA000097
-:102B9000E4CA0000E5CA0000E6CA0000E7CA000077
-:102BA000E8CA0000E9CA0000EACA0000EBCA000057
-:102BB000ECCA0000EDCA0000EECA0000EFCA000037
-:102BC000F0CA0000F1CA0000F2CA0000F3CA000017
-:102BD000F4CA0000F5CA0000F6CA0000F7CA0000F7
-:102BE000F8CA0000F9CA0000FACA0000FBCA0000D7
-:102BF000FCCA0000FDCA0000FECA0000FFCA0000B7
-:102C000000CB000001CB000002CB000003CB000092
-:102C100004CB000005CB000006CB000007CB000072
-:102C200008CB000009CB00000ACB00000BCB000052
-:102C30000CCB00000DCB00000ECB00000FCB000032
-:102C400010CB000011CB000012CB000013CB000012
-:102C500014CB000015CB000016CB000017CB0000F2
-:102C600018CB000019CB00001ACB00001BCB0000D2
-:102C70001CCB00001DCB00001ECB00001FCB0000B2
-:102C800020CB000021CB000022CB000023CB000092
-:102C900024CB000025CB000026CB000027CB000072
-:102CA00028CB000029CB00002ACB00002BCB000052
-:102CB0002CCB00002DCB00002ECB00002FCB000032
-:102CC00030CB000031CB000032CB000033CB000012
-:102CD00034CB000035CB000036CB000037CB0000F2
-:102CE00038CB000039CB00003ACB00003BCB0000D2
-:102CF0003CCB00003DCB00003ECB00003FCB0000B2
-:102D000040CB000041CB000042CB000043CB000091
-:102D100044CB000045CB000046CB000047CB000071
-:102D200048CB000049CB00004ACB00004BCB000051
-:102D30004CCB00004DCB00004ECB00004FCB000031
-:102D400050CB000051CB000052CB000053CB000011
-:102D500054CB000055CB000056CB000057CB0000F1
-:102D600058CB000059CB00005ACB00005BCB0000D1
-:102D70005CCB00005DCB00005ECB00005FCB0000B1
-:102D800060CB000061CB000062CB000063CB000091
-:102D900064CB000065CB000066CB000067CB000071
-:102DA00068CB000069CB00006ACB00006BCB000051
-:102DB0006CCB00006DCB00006ECB00006FCB000031
-:102DC00070CB000071CB000072CB000073CB000011
-:102DD00074CB000075CB000076CB000077CB0000F1
-:102DE00078CB000079CB00007ACB00007BCB0000D1
-:102DF0007CCB00007DCB00007ECB00007FCB0000B1
-:102E000080CB000081CB000082CB000083CB000090
-:102E100084CB000085CB000086CB000087CB000070
-:102E200088CB000089CB00008ACB00008BCB000050
-:102E30008CCB00008DCB00008ECB00008FCB000030
-:102E400090CB000091CB000092CB000093CB000010
-:102E500094CB000095CB000096CB000097CB0000F0
-:102E600098CB000099CB00009ACB00009BCB0000D0
-:102E70009CCB00009DCB00009ECB00009FCB0000B0
-:102E8000A0CB0000A1CB0000A2CB0000A3CB000090
-:102E9000A4CB0000A5CB0000A6CB0000A7CB000070
-:102EA000A8CB0000A9CB0000AACB0000ABCB000050
-:102EB000ACCB0000ADCB0000AECB0000AFCB000030
-:102EC000B0CB0000B1CB0000B2CB0000B3CB000010
-:102ED000B4CB0000B5CB0000B6CB0000B7CB0000F0
-:102EE000B8CB0000B9CB0000BACB0000BBCB0000D0
-:102EF000BCCB0000BDCB0000BECB0000BFCB0000B0
-:102F0000C0CB0000C1CB0000C2CB0000C3CB00008F
-:102F1000C4CB0000C5CB0000C6CB0000C7CB00006F
-:102F2000C8CB0000C9CB0000CACB0000CBCB00004F
-:102F3000CCCB0000CDCB0000CECB0000CFCB00002F
-:102F4000D0CB0000D1CB0000D2CB0000D3CB00000F
-:102F5000D4CB0000D5CB0000D6CB0000D7CB0000EF
-:102F6000D8CB0000D9CB0000DACB0000DBCB0000CF
-:102F7000DCCB0000DDCB0000DECB0000DFCB0000AF
-:102F8000E0CB0000E1CB0000E2CB0000E3CB00008F
-:102F9000E4CB0000E5CB0000E6CB0000E7CB00006F
-:102FA000E8CB0000E9CB0000EACB0000EBCB00004F
-:102FB000ECCB0000EDCB0000EECB0000EFCB00002F
-:102FC000F0CB0000F1CB0000F2CB0000F3CB00000F
-:102FD000F4CB0000F5CB0000F6CB0000F7CB0000EF
-:102FE000F8CB0000F9CB0000FACB0000FBCB0000CF
-:102FF000FCCB0000FDCB0000FECB0000FFCB0000AF
-:1030000000CC000001CC000002CC000003CC00008A
-:1030100004CC000005CC000006CC000007CC00006A
-:1030200008CC000009CC00000ACC00000BCC00004A
-:103030000CCC00000DCC00000ECC00000FCC00002A
-:1030400010CC000011CC000012CC000013CC00000A
-:1030500014CC000015CC000016CC000017CC0000EA
-:1030600018CC000019CC00001ACC00001BCC0000CA
-:103070001CCC00001DCC00001ECC00001FCC0000AA
-:1030800020CC000021CC000022CC000023CC00008A
-:1030900024CC000025CC000026CC000027CC00006A
-:1030A00028CC000029CC00002ACC00002BCC00004A
-:1030B0002CCC00002DCC00002ECC00002FCC00002A
-:1030C00030CC000031CC000032CC000033CC00000A
-:1030D00034CC000035CC000036CC000037CC0000EA
-:1030E00038CC000039CC00003ACC00003BCC0000CA
-:1030F0003CCC00003DCC00003ECC00003FCC0000AA
-:1031000040CC000041CC000042CC000043CC000089
-:1031100044CC000045CC000046CC000047CC000069
-:1031200048CC000049CC00004ACC00004BCC000049
-:103130004CCC00004DCC00004ECC00004FCC000029
-:1031400050CC000051CC000052CC000053CC000009
-:1031500054CC000055CC000056CC000057CC0000E9
-:1031600058CC000059CC00005ACC00005BCC0000C9
-:103170005CCC00005DCC00005ECC00005FCC0000A9
-:1031800060CC000061CC000062CC000063CC000089
-:1031900064CC000065CC000066CC000067CC000069
-:1031A00068CC000069CC00006ACC00006BCC000049
-:1031B0006CCC00006DCC00006ECC00006FCC000029
-:1031C00070CC000071CC000072CC000073CC000009
-:1031D00074CC000075CC000076CC000077CC0000E9
-:1031E00078CC000079CC00007ACC00007BCC0000C9
-:1031F0007CCC00007DCC00007ECC00007FCC0000A9
-:1032000080CC000081CC000082CC000083CC000088
-:1032100084CC000085CC000086CC000087CC000068
-:1032200088CC000089CC00008ACC00008BCC000048
-:103230008CCC00008DCC00008ECC00008FCC000028
-:1032400090CC000091CC000092CC000093CC000008
-:1032500094CC000095CC000096CC000097CC0000E8
-:1032600098CC000099CC00009ACC00009BCC0000C8
-:103270009CCC00009DCC00009ECC00009FCC0000A8
-:10328000A0CC0000A1CC0000A2CC0000A3CC000088
-:10329000A4CC0000A5CC0000A6CC0000A7CC000068
-:1032A000A8CC0000A9CC0000AACC0000ABCC000048
-:1032B000ACCC0000ADCC0000AECC0000AFCC000028
-:1032C000B0CC0000B1CC0000B2CC0000B3CC000008
-:1032D000B4CC0000B5CC0000B6CC0000B7CC0000E8
-:1032E000B8CC0000B9CC0000BACC0000BBCC0000C8
-:1032F000BCCC0000BDCC0000BECC0000BFCC0000A8
-:10330000C0CC0000C1CC0000C2CC0000C3CC000087
-:10331000C4CC0000C5CC0000C6CC0000C7CC000067
-:10332000C8CC0000C9CC0000CACC0000CBCC000047
-:10333000CCCC0000CDCC0000CECC0000CFCC000027
-:10334000D0CC0000D1CC0000D2CC0000D3CC000007
-:10335000D4CC0000D5CC0000D6CC0000D7CC0000E7
-:10336000D8CC0000D9CC0000DACC0000DBCC0000C7
-:10337000DCCC0000DDCC0000DECC0000DFCC0000A7
-:10338000E0CC0000E1CC0000E2CC0000E3CC000087
-:10339000E4CC0000E5CC0000E6CC0000E7CC000067
-:1033A000E8CC0000E9CC0000EACC0000EBCC000047
-:1033B000ECCC0000EDCC0000EECC0000EFCC000027
-:1033C000F0CC0000F1CC0000F2CC0000F3CC000007
-:1033D000F4CC0000F5CC0000F6CC0000F7CC0000E7
-:1033E000F8CC0000F9CC0000FACC0000FBCC0000C7
-:1033F000FCCC0000FDCC0000FECC0000FFCC0000A7
-:1034000000CD000001CD000002CD000003CD000082
-:1034100004CD000005CD000006CD000007CD000062
-:1034200008CD000009CD00000ACD00000BCD000042
-:103430000CCD00000DCD00000ECD00000FCD000022
-:1034400010CD000011CD000012CD000013CD000002
-:1034500014CD000015CD000016CD000017CD0000E2
-:1034600018CD000019CD00001ACD00001BCD0000C2
-:103470001CCD00001DCD00001ECD00001FCD0000A2
-:1034800020CD000021CD000022CD000023CD000082
-:1034900024CD000025CD000026CD000027CD000062
-:1034A00028CD000029CD00002ACD00002BCD000042
-:1034B0002CCD00002DCD00002ECD00002FCD000022
-:1034C00030CD000031CD000032CD000033CD000002
-:1034D00034CD000035CD000036CD000037CD0000E2
-:1034E00038CD000039CD00003ACD00003BCD0000C2
-:1034F0003CCD00003DCD00003ECD00003FCD0000A2
-:1035000040CD000041CD000042CD000043CD000081
-:1035100044CD000045CD000046CD000047CD000061
-:1035200048CD000049CD00004ACD00004BCD000041
-:103530004CCD00004DCD00004ECD00004FCD000021
-:1035400050CD000051CD000052CD000053CD000001
-:1035500054CD000055CD000056CD000057CD0000E1
-:1035600058CD000059CD00005ACD00005BCD0000C1
-:103570005CCD00005DCD00005ECD00005FCD0000A1
-:1035800060CD000061CD000062CD000063CD000081
-:1035900064CD000065CD000066CD000067CD000061
-:1035A00068CD000069CD00006ACD00006BCD000041
-:1035B0006CCD00006DCD00006ECD00006FCD000021
-:1035C00070CD000071CD000072CD000073CD000001
-:1035D00074CD000075CD000076CD000077CD0000E1
-:1035E00078CD000079CD00007ACD00007BCD0000C1
-:1035F0007CCD00007DCD00007ECD00007FCD0000A1
-:1036000080CD000081CD000082CD000083CD000080
-:1036100084CD000085CD000086CD000087CD000060
-:1036200088CD000089CD00008ACD00008BCD000040
-:103630008CCD00008DCD00008ECD00008FCD000020
-:1036400090CD000091CD000092CD000093CD000000
-:1036500094CD000095CD000096CD000097CD0000E0
-:1036600098CD000099CD00009ACD00009BCD0000C0
-:103670009CCD00009DCD00009ECD00009FCD0000A0
-:10368000A0CD0000A1CD0000A2CD0000A3CD000080
-:10369000A4CD0000A5CD0000A6CD0000A7CD000060
-:1036A000A8CD0000A9CD0000AACD0000ABCD000040
-:1036B000ACCD0000ADCD0000AECD0000AFCD000020
-:1036C000B0CD0000B1CD0000B2CD0000B3CD000000
-:1036D000B4CD0000B5CD0000B6CD0000B7CD0000E0
-:1036E000B8CD0000B9CD0000BACD0000BBCD0000C0
-:1036F000BCCD0000BDCD0000BECD0000BFCD0000A0
-:10370000C0CD0000C1CD0000C2CD0000C3CD00007F
-:10371000C4CD0000C5CD0000C6CD0000C7CD00005F
-:10372000C8CD0000C9CD0000CACD0000CBCD00003F
-:10373000CCCD0000CDCD0000CECD0000CFCD00001F
-:10374000D0CD0000D1CD0000D2CD0000D3CD0000FF
-:10375000D4CD0000D5CD0000D6CD0000D7CD0000DF
-:10376000D8CD0000D9CD0000DACD0000DBCD0000BF
-:10377000DCCD0000DDCD0000DECD0000DFCD00009F
-:10378000E0CD0000E1CD0000E2CD0000E3CD00007F
-:10379000E4CD0000E5CD0000E6CD0000E7CD00005F
-:1037A000E8CD0000E9CD0000EACD0000EBCD00003F
-:1037B000ECCD0000EDCD0000EECD0000EFCD00001F
-:1037C000F0CD0000F1CD0000F2CD0000F3CD0000FF
-:1037D000F4CD0000F5CD0000F6CD0000F7CD0000DF
-:1037E000F8CD0000F9CD0000FACD0000FBCD0000BF
-:1037F000FCCD0000FDCD0000FECD0000FFCD00009F
-:1038000000CE000001CE000002CE000003CE00007A
-:1038100004CE000005CE000006CE000007CE00005A
-:1038200008CE000009CE00000ACE00000BCE00003A
-:103830000CCE00000DCE00000ECE00000FCE00001A
-:1038400010CE000011CE000012CE000013CE0000FA
-:1038500014CE000015CE000016CE000017CE0000DA
-:1038600018CE000019CE00001ACE00001BCE0000BA
-:103870001CCE00001DCE00001ECE00001FCE00009A
-:1038800020CE000021CE000022CE000023CE00007A
-:1038900024CE000025CE000026CE000027CE00005A
-:1038A00028CE000029CE00002ACE00002BCE00003A
-:1038B0002CCE00002DCE00002ECE00002FCE00001A
-:1038C00030CE000031CE000032CE000033CE0000FA
-:1038D00034CE000035CE000036CE000037CE0000DA
-:1038E00038CE000039CE00003ACE00003BCE0000BA
-:1038F0003CCE00003DCE00003ECE00003FCE00009A
-:1039000040CE000041CE000042CE000043CE000079
-:1039100044CE000045CE000046CE000047CE000059
-:1039200048CE000049CE00004ACE00004BCE000039
-:103930004CCE00004DCE00004ECE00004FCE000019
-:1039400050CE000051CE000052CE000053CE0000F9
-:1039500054CE000055CE000056CE000057CE0000D9
-:1039600058CE000059CE00005ACE00005BCE0000B9
-:103970005CCE00005DCE00005ECE00005FCE000099
-:1039800060CE000061CE000062CE000063CE000079
-:1039900064CE000065CE000066CE000067CE000059
-:1039A00068CE000069CE00006ACE00006BCE000039
-:1039B0006CCE00006DCE00006ECE00006FCE000019
-:1039C00070CE000071CE000072CE000073CE0000F9
-:1039D00074CE000075CE000076CE000077CE0000D9
-:1039E00078CE000079CE00007ACE00007BCE0000B9
-:1039F0007CCE00007DCE00007ECE00007FCE000099
-:103A000080CE000081CE000082CE000083CE000078
-:103A100084CE000085CE000086CE000087CE000058
-:103A200088CE000089CE00008ACE00008BCE000038
-:103A30008CCE00008DCE00008ECE00008FCE000018
-:103A400090CE000091CE000092CE000093CE0000F8
-:103A500094CE000095CE000096CE000097CE0000D8
-:103A600098CE000099CE00009ACE00009BCE0000B8
-:103A70009CCE00009DCE00009ECE00009FCE000098
-:103A8000A0CE0000A1CE0000A2CE0000A3CE000078
-:103A9000A4CE0000A5CE0000A6CE0000A7CE000058
-:103AA000A8CE0000A9CE0000AACE0000ABCE000038
-:103AB000ACCE0000ADCE0000AECE0000AFCE000018
-:103AC000B0CE0000B1CE0000B2CE0000B3CE0000F8
-:103AD000B4CE0000B5CE0000B6CE0000B7CE0000D8
-:103AE000B8CE0000B9CE0000BACE0000BBCE0000B8
-:103AF000BCCE0000BDCE0000BECE0000BFCE000098
-:103B0000C0CE0000C1CE0000C2CE0000C3CE000077
-:103B1000C4CE0000C5CE0000C6CE0000C7CE000057
-:103B2000C8CE0000C9CE0000CACE0000CBCE000037
-:103B3000CCCE0000CDCE0000CECE0000CFCE000017
-:103B4000D0CE0000D1CE0000D2CE0000D3CE0000F7
-:103B5000D4CE0000D5CE0000D6CE0000D7CE0000D7
-:103B6000D8CE0000D9CE0000DACE0000DBCE0000B7
-:103B7000DCCE0000DDCE0000DECE0000DFCE000097
-:103B8000E0CE0000E1CE0000E2CE0000E3CE000077
-:103B9000E4CE0000E5CE0000E6CE0000E7CE000057
-:103BA000E8CE0000E9CE0000EACE0000EBCE000037
-:103BB000ECCE0000EDCE0000EECE0000EFCE000017
-:103BC000F0CE0000F1CE0000F2CE0000F3CE0000F7
-:103BD000F4CE0000F5CE0000F6CE0000F7CE0000D7
-:103BE000F8CE0000F9CE0000FACE0000FBCE0000B7
-:103BF000FCCE0000FDCE0000FECE0000FFCE000097
-:103C000000CF000001CF000002CF000003CF000072
-:103C100004CF000005CF000006CF000007CF000052
-:103C200008CF000009CF00000ACF00000BCF000032
-:103C30000CCF00000DCF00000ECF00000FCF000012
-:103C400010CF000011CF000012CF000013CF0000F2
-:103C500014CF000015CF000016CF000017CF0000D2
-:103C600018CF000019CF00001ACF00001BCF0000B2
-:103C70001CCF00001DCF00001ECF00001FCF000092
-:103C800020CF000021CF000022CF000023CF000072
-:103C900024CF000025CF000026CF000027CF000052
-:103CA00028CF000029CF00002ACF00002BCF000032
-:103CB0002CCF00002DCF00002ECF00002FCF000012
-:103CC00030CF000031CF000032CF000033CF0000F2
-:103CD00034CF000035CF000036CF000037CF0000D2
-:103CE00038CF000039CF00003ACF00003BCF0000B2
-:103CF0003CCF00003DCF00003ECF00003FCF000092
-:103D000040CF000041CF000042CF000043CF000071
-:103D100044CF000045CF000046CF000047CF000051
-:103D200048CF000049CF00004ACF00004BCF000031
-:103D30004CCF00004DCF00004ECF00004FCF000011
-:103D400050CF000051CF000052CF000053CF0000F1
-:103D500054CF000055CF000056CF000057CF0000D1
-:103D600058CF000059CF00005ACF00005BCF0000B1
-:103D70005CCF00005DCF00005ECF00005FCF000091
-:103D800060CF000061CF000062CF000063CF000071
-:103D900064CF000065CF000066CF000067CF000051
-:103DA00068CF000069CF00006ACF00006BCF000031
-:103DB0006CCF00006DCF00006ECF00006FCF000011
-:103DC00070CF000071CF000072CF000073CF0000F1
-:103DD00074CF000075CF000076CF000077CF0000D1
-:103DE00078CF000079CF00007ACF00007BCF0000B1
-:103DF0007CCF00007DCF00007ECF00007FCF000091
-:103E000080CF000081CF000082CF000083CF000070
-:103E100084CF000085CF000086CF000087CF000050
-:103E200088CF000089CF00008ACF00008BCF000030
-:103E30008CCF00008DCF00008ECF00008FCF000010
-:103E400090CF000091CF000092CF000093CF0000F0
-:103E500094CF000095CF000096CF000097CF0000D0
-:103E600098CF000099CF00009ACF00009BCF0000B0
-:103E70009CCF00009DCF00009ECF00009FCF000090
-:103E8000A0CF0000A1CF0000A2CF0000A3CF000070
-:103E9000A4CF0000A5CF0000A6CF0000A7CF000050
-:103EA000A8CF0000A9CF0000AACF0000ABCF000030
-:103EB000ACCF0000ADCF0000AECF0000AFCF000010
-:103EC000B0CF0000B1CF0000B2CF0000B3CF0000F0
-:103ED000B4CF0000B5CF0000B6CF0000B7CF0000D0
-:103EE000B8CF0000B9CF0000BACF0000BBCF0000B0
-:103EF000BCCF0000BDCF0000BECF0000BFCF000090
-:103F0000C0CF0000C1CF0000C2CF0000C3CF00006F
-:103F1000C4CF0000C5CF0000C6CF0000C7CF00004F
-:103F2000C8CF0000C9CF0000CACF0000CBCF00002F
-:103F3000CCCF0000CDCF0000CECF0000CFCF00000F
-:103F4000D0CF0000D1CF0000D2CF0000D3CF0000EF
-:103F5000D4CF0000D5CF0000D6CF0000D7CF0000CF
-:103F6000D8CF0000D9CF0000DACF0000DBCF0000AF
-:103F7000DCCF0000DDCF0000DECF0000DFCF00008F
-:103F8000E0CF0000E1CF0000E2CF0000E3CF00006F
-:103F9000E4CF0000E5CF0000E6CF0000E7CF00004F
-:103FA000E8CF0000E9CF0000EACF0000EBCF00002F
-:103FB000ECCF0000EDCF0000EECF0000EFCF00000F
-:103FC000F0CF0000F1CF0000F2CF0000F3CF0000EF
-:103FD000F4CF0000F5CF0000F6CF0000F7CF0000CF
-:103FE000F8CF0000F9CF0000FACF0000FBCF0000AF
-:103FF000FCCF0000FDCF0000FECF0000FFCF00008F
-:1040000000D0000001D0000002D0000003D000006A
-:1040100004D0000005D0000006D0000007D000004A
-:1040200008D0000009D000000AD000000BD000002A
-:104030000CD000000DD000000ED000000FD000000A
-:1040400010D0000011D0000012D0000013D00000EA
-:1040500014D0000015D0000016D0000017D00000CA
-:1040600018D0000019D000001AD000001BD00000AA
-:104070001CD000001DD000001ED000001FD000008A
-:1040800020D0000021D0000022D0000023D000006A
-:1040900024D0000025D0000026D0000027D000004A
-:1040A00028D0000029D000002AD000002BD000002A
-:1040B0002CD000002DD000002ED000002FD000000A
-:1040C00030D0000031D0000032D0000033D00000EA
-:1040D00034D0000035D0000036D0000037D00000CA
-:1040E00038D0000039D000003AD000003BD00000AA
-:1040F0003CD000003DD000003ED000003FD000008A
-:1041000040D0000041D0000042D0000043D0000069
-:1041100044D0000045D0000046D0000047D0000049
-:1041200048D0000049D000004AD000004BD0000029
-:104130004CD000004DD000004ED000004FD0000009
-:1041400050D0000051D0000052D0000053D00000E9
-:1041500054D0000055D0000056D0000057D00000C9
-:1041600058D0000059D000005AD000005BD00000A9
-:104170005CD000005DD000005ED000005FD0000089
-:1041800060D0000061D0000062D0000063D0000069
-:1041900064D0000065D0000066D0000067D0000049
-:1041A00068D0000069D000006AD000006BD0000029
-:1041B0006CD000006DD000006ED000006FD0000009
-:1041C00070D0000071D0000072D0000073D00000E9
-:1041D00074D0000075D0000076D0000077D00000C9
-:1041E00078D0000079D000007AD000007BD00000A9
-:1041F0007CD000007DD000007ED000007FD0000089
-:1042000080D0000081D0000082D0000083D0000068
-:1042100084D0000085D0000086D0000087D0000048
-:1042200088D0000089D000008AD000008BD0000028
-:104230008CD000008DD000008ED000008FD0000008
-:1042400090D0000091D0000092D0000093D00000E8
-:1042500094D0000095D0000096D0000097D00000C8
-:1042600098D0000099D000009AD000009BD00000A8
-:104270009CD000009DD000009ED000009FD0000088
-:10428000A0D00000A1D00000A2D00000A3D0000068
-:10429000A4D00000A5D00000A6D00000A7D0000048
-:1042A000A8D00000A9D00000AAD00000ABD0000028
-:1042B000ACD00000ADD00000AED00000AFD0000008
-:1042C000B0D00000B1D00000B2D00000B3D00000E8
-:1042D000B4D00000B5D00000B6D00000B7D00000C8
-:1042E000B8D00000B9D00000BAD00000BBD00000A8
-:1042F000BCD00000BDD00000BED00000BFD0000088
-:10430000C0D00000C1D00000C2D00000C3D0000067
-:10431000C4D00000C5D00000C6D00000C7D0000047
-:10432000C8D00000C9D00000CAD00000CBD0000027
-:10433000CCD00000CDD00000CED00000CFD0000007
-:10434000D0D00000D1D00000D2D00000D3D00000E7
-:10435000D4D00000D5D00000D6D00000D7D00000C7
-:10436000D8D00000D9D00000DAD00000DBD00000A7
-:10437000DCD00000DDD00000DED00000DFD0000087
-:10438000E0D00000E1D00000E2D00000E3D0000067
-:10439000E4D00000E5D00000E6D00000E7D0000047
-:1043A000E8D00000E9D00000EAD00000EBD0000027
-:1043B000ECD00000EDD00000EED00000EFD0000007
-:1043C000F0D00000F1D00000F2D00000F3D00000E7
-:1043D000F4D00000F5D00000F6D00000F7D00000C7
-:1043E000F8D00000F9D00000FAD00000FBD00000A7
-:1043F000FCD00000FDD00000FED00000FFD0000087
-:1044000000D1000001D1000002D1000003D1000062
-:1044100004D1000005D1000006D1000007D1000042
-:1044200008D1000009D100000AD100000BD1000022
-:104430000CD100000DD100000ED100000FD1000002
-:1044400010D1000011D1000012D1000013D10000E2
-:1044500014D1000015D1000016D1000017D10000C2
-:1044600018D1000019D100001AD100001BD10000A2
-:104470001CD100001DD100001ED100001FD1000082
-:1044800020D1000021D1000022D1000023D1000062
-:1044900024D1000025D1000026D1000027D1000042
-:1044A00028D1000029D100002AD100002BD1000022
-:1044B0002CD100002DD100002ED100002FD1000002
-:1044C00030D1000031D1000032D1000033D10000E2
-:1044D00034D1000035D1000036D1000037D10000C2
-:1044E00038D1000039D100003AD100003BD10000A2
-:1044F0003CD100003DD100003ED100003FD1000082
-:1045000040D1000041D1000042D1000043D1000061
-:1045100044D1000045D1000046D1000047D1000041
-:1045200048D1000049D100004AD100004BD1000021
-:104530004CD100004DD100004ED100004FD1000001
-:1045400050D1000051D1000052D1000053D10000E1
-:1045500054D1000055D1000056D1000057D10000C1
-:1045600058D1000059D100005AD100005BD10000A1
-:104570005CD100005DD100005ED100005FD1000081
-:1045800060D1000061D1000062D1000063D1000061
-:1045900064D1000065D1000066D1000067D1000041
-:1045A00068D1000069D100006AD100006BD1000021
-:1045B0006CD100006DD100006ED100006FD1000001
-:1045C00070D1000071D1000072D1000073D10000E1
-:1045D00074D1000075D1000076D1000077D10000C1
-:1045E00078D1000079D100007AD100007BD10000A1
-:1045F0007CD100007DD100007ED100007FD1000081
-:1046000080D1000081D1000082D1000083D1000060
-:1046100084D1000085D1000086D1000087D1000040
-:1046200088D1000089D100008AD100008BD1000020
-:104630008CD100008DD100008ED100008FD1000000
-:1046400090D1000091D1000092D1000093D10000E0
-:1046500094D1000095D1000096D1000097D10000C0
-:1046600098D1000099D100009AD100009BD10000A0
-:104670009CD100009DD100009ED100009FD1000080
-:10468000A0D10000A1D10000A2D10000A3D1000060
-:10469000A4D10000A5D10000A6D10000A7D1000040
-:1046A000A8D10000A9D10000AAD10000ABD1000020
-:1046B000ACD10000ADD10000AED10000AFD1000000
-:1046C000B0D10000B1D10000B2D10000B3D10000E0
-:1046D000B4D10000B5D10000B6D10000B7D10000C0
-:1046E000B8D10000B9D10000BAD10000BBD10000A0
-:1046F000BCD10000BDD10000BED10000BFD1000080
-:10470000C0D10000C1D10000C2D10000C3D100005F
-:10471000C4D10000C5D10000C6D10000C7D100003F
-:10472000C8D10000C9D10000CAD10000CBD100001F
-:10473000CCD10000CDD10000CED10000CFD10000FF
-:10474000D0D10000D1D10000D2D10000D3D10000DF
-:10475000D4D10000D5D10000D6D10000D7D10000BF
-:10476000D8D10000D9D10000DAD10000DBD100009F
-:10477000DCD10000DDD10000DED10000DFD100007F
-:10478000E0D10000E1D10000E2D10000E3D100005F
-:10479000E4D10000E5D10000E6D10000E7D100003F
-:1047A000E8D10000E9D10000EAD10000EBD100001F
-:1047B000ECD10000EDD10000EED10000EFD10000FF
-:1047C000F0D10000F1D10000F2D10000F3D10000DF
-:1047D000F4D10000F5D10000F6D10000F7D10000BF
-:1047E000F8D10000F9D10000FAD10000FBD100009F
-:1047F000FCD10000FDD10000FED10000FFD100007F
-:1048000000D2000001D2000002D2000003D200005A
-:1048100004D2000005D2000006D2000007D200003A
-:1048200008D2000009D200000AD200000BD200001A
-:104830000CD200000DD200000ED200000FD20000FA
-:1048400010D2000011D2000012D2000013D20000DA
-:1048500014D2000015D2000016D2000017D20000BA
-:1048600018D2000019D200001AD200001BD200009A
-:104870001CD200001DD200001ED200001FD200007A
-:1048800020D2000021D2000022D2000023D200005A
-:1048900024D2000025D2000026D2000027D200003A
-:1048A00028D2000029D200002AD200002BD200001A
-:1048B0002CD200002DD200002ED200002FD20000FA
-:1048C00030D2000031D2000032D2000033D20000DA
-:1048D00034D2000035D2000036D2000037D20000BA
-:1048E00038D2000039D200003AD200003BD200009A
-:1048F0003CD200003DD200003ED200003FD200007A
-:1049000040D2000041D2000042D2000043D2000059
-:1049100044D2000045D2000046D2000047D2000039
-:1049200048D2000049D200004AD200004BD2000019
-:104930004CD200004DD200004ED200004FD20000F9
-:1049400050D2000051D2000052D2000053D20000D9
-:1049500054D2000055D2000056D2000057D20000B9
-:1049600058D2000059D200005AD200005BD2000099
-:104970005CD200005DD200005ED200005FD2000079
-:1049800060D2000061D2000062D2000063D2000059
-:1049900064D2000065D2000066D2000067D2000039
-:1049A00068D2000069D200006AD200006BD2000019
-:1049B0006CD200006DD200006ED200006FD20000F9
-:1049C00070D2000071D2000072D2000073D20000D9
-:1049D00074D2000075D2000076D2000077D20000B9
-:1049E00078D2000079D200007AD200007BD2000099
-:1049F0007CD200007DD200007ED200007FD2000079
-:104A000080D2000081D2000082D2000083D2000058
-:104A100084D2000085D2000086D2000087D2000038
-:104A200088D2000089D200008AD200008BD2000018
-:104A30008CD200008DD200008ED200008FD20000F8
-:104A400090D2000091D2000092D2000093D20000D8
-:104A500094D2000095D2000096D2000097D20000B8
-:104A600098D2000099D200009AD200009BD2000098
-:104A70009CD200009DD200009ED200009FD2000078
-:104A8000A0D20000A1D20000A2D20000A3D2000058
-:104A9000A4D20000A5D20000A6D20000A7D2000038
-:104AA000A8D20000A9D20000AAD20000ABD2000018
-:104AB000ACD20000ADD20000AED20000AFD20000F8
-:104AC000B0D20000B1D20000B2D20000B3D20000D8
-:104AD000B4D20000B5D20000B6D20000B7D20000B8
-:104AE000B8D20000B9D20000BAD20000BBD2000098
-:104AF000BCD20000BDD20000BED20000BFD2000078
-:104B0000C0D20000C1D20000C2D20000C3D2000057
-:104B1000C4D20000C5D20000C6D20000C7D2000037
-:104B2000C8D20000C9D20000CAD20000CBD2000017
-:104B3000CCD20000CDD20000CED20000CFD20000F7
-:104B4000D0D20000D1D20000D2D20000D3D20000D7
-:104B5000D4D20000D5D20000D6D20000D7D20000B7
-:104B6000D8D20000D9D20000DAD20000DBD2000097
-:104B7000DCD20000DDD20000DED20000DFD2000077
-:104B8000E0D20000E1D20000E2D20000E3D2000057
-:104B9000E4D20000E5D20000E6D20000E7D2000037
-:104BA000E8D20000E9D20000EAD20000EBD2000017
-:104BB000ECD20000EDD20000EED20000EFD20000F7
-:104BC000F0D20000F1D20000F2D20000F3D20000D7
-:104BD000F4D20000F5D20000F6D20000F7D20000B7
-:104BE000F8D20000F9D20000FAD20000FBD2000097
-:104BF000FCD20000FDD20000FED20000FFD2000077
-:104C000000D3000001D3000002D3000003D3000052
-:104C100004D3000005D3000006D3000007D3000032
-:104C200008D3000009D300000AD300000BD3000012
-:104C30000CD300000DD300000ED300000FD30000F2
-:104C400010D3000011D3000012D3000013D30000D2
-:104C500014D3000015D3000016D3000017D30000B2
-:104C600018D3000019D300001AD300001BD3000092
-:104C70001CD300001DD300001ED300001FD3000072
-:104C800020D3000021D3000022D3000023D3000052
-:104C900024D3000025D3000026D3000027D3000032
-:104CA00028D3000029D300002AD300002BD3000012
-:104CB0002CD300002DD300002ED300002FD30000F2
-:104CC00030D3000031D3000032D3000033D30000D2
-:104CD00034D3000035D3000036D3000037D30000B2
-:104CE00038D3000039D300003AD300003BD3000092
-:104CF0003CD300003DD300003ED300003FD3000072
-:104D000040D3000041D3000042D3000043D3000051
-:104D100044D3000045D3000046D3000047D3000031
-:104D200048D3000049D300004AD300004BD3000011
-:104D30004CD300004DD300004ED300004FD30000F1
-:104D400050D3000051D3000052D3000053D30000D1
-:104D500054D3000055D3000056D3000057D30000B1
-:104D600058D3000059D300005AD300005BD3000091
-:104D70005CD300005DD300005ED300005FD3000071
-:104D800060D3000061D3000062D3000063D3000051
-:104D900064D3000065D3000066D3000067D3000031
-:104DA00068D3000069D300006AD300006BD3000011
-:104DB0006CD300006DD300006ED300006FD30000F1
-:104DC00070D3000071D3000072D3000073D30000D1
-:104DD00074D3000075D3000076D3000077D30000B1
-:104DE00078D3000079D300007AD300007BD3000091
-:104DF0007CD300007DD300007ED300007FD3000071
-:104E000080D3000081D3000082D3000083D3000050
-:104E100084D3000085D3000086D3000087D3000030
-:104E200088D3000089D300008AD300008BD3000010
-:104E30008CD300008DD300008ED300008FD30000F0
-:104E400090D3000091D3000092D3000093D30000D0
-:104E500094D3000095D3000096D3000097D30000B0
-:104E600098D3000099D300009AD300009BD3000090
-:104E70009CD300009DD300009ED300009FD3000070
-:104E8000A0D30000A1D30000A2D30000A3D3000050
-:104E9000A4D30000A5D30000A6D30000A7D3000030
-:104EA000A8D30000A9D30000AAD30000ABD3000010
-:104EB000ACD30000ADD30000AED30000AFD30000F0
-:104EC000B0D30000B1D30000B2D30000B3D30000D0
-:104ED000B4D30000B5D30000B6D30000B7D30000B0
-:104EE000B8D30000B9D30000BAD30000BBD3000090
-:104EF000BCD30000BDD30000BED30000BFD3000070
-:104F0000C0D30000C1D30000C2D30000C3D300004F
-:104F1000C4D30000C5D30000C6D30000C7D300002F
-:104F2000C8D30000C9D30000CAD30000CBD300000F
-:104F3000CCD30000CDD30000CED30000CFD30000EF
-:104F4000D0D30000D1D30000D2D30000D3D30000CF
-:104F5000D4D30000D5D30000D6D30000D7D30000AF
-:104F6000D8D30000D9D30000DAD30000DBD300008F
-:104F7000DCD30000DDD30000DED30000DFD300006F
-:104F8000E0D30000E1D30000E2D30000E3D300004F
-:104F9000E4D30000E5D30000E6D30000E7D300002F
-:104FA000E8D30000E9D30000EAD30000EBD300000F
-:104FB000ECD30000EDD30000EED30000EFD30000EF
-:104FC000F0D30000F1D30000F2D30000F3D30000CF
-:104FD000F4D30000F5D30000F6D30000F7D30000AF
-:104FE000F8D30000F9D30000FAD30000FBD300008F
-:104FF000FCD30000FDD30000FED30000FFD300006F
-:1050000000D4000001D4000002D4000003D400004A
-:1050100004D4000005D4000006D4000007D400002A
-:1050200008D4000009D400000AD400000BD400000A
-:105030000CD400000DD400000ED400000FD40000EA
-:1050400010D4000011D4000012D4000013D40000CA
-:1050500014D4000015D4000016D4000017D40000AA
-:1050600018D4000019D400001AD400001BD400008A
-:105070001CD400001DD400001ED400001FD400006A
-:1050800020D4000021D4000022D4000023D400004A
-:1050900024D4000025D4000026D4000027D400002A
-:1050A00028D4000029D400002AD400002BD400000A
-:1050B0002CD400002DD400002ED400002FD40000EA
-:1050C00030D4000031D4000032D4000033D40000CA
-:1050D00034D4000035D4000036D4000037D40000AA
-:1050E00038D4000039D400003AD400003BD400008A
-:1050F0003CD400003DD400003ED400003FD400006A
-:1051000040D4000041D4000042D4000043D4000049
-:1051100044D4000045D4000046D4000047D4000029
-:1051200048D4000049D400004AD400004BD4000009
-:105130004CD400004DD400004ED400004FD40000E9
-:1051400050D4000051D4000052D4000053D40000C9
-:1051500054D4000055D4000056D4000057D40000A9
-:1051600058D4000059D400005AD400005BD4000089
-:105170005CD400005DD400005ED400005FD4000069
-:1051800060D4000061D4000062D4000063D4000049
-:1051900064D4000065D4000066D4000067D4000029
-:1051A00068D4000069D400006AD400006BD4000009
-:1051B0006CD400006DD400006ED400006FD40000E9
-:1051C00070D4000071D4000072D4000073D40000C9
-:1051D00074D4000075D4000076D4000077D40000A9
-:1051E00078D4000079D400007AD400007BD4000089
-:1051F0007CD400007DD400007ED400007FD4000069
-:1052000080D4000081D4000082D4000083D4000048
-:1052100084D4000085D4000086D4000087D4000028
-:1052200088D4000089D400008AD400008BD4000008
-:105230008CD400008DD400008ED400008FD40000E8
-:1052400090D4000091D4000092D4000093D40000C8
-:1052500094D4000095D4000096D4000097D40000A8
-:1052600098D4000099D400009AD400009BD4000088
-:105270009CD400009DD400009ED400009FD4000068
-:10528000A0D40000A1D40000A2D40000A3D4000048
-:10529000A4D40000A5D40000A6D40000A7D4000028
-:1052A000A8D40000A9D40000AAD40000ABD4000008
-:1052B000ACD40000ADD40000AED40000AFD40000E8
-:1052C000B0D40000B1D40000B2D40000B3D40000C8
-:1052D000B4D40000B5D40000B6D40000B7D40000A8
-:1052E000B8D40000B9D40000BAD40000BBD4000088
-:1052F000BCD40000BDD40000BED40000BFD4000068
-:10530000C0D40000C1D40000C2D40000C3D4000047
-:10531000C4D40000C5D40000C6D40000C7D4000027
-:10532000C8D40000C9D40000CAD40000CBD4000007
-:10533000CCD40000CDD40000CED40000CFD40000E7
-:10534000D0D40000D1D40000D2D40000D3D40000C7
-:10535000D4D40000D5D40000D6D40000D7D40000A7
-:10536000D8D40000D9D40000DAD40000DBD4000087
-:10537000DCD40000DDD40000DED40000DFD4000067
-:10538000E0D40000E1D40000E2D40000E3D4000047
-:10539000E4D40000E5D40000E6D40000E7D4000027
-:1053A000E8D40000E9D40000EAD40000EBD4000007
-:1053B000ECD40000EDD40000EED40000EFD40000E7
-:1053C000F0D40000F1D40000F2D40000F3D40000C7
-:1053D000F4D40000F5D40000F6D40000F7D40000A7
-:1053E000F8D40000F9D40000FAD40000FBD4000087
-:1053F000FCD40000FDD40000FED40000FFD4000067
-:1054000000D5000001D5000002D5000003D5000042
-:1054100004D5000005D5000006D5000007D5000022
-:1054200008D5000009D500000AD500000BD5000002
-:105430000CD500000DD500000ED500000FD50000E2
-:1054400010D5000011D5000012D5000013D50000C2
-:1054500014D5000015D5000016D5000017D50000A2
-:1054600018D5000019D500001AD500001BD5000082
-:105470001CD500001DD500001ED500001FD5000062
-:1054800020D5000021D5000022D5000023D5000042
-:1054900024D5000025D5000026D5000027D5000022
-:1054A00028D5000029D500002AD500002BD5000002
-:1054B0002CD500002DD500002ED500002FD50000E2
-:1054C00030D5000031D5000032D5000033D50000C2
-:1054D00034D5000035D5000036D5000037D50000A2
-:1054E00038D5000039D500003AD500003BD5000082
-:1054F0003CD500003DD500003ED500003FD5000062
-:1055000040D5000041D5000042D5000043D5000041
-:1055100044D5000045D5000046D5000047D5000021
-:1055200048D5000049D500004AD500004BD5000001
-:105530004CD500004DD500004ED500004FD50000E1
-:1055400050D5000051D5000052D5000053D50000C1
-:1055500054D5000055D5000056D5000057D50000A1
-:1055600058D5000059D500005AD500005BD5000081
-:105570005CD500005DD500005ED500005FD5000061
-:1055800060D5000061D5000062D5000063D5000041
-:1055900064D5000065D5000066D5000067D5000021
-:1055A00068D5000069D500006AD500006BD5000001
-:1055B0006CD500006DD500006ED500006FD50000E1
-:1055C00070D5000071D5000072D5000073D50000C1
-:1055D00074D5000075D5000076D5000077D50000A1
-:1055E00078D5000079D500007AD500007BD5000081
-:1055F0007CD500007DD500007ED500007FD5000061
-:1056000080D5000081D5000082D5000083D5000040
-:1056100084D5000085D5000086D5000087D5000020
-:1056200088D5000089D500008AD500008BD5000000
-:105630008CD500008DD500008ED500008FD50000E0
-:1056400090D5000091D5000092D5000093D50000C0
-:1056500094D5000095D5000096D5000097D50000A0
-:1056600098D5000099D500009AD500009BD5000080
-:105670009CD500009DD500009ED500009FD5000060
-:10568000A0D50000A1D50000A2D50000A3D5000040
-:10569000A4D50000A5D50000A6D50000A7D5000020
-:1056A000A8D50000A9D50000AAD50000ABD5000000
-:1056B000ACD50000ADD50000AED50000AFD50000E0
-:1056C000B0D50000B1D50000B2D50000B3D50000C0
-:1056D000B4D50000B5D50000B6D50000B7D50000A0
-:1056E000B8D50000B9D50000BAD50000BBD5000080
-:1056F000BCD50000BDD50000BED50000BFD5000060
-:10570000C0D50000C1D50000C2D50000C3D500003F
-:10571000C4D50000C5D50000C6D50000C7D500001F
-:10572000C8D50000C9D50000CAD50000CBD50000FF
-:10573000CCD50000CDD50000CED50000CFD50000DF
-:10574000D0D50000D1D50000D2D50000D3D50000BF
-:10575000D4D50000D5D50000D6D50000D7D500009F
-:10576000D8D50000D9D50000DAD50000DBD500007F
-:10577000DCD50000DDD50000DED50000DFD500005F
-:10578000E0D50000E1D50000E2D50000E3D500003F
-:10579000E4D50000E5D50000E6D50000E7D500001F
-:1057A000E8D50000E9D50000EAD50000EBD50000FF
-:1057B000ECD50000EDD50000EED50000EFD50000DF
-:1057C000F0D50000F1D50000F2D50000F3D50000BF
-:1057D000F4D50000F5D50000F6D50000F7D500009F
-:1057E000F8D50000F9D50000FAD50000FBD500007F
-:1057F000FCD50000FDD50000FED50000FFD500005F
-:1058000000D6000001D6000002D6000003D600003A
-:1058100004D6000005D6000006D6000007D600001A
-:1058200008D6000009D600000AD600000BD60000FA
-:105830000CD600000DD600000ED600000FD60000DA
-:1058400010D6000011D6000012D6000013D60000BA
-:1058500014D6000015D6000016D6000017D600009A
-:1058600018D6000019D600001AD600001BD600007A
-:105870001CD600001DD600001ED600001FD600005A
-:1058800020D6000021D6000022D6000023D600003A
-:1058900024D6000025D6000026D6000027D600001A
-:1058A00028D6000029D600002AD600002BD60000FA
-:1058B0002CD600002DD600002ED600002FD60000DA
-:1058C00030D6000031D6000032D6000033D60000BA
-:1058D00034D6000035D6000036D6000037D600009A
-:1058E00038D6000039D600003AD600003BD600007A
-:1058F0003CD600003DD600003ED600003FD600005A
-:1059000040D6000041D6000042D6000043D6000039
-:1059100044D6000045D6000046D6000047D6000019
-:1059200048D6000049D600004AD600004BD60000F9
-:105930004CD600004DD600004ED600004FD60000D9
-:1059400050D6000051D6000052D6000053D60000B9
-:1059500054D6000055D6000056D6000057D6000099
-:1059600058D6000059D600005AD600005BD6000079
-:105970005CD600005DD600005ED600005FD6000059
-:1059800060D6000061D6000062D6000063D6000039
-:1059900064D6000065D6000066D6000067D6000019
-:1059A00068D6000069D600006AD600006BD60000F9
-:1059B0006CD600006DD600006ED600006FD60000D9
-:1059C00070D6000071D6000072D6000073D60000B9
-:1059D00074D6000075D6000076D6000077D6000099
-:1059E00078D6000079D600007AD600007BD6000079
-:1059F0007CD600007DD600007ED600007FD6000059
-:105A000080D6000081D6000082D6000083D6000038
-:105A100084D6000085D6000086D6000087D6000018
-:105A200088D6000089D600008AD600008BD60000F8
-:105A30008CD600008DD600008ED600008FD60000D8
-:105A400090D6000091D6000092D6000093D60000B8
-:105A500094D6000095D6000096D6000097D6000098
-:105A600098D6000099D600009AD600009BD6000078
-:105A70009CD600009DD600009ED600009FD6000058
-:105A8000A0D60000A1D60000A2D60000A3D6000038
-:105A9000A4D60000A5D60000A6D60000A7D6000018
-:105AA000A8D60000A9D60000AAD60000ABD60000F8
-:105AB000ACD60000ADD60000AED60000AFD60000D8
-:105AC000B0D60000B1D60000B2D60000B3D60000B8
-:105AD000B4D60000B5D60000B6D60000B7D6000098
-:105AE000B8D60000B9D60000BAD60000BBD6000078
-:105AF000BCD60000BDD60000BED60000BFD6000058
-:105B0000C0D60000C1D60000C2D60000C3D6000037
-:105B1000C4D60000C5D60000C6D60000C7D6000017
-:105B2000C8D60000C9D60000CAD60000CBD60000F7
-:105B3000CCD60000CDD60000CED60000CFD60000D7
-:105B4000D0D60000D1D60000D2D60000D3D60000B7
-:105B5000D4D60000D5D60000D6D60000D7D6000097
-:105B6000D8D60000D9D60000DAD60000DBD6000077
-:105B7000DCD60000DDD60000DED60000DFD6000057
-:105B8000E0D60000E1D60000E2D60000E3D6000037
-:105B9000E4D60000E5D60000E6D60000E7D6000017
-:105BA000E8D60000E9D60000EAD60000EBD60000F7
-:105BB000ECD60000EDD60000EED60000EFD60000D7
-:105BC000F0D60000F1D60000F2D60000F3D60000B7
-:105BD000F4D60000F5D60000F6D60000F7D6000097
-:105BE000F8D60000F9D60000FAD60000FBD6000077
-:105BF000FCD60000FDD60000FED60000FFD6000057
-:105C000000D7000001D7000002D7000003D7000032
-:105C100004D7000005D7000006D7000007D7000012
-:105C200008D7000009D700000AD700000BD70000F2
-:105C30000CD700000DD700000ED700000FD70000D2
-:105C400010D7000011D7000012D7000013D70000B2
-:105C500014D7000015D7000016D7000017D7000092
-:105C600018D7000019D700001AD700001BD7000072
-:105C70001CD700001DD700001ED700001FD7000052
-:105C800020D7000021D7000022D7000023D7000032
-:105C900024D7000025D7000026D7000027D7000012
-:105CA00028D7000029D700002AD700002BD70000F2
-:105CB0002CD700002DD700002ED700002FD70000D2
-:105CC00030D7000031D7000032D7000033D70000B2
-:105CD00034D7000035D7000036D7000037D7000092
-:105CE00038D7000039D700003AD700003BD7000072
-:105CF0003CD700003DD700003ED700003FD7000052
-:105D000040D7000041D7000042D7000043D7000031
-:105D100044D7000045D7000046D7000047D7000011
-:105D200048D7000049D700004AD700004BD70000F1
-:105D30004CD700004DD700004ED700004FD70000D1
-:105D400050D7000051D7000052D7000053D70000B1
-:105D500054D7000055D7000056D7000057D7000091
-:105D600058D7000059D700005AD700005BD7000071
-:105D70005CD700005DD700005ED700005FD7000051
-:105D800060D7000061D7000062D7000063D7000031
-:105D900064D7000065D7000066D7000067D7000011
-:105DA00068D7000069D700006AD700006BD70000F1
-:105DB0006CD700006DD700006ED700006FD70000D1
-:105DC00070D7000071D7000072D7000073D70000B1
-:105DD00074D7000075D7000076D7000077D7000091
-:105DE00078D7000079D700007AD700007BD7000071
-:105DF0007CD700007DD700007ED700007FD7000051
-:105E000080D7000081D7000082D7000083D7000030
-:105E100084D7000085D7000086D7000087D7000010
-:105E200088D7000089D700008AD700008BD70000F0
-:105E30008CD700008DD700008ED700008FD70000D0
-:105E400090D7000091D7000092D7000093D70000B0
-:105E500094D7000095D7000096D7000097D7000090
-:105E600098D7000099D700009AD700009BD7000070
-:105E70009CD700009DD700009ED700009FD7000050
-:105E8000A0D70000A1D70000A2D70000A3D7000030
-:105E9000A4D70000A5D70000A6D70000A7D7000010
-:105EA000A8D70000A9D70000AAD70000ABD70000F0
-:105EB000ACD70000ADD70000AED70000AFD70000D0
-:105EC000B0D70000B1D70000B2D70000B3D70000B0
-:105ED000B4D70000B5D70000B6D70000B7D7000090
-:105EE000B8D70000B9D70000BAD70000BBD7000070
-:105EF000BCD70000BDD70000BED70000BFD7000050
-:105F0000C0D70000C1D70000C2D70000C3D700002F
-:105F1000C4D70000C5D70000C6D70000C7D700000F
-:105F2000C8D70000C9D70000CAD70000CBD70000EF
-:105F3000CCD70000CDD70000CED70000CFD70000CF
-:105F4000D0D70000D1D70000D2D70000D3D70000AF
-:105F5000D4D70000D5D70000D6D70000D7D700008F
-:105F6000D8D70000D9D70000DAD70000DBD700006F
-:105F7000DCD70000DDD70000DED70000DFD700004F
-:105F8000E0D70000E1D70000E2D70000E3D700002F
-:105F9000E4D70000E5D70000E6D70000E7D700000F
-:105FA000E8D70000E9D70000EAD70000EBD70000EF
-:105FB000ECD70000EDD70000EED70000EFD70000CF
-:105FC000F0D70000F1D70000F2D70000F3D70000AF
-:105FD000F4D70000F5D70000F6D70000F7D700008F
-:105FE000F8D70000F9D70000FAD70000FBD700006F
-:105FF000FCD70000FDD70000FED70000FFD700004F
-:1060000000D8000001D8000002D8000003D800002A
-:1060100004D8000005D8000006D8000007D800000A
-:1060200008D8000009D800000AD800000BD80000EA
-:106030000CD800000DD800000ED800000FD80000CA
-:1060400010D8000011D8000012D8000013D80000AA
-:1060500014D8000015D8000016D8000017D800008A
-:1060600018D8000019D800001AD800001BD800006A
-:106070001CD800001DD800001ED800001FD800004A
-:1060800020D8000021D8000022D8000023D800002A
-:1060900024D8000025D8000026D8000027D800000A
-:1060A00028D8000029D800002AD800002BD80000EA
-:1060B0002CD800002DD800002ED800002FD80000CA
-:1060C00030D8000031D8000032D8000033D80000AA
-:1060D00034D8000035D8000036D8000037D800008A
-:1060E00038D8000039D800003AD800003BD800006A
-:1060F0003CD800003DD800003ED800003FD800004A
-:1061000040D8000041D8000042D8000043D8000029
-:1061100044D8000045D8000046D8000047D8000009
-:1061200048D8000049D800004AD800004BD80000E9
-:106130004CD800004DD800004ED800004FD80000C9
-:1061400050D8000051D8000052D8000053D80000A9
-:1061500054D8000055D8000056D8000057D8000089
-:1061600058D8000059D800005AD800005BD8000069
-:106170005CD800005DD800005ED800005FD8000049
-:1061800060D8000061D8000062D8000063D8000029
-:1061900064D8000065D8000066D8000067D8000009
-:1061A00068D8000069D800006AD800006BD80000E9
-:1061B0006CD800006DD800006ED800006FD80000C9
-:1061C00070D8000071D8000072D8000073D80000A9
-:1061D00074D8000075D8000076D8000077D8000089
-:1061E00078D8000079D800007AD800007BD8000069
-:1061F0007CD800007DD800007ED800007FD8000049
-:1062000080D8000081D8000082D8000083D8000028
-:1062100084D8000085D8000086D8000087D8000008
-:1062200088D8000089D800008AD800008BD80000E8
-:106230008CD800008DD800008ED800008FD80000C8
-:1062400090D8000091D8000092D8000093D80000A8
-:1062500094D8000095D8000096D8000097D8000088
-:1062600098D8000099D800009AD800009BD8000068
-:106270009CD800009DD800009ED800009FD8000048
-:10628000A0D80000A1D80000A2D80000A3D8000028
-:10629000A4D80000A5D80000A6D80000A7D8000008
-:1062A000A8D80000A9D80000AAD80000ABD80000E8
-:1062B000ACD80000ADD80000AED80000AFD80000C8
-:1062C000B0D80000B1D80000B2D80000B3D80000A8
-:1062D000B4D80000B5D80000B6D80000B7D8000088
-:1062E000B8D80000B9D80000BAD80000BBD8000068
-:1062F000BCD80000BDD80000BED80000BFD8000048
-:10630000C0D80000C1D80000C2D80000C3D8000027
-:10631000C4D80000C5D80000C6D80000C7D8000007
-:10632000C8D80000C9D80000CAD80000CBD80000E7
-:10633000CCD80000CDD80000CED80000CFD80000C7
-:10634000D0D80000D1D80000D2D80000D3D80000A7
-:10635000D4D80000D5D80000D6D80000D7D8000087
-:10636000D8D80000D9D80000DAD80000DBD8000067
-:10637000DCD80000DDD80000DED80000DFD8000047
-:10638000E0D80000E1D80000E2D80000E3D8000027
-:10639000E4D80000E5D80000E6D80000E7D8000007
-:1063A000E8D80000E9D80000EAD80000EBD80000E7
-:1063B000ECD80000EDD80000EED80000EFD80000C7
-:1063C000F0D80000F1D80000F2D80000F3D80000A7
-:1063D000F4D80000F5D80000F6D80000F7D8000087
-:1063E000F8D80000F9D80000FAD80000FBD8000067
-:1063F000FCD80000FDD80000FED80000FFD8000047
-:1064000000D9000001D9000002D9000003D9000022
-:1064100004D9000005D9000006D9000007D9000002
-:1064200008D9000009D900000AD900000BD90000E2
-:106430000CD900000DD900000ED900000FD90000C2
-:1064400010D9000011D9000012D9000013D90000A2
-:1064500014D9000015D9000016D9000017D9000082
-:1064600018D9000019D900001AD900001BD9000062
-:106470001CD900001DD900001ED900001FD9000042
-:1064800020D9000021D9000022D9000023D9000022
-:1064900024D9000025D9000026D9000027D9000002
-:1064A00028D9000029D900002AD900002BD90000E2
-:1064B0002CD900002DD900002ED900002FD90000C2
-:1064C00030D9000031D9000032D9000033D90000A2
-:1064D00034D9000035D9000036D9000037D9000082
-:1064E00038D9000039D900003AD900003BD9000062
-:1064F0003CD900003DD900003ED900003FD9000042
-:1065000040D9000041D9000042D9000043D9000021
-:1065100044D9000045D9000046D9000047D9000001
-:1065200048D9000049D900004AD900004BD90000E1
-:106530004CD900004DD900004ED900004FD90000C1
-:1065400050D9000051D9000052D9000053D90000A1
-:1065500054D9000055D9000056D9000057D9000081
-:1065600058D9000059D900005AD900005BD9000061
-:106570005CD900005DD900005ED900005FD9000041
-:1065800060D9000061D9000062D9000063D9000021
-:1065900064D9000065D9000066D9000067D9000001
-:1065A00068D9000069D900006AD900006BD90000E1
-:1065B0006CD900006DD900006ED900006FD90000C1
-:1065C00070D9000071D9000072D9000073D90000A1
-:1065D00074D9000075D9000076D9000077D9000081
-:1065E00078D9000079D900007AD900007BD9000061
-:1065F0007CD900007DD900007ED900007FD9000041
-:1066000080D9000081D9000082D9000083D9000020
-:1066100084D9000085D9000086D9000087D9000000
-:1066200088D9000089D900008AD900008BD90000E0
-:106630008CD900008DD900008ED900008FD90000C0
-:1066400090D9000091D9000092D9000093D90000A0
-:1066500094D9000095D9000096D9000097D9000080
-:1066600098D9000099D900009AD900009BD9000060
-:106670009CD900009DD900009ED900009FD9000040
-:10668000A0D90000A1D90000A2D90000A3D9000020
-:10669000A4D90000A5D90000A6D90000A7D9000000
-:1066A000A8D90000A9D90000AAD90000ABD90000E0
-:1066B000ACD90000ADD90000AED90000AFD90000C0
-:1066C000B0D90000B1D90000B2D90000B3D90000A0
-:1066D000B4D90000B5D90000B6D90000B7D9000080
-:1066E000B8D90000B9D90000BAD90000BBD9000060
-:1066F000BCD90000BDD90000BED90000BFD9000040
-:10670000C0D90000C1D90000C2D90000C3D900001F
-:10671000C4D90000C5D90000C6D90000C7D90000FF
-:10672000C8D90000C9D90000CAD90000CBD90000DF
-:10673000CCD90000CDD90000CED90000CFD90000BF
-:10674000D0D90000D1D90000D2D90000D3D900009F
-:10675000D4D90000D5D90000D6D90000D7D900007F
-:10676000D8D90000D9D90000DAD90000DBD900005F
-:10677000DCD90000DDD90000DED90000DFD900003F
-:10678000E0D90000E1D90000E2D90000E3D900001F
-:10679000E4D90000E5D90000E6D90000E7D90000FF
-:1067A000E8D90000E9D90000EAD90000EBD90000DF
-:1067B000ECD90000EDD90000EED90000EFD90000BF
-:1067C000F0D90000F1D90000F2D90000F3D900009F
-:1067D000F4D90000F5D90000F6D90000F7D900007F
-:1067E000F8D90000F9D90000FAD90000FBD900005F
-:1067F000FCD90000FDD90000FED90000FFD900003F
-:1068000000DA000001DA000002DA000003DA00001A
-:1068100004DA000005DA000006DA000007DA0000FA
-:1068200008DA000009DA00000ADA00000BDA0000DA
-:106830000CDA00000DDA00000EDA00000FDA0000BA
-:1068400010DA000011DA000012DA000013DA00009A
-:1068500014DA000015DA000016DA000017DA00007A
-:1068600018DA000019DA00001ADA00001BDA00005A
-:106870001CDA00001DDA00001EDA00001FDA00003A
-:1068800020DA000021DA000022DA000023DA00001A
-:1068900024DA000025DA000026DA000027DA0000FA
-:1068A00028DA000029DA00002ADA00002BDA0000DA
-:1068B0002CDA00002DDA00002EDA00002FDA0000BA
-:1068C00030DA000031DA000032DA000033DA00009A
-:1068D00034DA000035DA000036DA000037DA00007A
-:1068E00038DA000039DA00003ADA00003BDA00005A
-:1068F0003CDA00003DDA00003EDA00003FDA00003A
-:1069000040DA000041DA000042DA000043DA000019
-:1069100044DA000045DA000046DA000047DA0000F9
-:1069200048DA000049DA00004ADA00004BDA0000D9
-:106930004CDA00004DDA00004EDA00004FDA0000B9
-:1069400050DA000051DA000052DA000053DA000099
-:1069500054DA000055DA000056DA000057DA000079
-:1069600058DA000059DA00005ADA00005BDA000059
-:106970005CDA00005DDA00005EDA00005FDA000039
-:1069800060DA000061DA000062DA000063DA000019
-:1069900064DA000065DA000066DA000067DA0000F9
-:1069A00068DA000069DA00006ADA00006BDA0000D9
-:1069B0006CDA00006DDA00006EDA00006FDA0000B9
-:1069C00070DA000071DA000072DA000073DA000099
-:1069D00074DA000075DA000076DA000077DA000079
-:1069E00078DA000079DA00007ADA00007BDA000059
-:1069F0007CDA00007DDA00007EDA00007FDA000039
-:106A000080DA000081DA000082DA000083DA000018
-:106A100084DA000085DA000086DA000087DA0000F8
-:106A200088DA000089DA00008ADA00008BDA0000D8
-:106A30008CDA00008DDA00008EDA00008FDA0000B8
-:106A400090DA000091DA000092DA000093DA000098
-:106A500094DA000095DA000096DA000097DA000078
-:106A600098DA000099DA00009ADA00009BDA000058
-:106A70009CDA00009DDA00009EDA00009FDA000038
-:106A8000A0DA0000A1DA0000A2DA0000A3DA000018
-:106A9000A4DA0000A5DA0000A6DA0000A7DA0000F8
-:106AA000A8DA0000A9DA0000AADA0000ABDA0000D8
-:106AB000ACDA0000ADDA0000AEDA0000AFDA0000B8
-:106AC000B0DA0000B1DA0000B2DA0000B3DA000098
-:106AD000B4DA0000B5DA0000B6DA0000B7DA000078
-:106AE000B8DA0000B9DA0000BADA0000BBDA000058
-:106AF000BCDA0000BDDA0000BEDA0000BFDA000038
-:106B0000C0DA0000C1DA0000C2DA0000C3DA000017
-:106B1000C4DA0000C5DA0000C6DA0000C7DA0000F7
-:106B2000C8DA0000C9DA0000CADA0000CBDA0000D7
-:106B3000CCDA0000CDDA0000CEDA0000CFDA0000B7
-:106B4000D0DA0000D1DA0000D2DA0000D3DA000097
-:106B5000D4DA0000D5DA0000D6DA0000D7DA000077
-:106B6000D8DA0000D9DA0000DADA0000DBDA000057
-:106B7000DCDA0000DDDA0000DEDA0000DFDA000037
-:106B8000E0DA0000E1DA0000E2DA0000E3DA000017
-:106B9000E4DA0000E5DA0000E6DA0000E7DA0000F7
-:106BA000E8DA0000E9DA0000EADA0000EBDA0000D7
-:106BB000ECDA0000EDDA0000EEDA0000EFDA0000B7
-:106BC000F0DA0000F1DA0000F2DA0000F3DA000097
-:106BD000F4DA0000F5DA0000F6DA0000F7DA000077
-:106BE000F8DA0000F9DA0000FADA0000FBDA000057
-:106BF000FCDA0000FDDA0000FEDA0000FFDA000037
-:106C000000DB000001DB000002DB000003DB000012
-:106C100004DB000005DB000006DB000007DB0000F2
-:106C200008DB000009DB00000ADB00000BDB0000D2
-:106C30000CDB00000DDB00000EDB00000FDB0000B2
-:106C400010DB000011DB000012DB000013DB000092
-:106C500014DB000015DB000016DB000017DB000072
-:106C600018DB000019DB00001ADB00001BDB000052
-:106C70001CDB00001DDB00001EDB00001FDB000032
-:106C800020DB000021DB000022DB000023DB000012
-:106C900024DB000025DB000026DB000027DB0000F2
-:106CA00028DB000029DB00002ADB00002BDB0000D2
-:106CB0002CDB00002DDB00002EDB00002FDB0000B2
-:106CC00030DB000031DB000032DB000033DB000092
-:106CD00034DB000035DB000036DB000037DB000072
-:106CE00038DB000039DB00003ADB00003BDB000052
-:106CF0003CDB00003DDB00003EDB00003FDB000032
-:106D000040DB000041DB000042DB000043DB000011
-:106D100044DB000045DB000046DB000047DB0000F1
-:106D200048DB000049DB00004ADB00004BDB0000D1
-:106D30004CDB00004DDB00004EDB00004FDB0000B1
-:106D400050DB000051DB000052DB000053DB000091
-:106D500054DB000055DB000056DB000057DB000071
-:106D600058DB000059DB00005ADB00005BDB000051
-:106D70005CDB00005DDB00005EDB00005FDB000031
-:106D800060DB000061DB000062DB000063DB000011
-:106D900064DB000065DB000066DB000067DB0000F1
-:106DA00068DB000069DB00006ADB00006BDB0000D1
-:106DB0006CDB00006DDB00006EDB00006FDB0000B1
-:106DC00070DB000071DB000072DB000073DB000091
-:106DD00074DB000075DB000076DB000077DB000071
-:106DE00078DB000079DB00007ADB00007BDB000051
-:106DF0007CDB00007DDB00007EDB00007FDB000031
-:106E000080DB000081DB000082DB000083DB000010
-:106E100084DB000085DB000086DB000087DB0000F0
-:106E200088DB000089DB00008ADB00008BDB0000D0
-:106E30008CDB00008DDB00008EDB00008FDB0000B0
-:106E400090DB000091DB000092DB000093DB000090
-:106E500094DB000095DB000096DB000097DB000070
-:106E600098DB000099DB00009ADB00009BDB000050
-:106E70009CDB00009DDB00009EDB00009FDB000030
-:106E8000A0DB0000A1DB0000A2DB0000A3DB000010
-:106E9000A4DB0000A5DB0000A6DB0000A7DB0000F0
-:106EA000A8DB0000A9DB0000AADB0000ABDB0000D0
-:106EB000ACDB0000ADDB0000AEDB0000AFDB0000B0
-:106EC000B0DB0000B1DB0000B2DB0000B3DB000090
-:106ED000B4DB0000B5DB0000B6DB0000B7DB000070
-:106EE000B8DB0000B9DB0000BADB0000BBDB000050
-:106EF000BCDB0000BDDB0000BEDB0000BFDB000030
-:106F0000C0DB0000C1DB0000C2DB0000C3DB00000F
-:106F1000C4DB0000C5DB0000C6DB0000C7DB0000EF
-:106F2000C8DB0000C9DB0000CADB0000CBDB0000CF
-:106F3000CCDB0000CDDB0000CEDB0000CFDB0000AF
-:106F4000D0DB0000D1DB0000D2DB0000D3DB00008F
-:106F5000D4DB0000D5DB0000D6DB0000D7DB00006F
-:106F6000D8DB0000D9DB0000DADB0000DBDB00004F
-:106F7000DCDB0000DDDB0000DEDB0000DFDB00002F
-:106F8000E0DB0000E1DB0000E2DB0000E3DB00000F
-:106F9000E4DB0000E5DB0000E6DB0000E7DB0000EF
-:106FA000E8DB0000E9DB0000EADB0000EBDB0000CF
-:106FB000ECDB0000EDDB0000EEDB0000EFDB0000AF
-:106FC000F0DB0000F1DB0000F2DB0000F3DB00008F
-:106FD000F4DB0000F5DB0000F6DB0000F7DB00006F
-:106FE000F8DB0000F9DB0000FADB0000FBDB00004F
-:106FF000FCDB0000FDDB0000FEDB0000FFDB00002F
-:1070000000DC000001DC000002DC000003DC00000A
-:1070100004DC000005DC000006DC000007DC0000EA
-:1070200008DC000009DC00000ADC00000BDC0000CA
-:107030000CDC00000DDC00000EDC00000FDC0000AA
-:1070400010DC000011DC000012DC000013DC00008A
-:1070500014DC000015DC000016DC000017DC00006A
-:1070600018DC000019DC00001ADC00001BDC00004A
-:107070001CDC00001DDC00001EDC00001FDC00002A
-:1070800020DC000021DC000022DC000023DC00000A
-:1070900024DC000025DC000026DC000027DC0000EA
-:1070A00028DC000029DC00002ADC00002BDC0000CA
-:1070B0002CDC00002DDC00002EDC00002FDC0000AA
-:1070C00030DC000031DC000032DC000033DC00008A
-:1070D00034DC000035DC000036DC000037DC00006A
-:1070E00038DC000039DC00003ADC00003BDC00004A
-:1070F0003CDC00003DDC00003EDC00003FDC00002A
-:1071000040DC000041DC000042DC000043DC000009
-:1071100044DC000045DC000046DC000047DC0000E9
-:1071200048DC000049DC00004ADC00004BDC0000C9
-:107130004CDC00004DDC00004EDC00004FDC0000A9
-:1071400050DC000051DC000052DC000053DC000089
-:1071500054DC000055DC000056DC000057DC000069
-:1071600058DC000059DC00005ADC00005BDC000049
-:107170005CDC00005DDC00005EDC00005FDC000029
-:1071800060DC000061DC000062DC000063DC000009
-:1071900064DC000065DC000066DC000067DC0000E9
-:1071A00068DC000069DC00006ADC00006BDC0000C9
-:1071B0006CDC00006DDC00006EDC00006FDC0000A9
-:1071C00070DC000071DC000072DC000073DC000089
-:1071D00074DC000075DC000076DC000077DC000069
-:1071E00078DC000079DC00007ADC00007BDC000049
-:1071F0007CDC00007DDC00007EDC00007FDC000029
-:1072000080DC000081DC000082DC000083DC000008
-:1072100084DC000085DC000086DC000087DC0000E8
-:1072200088DC000089DC00008ADC00008BDC0000C8
-:107230008CDC00008DDC00008EDC00008FDC0000A8
-:1072400090DC000091DC000092DC000093DC000088
-:1072500094DC000095DC000096DC000097DC000068
-:1072600098DC000099DC00009ADC00009BDC000048
-:107270009CDC00009DDC00009EDC00009FDC000028
-:10728000A0DC0000A1DC0000A2DC0000A3DC000008
-:10729000A4DC0000A5DC0000A6DC0000A7DC0000E8
-:1072A000A8DC0000A9DC0000AADC0000ABDC0000C8
-:1072B000ACDC0000ADDC0000AEDC0000AFDC0000A8
-:1072C000B0DC0000B1DC0000B2DC0000B3DC000088
-:1072D000B4DC0000B5DC0000B6DC0000B7DC000068
-:1072E000B8DC0000B9DC0000BADC0000BBDC000048
-:1072F000BCDC0000BDDC0000BEDC0000BFDC000028
-:10730000C0DC0000C1DC0000C2DC0000C3DC000007
-:10731000C4DC0000C5DC0000C6DC0000C7DC0000E7
-:10732000C8DC0000C9DC0000CADC0000CBDC0000C7
-:10733000CCDC0000CDDC0000CEDC0000CFDC0000A7
-:10734000D0DC0000D1DC0000D2DC0000D3DC000087
-:10735000D4DC0000D5DC0000D6DC0000D7DC000067
-:10736000D8DC0000D9DC0000DADC0000DBDC000047
-:10737000DCDC0000DDDC0000DEDC0000DFDC000027
-:10738000E0DC0000E1DC0000E2DC0000E3DC000007
-:10739000E4DC0000E5DC0000E6DC0000E7DC0000E7
-:1073A000E8DC0000E9DC0000EADC0000EBDC0000C7
-:1073B000ECDC0000EDDC0000EEDC0000EFDC0000A7
-:1073C000F0DC0000F1DC0000F2DC0000F3DC000087
-:1073D000F4DC0000F5DC0000F6DC0000F7DC000067
-:1073E000F8DC0000F9DC0000FADC0000FBDC000047
-:1073F000FCDC0000FDDC0000FEDC0000FFDC000027
-:1074000000DD000001DD000002DD000003DD000002
-:1074100004DD000005DD000006DD000007DD0000E2
-:1074200008DD000009DD00000ADD00000BDD0000C2
-:107430000CDD00000DDD00000EDD00000FDD0000A2
-:1074400010DD000011DD000012DD000013DD000082
-:1074500014DD000015DD000016DD000017DD000062
-:1074600018DD000019DD00001ADD00001BDD000042
-:107470001CDD00001DDD00001EDD00001FDD000022
-:1074800020DD000021DD000022DD000023DD000002
-:1074900024DD000025DD000026DD000027DD0000E2
-:1074A00028DD000029DD00002ADD00002BDD0000C2
-:1074B0002CDD00002DDD00002EDD00002FDD0000A2
-:1074C00030DD000031DD000032DD000033DD000082
-:1074D00034DD000035DD000036DD000037DD000062
-:1074E00038DD000039DD00003ADD00003BDD000042
-:1074F0003CDD00003DDD00003EDD00003FDD000022
-:1075000040DD000041DD000042DD000043DD000001
-:1075100044DD000045DD000046DD000047DD0000E1
-:1075200048DD000049DD00004ADD00004BDD0000C1
-:107530004CDD00004DDD00004EDD00004FDD0000A1
-:1075400050DD000051DD000052DD000053DD000081
-:1075500054DD000055DD000056DD000057DD000061
-:1075600058DD000059DD00005ADD00005BDD000041
-:107570005CDD00005DDD00005EDD00005FDD000021
-:1075800060DD000061DD000062DD000063DD000001
-:1075900064DD000065DD000066DD000067DD0000E1
-:1075A00068DD000069DD00006ADD00006BDD0000C1
-:1075B0006CDD00006DDD00006EDD00006FDD0000A1
-:1075C00070DD000071DD000072DD000073DD000081
-:1075D00074DD000075DD000076DD000077DD000061
-:1075E00078DD000079DD00007ADD00007BDD000041
-:1075F0007CDD00007DDD00007EDD00007FDD000021
-:1076000080DD000081DD000082DD000083DD000000
-:1076100084DD000085DD000086DD000087DD0000E0
-:1076200088DD000089DD00008ADD00008BDD0000C0
-:107630008CDD00008DDD00008EDD00008FDD0000A0
-:1076400090DD000091DD000092DD000093DD000080
-:1076500094DD000095DD000096DD000097DD000060
-:1076600098DD000099DD00009ADD00009BDD000040
-:107670009CDD00009DDD00009EDD00009FDD000020
-:10768000A0DD0000A1DD0000A2DD0000A3DD000000
-:10769000A4DD0000A5DD0000A6DD0000A7DD0000E0
-:1076A000A8DD0000A9DD0000AADD0000ABDD0000C0
-:1076B000ACDD0000ADDD0000AEDD0000AFDD0000A0
-:1076C000B0DD0000B1DD0000B2DD0000B3DD000080
-:1076D000B4DD0000B5DD0000B6DD0000B7DD000060
-:1076E000B8DD0000B9DD0000BADD0000BBDD000040
-:1076F000BCDD0000BDDD0000BEDD0000BFDD000020
-:10770000C0DD0000C1DD0000C2DD0000C3DD0000FF
-:10771000C4DD0000C5DD0000C6DD0000C7DD0000DF
-:10772000C8DD0000C9DD0000CADD0000CBDD0000BF
-:10773000CCDD0000CDDD0000CEDD0000CFDD00009F
-:10774000D0DD0000D1DD0000D2DD0000D3DD00007F
-:10775000D4DD0000D5DD0000D6DD0000D7DD00005F
-:10776000D8DD0000D9DD0000DADD0000DBDD00003F
-:10777000DCDD0000DDDD0000DEDD0000DFDD00001F
-:10778000E0DD0000E1DD0000E2DD0000E3DD0000FF
-:10779000E4DD0000E5DD0000E6DD0000E7DD0000DF
-:1077A000E8DD0000E9DD0000EADD0000EBDD0000BF
-:1077B000ECDD0000EDDD0000EEDD0000EFDD00009F
-:1077C000F0DD0000F1DD0000F2DD0000F3DD00007F
-:1077D000F4DD0000F5DD0000F6DD0000F7DD00005F
-:1077E000F8DD0000F9DD0000FADD0000FBDD00003F
-:1077F000FCDD0000FDDD0000FEDD0000FFDD00001F
-:1078000000DE000001DE000002DE000003DE0000FA
-:1078100004DE000005DE000006DE000007DE0000DA
-:1078200008DE000009DE00000ADE00000BDE0000BA
-:107830000CDE00000DDE00000EDE00000FDE00009A
-:1078400010DE000011DE000012DE000013DE00007A
-:1078500014DE000015DE000016DE000017DE00005A
-:1078600018DE000019DE00001ADE00001BDE00003A
-:107870001CDE00001DDE00001EDE00001FDE00001A
-:1078800020DE000021DE000022DE000023DE0000FA
-:1078900024DE000025DE000026DE000027DE0000DA
-:1078A00028DE000029DE00002ADE00002BDE0000BA
-:1078B0002CDE00002DDE00002EDE00002FDE00009A
-:1078C00030DE000031DE000032DE000033DE00007A
-:1078D00034DE000035DE000036DE000037DE00005A
-:1078E00038DE000039DE00003ADE00003BDE00003A
-:1078F0003CDE00003DDE00003EDE00003FDE00001A
-:1079000040DE000041DE000042DE000043DE0000F9
-:1079100044DE000045DE000046DE000047DE0000D9
-:1079200048DE000049DE00004ADE00004BDE0000B9
-:107930004CDE00004DDE00004EDE00004FDE000099
-:1079400050DE000051DE000052DE000053DE000079
-:1079500054DE000055DE000056DE000057DE000059
-:1079600058DE000059DE00005ADE00005BDE000039
-:107970005CDE00005DDE00005EDE00005FDE000019
-:1079800060DE000061DE000062DE000063DE0000F9
-:1079900064DE000065DE000066DE000067DE0000D9
-:1079A00068DE000069DE00006ADE00006BDE0000B9
-:1079B0006CDE00006DDE00006EDE00006FDE000099
-:1079C00070DE000071DE000072DE000073DE000079
-:1079D00074DE000075DE000076DE000077DE000059
-:1079E00078DE000079DE00007ADE00007BDE000039
-:1079F0007CDE00007DDE00007EDE00007FDE000019
-:107A000080DE000081DE000082DE000083DE0000F8
-:107A100084DE000085DE000086DE000087DE0000D8
-:107A200088DE000089DE00008ADE00008BDE0000B8
-:107A30008CDE00008DDE00008EDE00008FDE000098
-:107A400090DE000091DE000092DE000093DE000078
-:107A500094DE000095DE000096DE000097DE000058
-:107A600098DE000099DE00009ADE00009BDE000038
-:107A70009CDE00009DDE00009EDE00009FDE000018
-:107A8000A0DE0000A1DE0000A2DE0000A3DE0000F8
-:107A9000A4DE0000A5DE0000A6DE0000A7DE0000D8
-:107AA000A8DE0000A9DE0000AADE0000ABDE0000B8
-:107AB000ACDE0000ADDE0000AEDE0000AFDE000098
-:107AC000B0DE0000B1DE0000B2DE0000B3DE000078
-:107AD000B4DE0000B5DE0000B6DE0000B7DE000058
-:107AE000B8DE0000B9DE0000BADE0000BBDE000038
-:107AF000BCDE0000BDDE0000BEDE0000BFDE000018
-:107B0000C0DE0000C1DE0000C2DE0000C3DE0000F7
-:107B1000C4DE0000C5DE0000C6DE0000C7DE0000D7
-:107B2000C8DE0000C9DE0000CADE0000CBDE0000B7
-:107B3000CCDE0000CDDE0000CEDE0000CFDE000097
-:107B4000D0DE0000D1DE0000D2DE0000D3DE000077
-:107B5000D4DE0000D5DE0000D6DE0000D7DE000057
-:107B6000D8DE0000D9DE0000DADE0000DBDE000037
-:107B7000DCDE0000DDDE0000DEDE0000DFDE000017
-:107B8000E0DE0000E1DE0000E2DE0000E3DE0000F7
-:107B9000E4DE0000E5DE0000E6DE0000E7DE0000D7
-:107BA000E8DE0000E9DE0000EADE0000EBDE0000B7
-:107BB000ECDE0000EDDE0000EEDE0000EFDE000097
-:107BC000F0DE0000F1DE0000F2DE0000F3DE000077
-:107BD000F4DE0000F5DE0000F6DE0000F7DE000057
-:107BE000F8DE0000F9DE0000FADE0000FBDE000037
-:107BF000FCDE0000FDDE0000FEDE0000FFDE000017
-:107C000000DF000001DF000002DF000003DF0000F2
-:107C100004DF000005DF000006DF000007DF0000D2
-:107C200008DF000009DF00000ADF00000BDF0000B2
-:107C30000CDF00000DDF00000EDF00000FDF000092
-:107C400010DF000011DF000012DF000013DF000072
-:107C500014DF000015DF000016DF000017DF000052
-:107C600018DF000019DF00001ADF00001BDF000032
-:107C70001CDF00001DDF00001EDF00001FDF000012
-:107C800020DF000021DF000022DF000023DF0000F2
-:107C900024DF000025DF000026DF000027DF0000D2
-:107CA00028DF000029DF00002ADF00002BDF0000B2
-:107CB0002CDF00002DDF00002EDF00002FDF000092
-:107CC00030DF000031DF000032DF000033DF000072
-:107CD00034DF000035DF000036DF000037DF000052
-:107CE00038DF000039DF00003ADF00003BDF000032
-:107CF0003CDF00003DDF00003EDF00003FDF000012
-:107D000040DF000041DF000042DF000043DF0000F1
-:107D100044DF000045DF000046DF000047DF0000D1
-:107D200048DF000049DF00004ADF00004BDF0000B1
-:107D30004CDF00004DDF00004EDF00004FDF000091
-:107D400050DF000051DF000052DF000053DF000071
-:107D500054DF000055DF000056DF000057DF000051
-:107D600058DF000059DF00005ADF00005BDF000031
-:107D70005CDF00005DDF00005EDF00005FDF000011
-:107D800060DF000061DF000062DF000063DF0000F1
-:107D900064DF000065DF000066DF000067DF0000D1
-:107DA00068DF000069DF00006ADF00006BDF0000B1
-:107DB0006CDF00006DDF00006EDF00006FDF000091
-:107DC00070DF000071DF000072DF000073DF000071
-:107DD00074DF000075DF000076DF000077DF000051
-:107DE00078DF000079DF00007ADF00007BDF000031
-:107DF0007CDF00007DDF00007EDF00007FDF000011
-:107E000080DF000081DF000082DF000083DF0000F0
-:107E100084DF000085DF000086DF000087DF0000D0
-:107E200088DF000089DF00008ADF00008BDF0000B0
-:107E30008CDF00008DDF00008EDF00008FDF000090
-:107E400090DF000091DF000092DF000093DF000070
-:107E500094DF000095DF000096DF000097DF000050
-:107E600098DF000099DF00009ADF00009BDF000030
-:107E70009CDF00009DDF00009EDF00009FDF000010
-:107E8000A0DF0000A1DF0000A2DF0000A3DF0000F0
-:107E9000A4DF0000A5DF0000A6DF0000A7DF0000D0
-:107EA000A8DF0000A9DF0000AADF0000ABDF0000B0
-:107EB000ACDF0000ADDF0000AEDF0000AFDF000090
-:107EC000B0DF0000B1DF0000B2DF0000B3DF000070
-:107ED000B4DF0000B5DF0000B6DF0000B7DF000050
-:107EE000B8DF0000B9DF0000BADF0000BBDF000030
-:107EF000BCDF0000BDDF0000BEDF0000BFDF000010
-:107F0000C0DF0000C1DF0000C2DF0000C3DF0000EF
-:107F1000C4DF0000C5DF0000C6DF0000C7DF0000CF
-:107F2000C8DF0000C9DF0000CADF0000CBDF0000AF
-:107F3000CCDF0000CDDF0000CEDF0000CFDF00008F
-:107F4000D0DF0000D1DF0000D2DF0000D3DF00006F
-:107F5000D4DF0000D5DF0000D6DF0000D7DF00004F
-:107F6000D8DF0000D9DF0000DADF0000DBDF00002F
-:107F7000DCDF0000DDDF0000DEDF0000DFDF00000F
-:107F8000E0DF0000E1DF0000E2DF0000E3DF0000EF
-:107F9000E4DF0000E5DF0000E6DF0000E7DF0000CF
-:107FA000E8DF0000E9DF0000EADF0000EBDF0000AF
-:107FB000ECDF0000EDDF0000EEDF0000EFDF00008F
-:107FC000F0DF0000F1DF0000F2DF0000F3DF00006F
-:107FD000F4DF0000F5DF0000F6DF0000F7DF00004F
-:107FE000F8DF0000F9DF0000FADF0000FBDF00002F
-:107FF000FCDF0000FDDF0000FEDF0000FFDF00000F
-:1080000000E0000001E0000002E0000003E00000EA
-:1080100004E0000005E0000006E0000007E00000CA
-:1080200008E0000009E000000AE000000BE00000AA
-:108030000CE000000DE000000EE000000FE000008A
-:1080400010E0000011E0000012E0000013E000006A
-:1080500014E0000015E0000016E0000017E000004A
-:1080600018E0000019E000001AE000001BE000002A
-:108070001CE000001DE000001EE000001FE000000A
-:1080800020E0000021E0000022E0000023E00000EA
-:1080900024E0000025E0000026E0000027E00000CA
-:1080A00028E0000029E000002AE000002BE00000AA
-:1080B0002CE000002DE000002EE000002FE000008A
-:1080C00030E0000031E0000032E0000033E000006A
-:1080D00034E0000035E0000036E0000037E000004A
-:1080E00038E0000039E000003AE000003BE000002A
-:1080F0003CE000003DE000003EE000003FE000000A
-:1081000040E0000041E0000042E0000043E00000E9
-:1081100044E0000045E0000046E0000047E00000C9
-:1081200048E0000049E000004AE000004BE00000A9
-:108130004CE000004DE000004EE000004FE0000089
-:1081400050E0000051E0000052E0000053E0000069
-:1081500054E0000055E0000056E0000057E0000049
-:1081600058E0000059E000005AE000005BE0000029
-:108170005CE000005DE000005EE000005FE0000009
-:1081800060E0000061E0000062E0000063E00000E9
-:1081900064E0000065E0000066E0000067E00000C9
-:1081A00068E0000069E000006AE000006BE00000A9
-:1081B0006CE000006DE000006EE000006FE0000089
-:1081C00070E0000071E0000072E0000073E0000069
-:1081D00074E0000075E0000076E0000077E0000049
-:1081E00078E0000079E000007AE000007BE0000029
-:1081F0007CE000007DE000007EE000007FE0000009
-:1082000080E0000081E0000082E0000083E00000E8
-:1082100084E0000085E0000086E0000087E00000C8
-:1082200088E0000089E000008AE000008BE00000A8
-:108230008CE000008DE000008EE000008FE0000088
-:1082400090E0000091E0000092E0000093E0000068
-:1082500094E0000095E0000096E0000097E0000048
-:1082600098E0000099E000009AE000009BE0000028
-:108270009CE000009DE000009EE000009FE0000008
-:10828000A0E00000A1E00000A2E00000A3E00000E8
-:10829000A4E00000A5E00000A6E00000A7E00000C8
-:1082A000A8E00000A9E00000AAE00000ABE00000A8
-:1082B000ACE00000ADE00000AEE00000AFE0000088
-:1082C000B0E00000B1E00000B2E00000B3E0000068
-:1082D000B4E00000B5E00000B6E00000B7E0000048
-:1082E000B8E00000B9E00000BAE00000BBE0000028
-:1082F000BCE00000BDE00000BEE00000BFE0000008
-:10830000C0E00000C1E00000C2E00000C3E00000E7
-:10831000C4E00000C5E00000C6E00000C7E00000C7
-:10832000C8E00000C9E00000CAE00000CBE00000A7
-:10833000CCE00000CDE00000CEE00000CFE0000087
-:10834000D0E00000D1E00000D2E00000D3E0000067
-:10835000D4E00000D5E00000D6E00000D7E0000047
-:10836000D8E00000D9E00000DAE00000DBE0000027
-:10837000DCE00000DDE00000DEE00000DFE0000007
-:10838000E0E00000E1E00000E2E00000E3E00000E7
-:10839000E4E00000E5E00000E6E00000E7E00000C7
-:1083A000E8E00000E9E00000EAE00000EBE00000A7
-:1083B000ECE00000EDE00000EEE00000EFE0000087
-:1083C000F0E00000F1E00000F2E00000F3E0000067
-:1083D000F4E00000F5E00000F6E00000F7E0000047
-:1083E000F8E00000F9E00000FAE00000FBE0000027
-:1083F000FCE00000FDE00000FEE00000FFE0000007
-:1084000000E1000001E1000002E1000003E10000E2
-:1084100004E1000005E1000006E1000007E10000C2
-:1084200008E1000009E100000AE100000BE10000A2
-:108430000CE100000DE100000EE100000FE1000082
-:1084400010E1000011E1000012E1000013E1000062
-:1084500014E1000015E1000016E1000017E1000042
-:1084600018E1000019E100001AE100001BE1000022
-:108470001CE100001DE100001EE100001FE1000002
-:1084800020E1000021E1000022E1000023E10000E2
-:1084900024E1000025E1000026E1000027E10000C2
-:1084A00028E1000029E100002AE100002BE10000A2
-:1084B0002CE100002DE100002EE100002FE1000082
-:1084C00030E1000031E1000032E1000033E1000062
-:1084D00034E1000035E1000036E1000037E1000042
-:1084E00038E1000039E100003AE100003BE1000022
-:1084F0003CE100003DE100003EE100003FE1000002
-:1085000040E1000041E1000042E1000043E10000E1
-:1085100044E1000045E1000046E1000047E10000C1
-:1085200048E1000049E100004AE100004BE10000A1
-:108530004CE100004DE100004EE100004FE1000081
-:1085400050E1000051E1000052E1000053E1000061
-:1085500054E1000055E1000056E1000057E1000041
-:1085600058E1000059E100005AE100005BE1000021
-:108570005CE100005DE100005EE100005FE1000001
-:1085800060E1000061E1000062E1000063E10000E1
-:1085900064E1000065E1000066E1000067E10000C1
-:1085A00068E1000069E100006AE100006BE10000A1
-:1085B0006CE100006DE100006EE100006FE1000081
-:1085C00070E1000071E1000072E1000073E1000061
-:1085D00074E1000075E1000076E1000077E1000041
-:1085E00078E1000079E100007AE100007BE1000021
-:1085F0007CE100007DE100007EE100007FE1000001
-:1086000080E1000081E1000082E1000083E10000E0
-:1086100084E1000085E1000086E1000087E10000C0
-:1086200088E1000089E100008AE100008BE10000A0
-:108630008CE100008DE100008EE100008FE1000080
-:1086400090E1000091E1000092E1000093E1000060
-:1086500094E1000095E1000096E1000097E1000040
-:1086600098E1000099E100009AE100009BE1000020
-:108670009CE100009DE100009EE100009FE1000000
-:10868000A0E10000A1E10000A2E10000A3E10000E0
-:10869000A4E10000A5E10000A6E10000A7E10000C0
-:1086A000A8E10000A9E10000AAE10000ABE10000A0
-:1086B000ACE10000ADE10000AEE10000AFE1000080
-:1086C000B0E10000B1E10000B2E10000B3E1000060
-:1086D000B4E10000B5E10000B6E10000B7E1000040
-:1086E000B8E10000B9E10000BAE10000BBE1000020
-:1086F000BCE10000BDE10000BEE10000BFE1000000
-:10870000C0E10000C1E10000C2E10000C3E10000DF
-:10871000C4E10000C5E10000C6E10000C7E10000BF
-:10872000C8E10000C9E10000CAE10000CBE100009F
-:10873000CCE10000CDE10000CEE10000CFE100007F
-:10874000D0E10000D1E10000D2E10000D3E100005F
-:10875000D4E10000D5E10000D6E10000D7E100003F
-:10876000D8E10000D9E10000DAE10000DBE100001F
-:10877000DCE10000DDE10000DEE10000DFE10000FF
-:10878000E0E10000E1E10000E2E10000E3E10000DF
-:10879000E4E10000E5E10000E6E10000E7E10000BF
-:1087A000E8E10000E9E10000EAE10000EBE100009F
-:1087B000ECE10000EDE10000EEE10000EFE100007F
-:1087C000F0E10000F1E10000F2E10000F3E100005F
-:1087D000F4E10000F5E10000F6E10000F7E100003F
-:1087E000F8E10000F9E10000FAE10000FBE100001F
-:1087F000FCE10000FDE10000FEE10000FFE10000FF
-:1088000000E2000001E2000002E2000003E20000DA
-:1088100004E2000005E2000006E2000007E20000BA
-:1088200008E2000009E200000AE200000BE200009A
-:108830000CE200000DE200000EE200000FE200007A
-:1088400010E2000011E2000012E2000013E200005A
-:1088500014E2000015E2000016E2000017E200003A
-:1088600018E2000019E200001AE200001BE200001A
-:108870001CE200001DE200001EE200001FE20000FA
-:1088800020E2000021E2000022E2000023E20000DA
-:1088900024E2000025E2000026E2000027E20000BA
-:1088A00028E2000029E200002AE200002BE200009A
-:1088B0002CE200002DE200002EE200002FE200007A
-:1088C00030E2000031E2000032E2000033E200005A
-:1088D00034E2000035E2000036E2000037E200003A
-:1088E00038E2000039E200003AE200003BE200001A
-:1088F0003CE200003DE200003EE200003FE20000FA
-:1089000040E2000041E2000042E2000043E20000D9
-:1089100044E2000045E2000046E2000047E20000B9
-:1089200048E2000049E200004AE200004BE2000099
-:108930004CE200004DE200004EE200004FE2000079
-:1089400050E2000051E2000052E2000053E2000059
-:1089500054E2000055E2000056E2000057E2000039
-:1089600058E2000059E200005AE200005BE2000019
-:108970005CE200005DE200005EE200005FE20000F9
-:1089800060E2000061E2000062E2000063E20000D9
-:1089900064E2000065E2000066E2000067E20000B9
-:1089A00068E2000069E200006AE200006BE2000099
-:1089B0006CE200006DE200006EE200006FE2000079
-:1089C00070E2000071E2000072E2000073E2000059
-:1089D00074E2000075E2000076E2000077E2000039
-:1089E00078E2000079E200007AE200007BE2000019
-:1089F0007CE200007DE200007EE200007FE20000F9
-:108A000080E2000081E2000082E2000083E20000D8
-:108A100084E2000085E2000086E2000087E20000B8
-:108A200088E2000089E200008AE200008BE2000098
-:108A30008CE200008DE200008EE200008FE2000078
-:108A400090E2000091E2000092E2000093E2000058
-:108A500094E2000095E2000096E2000097E2000038
-:108A600098E2000099E200009AE200009BE2000018
-:108A70009CE200009DE200009EE200009FE20000F8
-:108A8000A0E20000A1E20000A2E20000A3E20000D8
-:108A9000A4E20000A5E20000A6E20000A7E20000B8
-:108AA000A8E20000A9E20000AAE20000ABE2000098
-:108AB000ACE20000ADE20000AEE20000AFE2000078
-:108AC000B0E20000B1E20000B2E20000B3E2000058
-:108AD000B4E20000B5E20000B6E20000B7E2000038
-:108AE000B8E20000B9E20000BAE20000BBE2000018
-:108AF000BCE20000BDE20000BEE20000BFE20000F8
-:108B0000C0E20000C1E20000C2E20000C3E20000D7
-:108B1000C4E20000C5E20000C6E20000C7E20000B7
-:108B2000C8E20000C9E20000CAE20000CBE2000097
-:108B3000CCE20000CDE20000CEE20000CFE2000077
-:108B4000D0E20000D1E20000D2E20000D3E2000057
-:108B5000D4E20000D5E20000D6E20000D7E2000037
-:108B6000D8E20000D9E20000DAE20000DBE2000017
-:108B7000DCE20000DDE20000DEE20000DFE20000F7
-:108B8000E0E20000E1E20000E2E20000E3E20000D7
-:108B9000E4E20000E5E20000E6E20000E7E20000B7
-:108BA000E8E20000E9E20000EAE20000EBE2000097
-:108BB000ECE20000EDE20000EEE20000EFE2000077
-:108BC000F0E20000F1E20000F2E20000F3E2000057
-:108BD000F4E20000F5E20000F6E20000F7E2000037
-:108BE000F8E20000F9E20000FAE20000FBE2000017
-:108BF000FCE20000FDE20000FEE20000FFE20000F7
-:108C000000E3000001E3000002E3000003E30000D2
-:108C100004E3000005E3000006E3000007E30000B2
-:108C200008E3000009E300000AE300000BE3000092
-:108C30000CE300000DE300000EE300000FE3000072
-:108C400010E3000011E3000012E3000013E3000052
-:108C500014E3000015E3000016E3000017E3000032
-:108C600018E3000019E300001AE300001BE3000012
-:108C70001CE300001DE300001EE300001FE30000F2
-:108C800020E3000021E3000022E3000023E30000D2
-:108C900024E3000025E3000026E3000027E30000B2
-:108CA00028E3000029E300002AE300002BE3000092
-:108CB0002CE300002DE300002EE300002FE3000072
-:108CC00030E3000031E3000032E3000033E3000052
-:108CD00034E3000035E3000036E3000037E3000032
-:108CE00038E3000039E300003AE300003BE3000012
-:108CF0003CE300003DE300003EE300003FE30000F2
-:108D000040E3000041E3000042E3000043E30000D1
-:108D100044E3000045E3000046E3000047E30000B1
-:108D200048E3000049E300004AE300004BE3000091
-:108D30004CE300004DE300004EE300004FE3000071
-:108D400050E3000051E3000052E3000053E3000051
-:108D500054E3000055E3000056E3000057E3000031
-:108D600058E3000059E300005AE300005BE3000011
-:108D70005CE300005DE300005EE300005FE30000F1
-:108D800060E3000061E3000062E3000063E30000D1
-:108D900064E3000065E3000066E3000067E30000B1
-:108DA00068E3000069E300006AE300006BE3000091
-:108DB0006CE300006DE300006EE300006FE3000071
-:108DC00070E3000071E3000072E3000073E3000051
-:108DD00074E3000075E3000076E3000077E3000031
-:108DE00078E3000079E300007AE300007BE3000011
-:108DF0007CE300007DE300007EE300007FE30000F1
-:108E000080E3000081E3000082E3000083E30000D0
-:108E100084E3000085E3000086E3000087E30000B0
-:108E200088E3000089E300008AE300008BE3000090
-:108E30008CE300008DE300008EE300008FE3000070
-:108E400090E3000091E3000092E3000093E3000050
-:108E500094E3000095E3000096E3000097E3000030
-:108E600098E3000099E300009AE300009BE3000010
-:108E70009CE300009DE300009EE300009FE30000F0
-:108E8000A0E30000A1E30000A2E30000A3E30000D0
-:108E9000A4E30000A5E30000A6E30000A7E30000B0
-:108EA000A8E30000A9E30000AAE30000ABE3000090
-:108EB000ACE30000ADE30000AEE30000AFE3000070
-:108EC000B0E30000B1E30000B2E30000B3E3000050
-:108ED000B4E30000B5E30000B6E30000B7E3000030
-:108EE000B8E30000B9E30000BAE30000BBE3000010
-:108EF000BCE30000BDE30000BEE30000BFE30000F0
-:108F0000C0E30000C1E30000C2E30000C3E30000CF
-:108F1000C4E30000C5E30000C6E30000C7E30000AF
-:108F2000C8E30000C9E30000CAE30000CBE300008F
-:108F3000CCE30000CDE30000CEE30000CFE300006F
-:108F4000D0E30000D1E30000D2E30000D3E300004F
-:108F5000D4E30000D5E30000D6E30000D7E300002F
-:108F6000D8E30000D9E30000DAE30000DBE300000F
-:108F7000DCE30000DDE30000DEE30000DFE30000EF
-:108F8000E0E30000E1E30000E2E30000E3E30000CF
-:108F9000E4E30000E5E30000E6E30000E7E30000AF
-:108FA000E8E30000E9E30000EAE30000EBE300008F
-:108FB000ECE30000EDE30000EEE30000EFE300006F
-:108FC000F0E30000F1E30000F2E30000F3E300004F
-:108FD000F4E30000F5E30000F6E30000F7E300002F
-:108FE000F8E30000F9E30000FAE30000FBE300000F
-:108FF000FCE30000FDE30000FEE30000FFE30000EF
-:1090000000E4000001E4000002E4000003E40000CA
-:1090100004E4000005E4000006E4000007E40000AA
-:1090200008E4000009E400000AE400000BE400008A
-:109030000CE400000DE400000EE400000FE400006A
-:1090400010E4000011E4000012E4000013E400004A
-:1090500014E4000015E4000016E4000017E400002A
-:1090600018E4000019E400001AE400001BE400000A
-:109070001CE400001DE400001EE400001FE40000EA
-:1090800020E4000021E4000022E4000023E40000CA
-:1090900024E4000025E4000026E4000027E40000AA
-:1090A00028E4000029E400002AE400002BE400008A
-:1090B0002CE400002DE400002EE400002FE400006A
-:1090C00030E4000031E4000032E4000033E400004A
-:1090D00034E4000035E4000036E4000037E400002A
-:1090E00038E4000039E400003AE400003BE400000A
-:1090F0003CE400003DE400003EE400003FE40000EA
-:1091000040E4000041E4000042E4000043E40000C9
-:1091100044E4000045E4000046E4000047E40000A9
-:1091200048E4000049E400004AE400004BE4000089
-:109130004CE400004DE400004EE400004FE4000069
-:1091400050E4000051E4000052E4000053E4000049
-:1091500054E4000055E4000056E4000057E4000029
-:1091600058E4000059E400005AE400005BE4000009
-:109170005CE400005DE400005EE400005FE40000E9
-:1091800060E4000061E4000062E4000063E40000C9
-:1091900064E4000065E4000066E4000067E40000A9
-:1091A00068E4000069E400006AE400006BE4000089
-:1091B0006CE400006DE400006EE400006FE4000069
-:1091C00070E4000071E4000072E4000073E4000049
-:1091D00074E4000075E4000076E4000077E4000029
-:1091E00078E4000079E400007AE400007BE4000009
-:1091F0007CE400007DE400007EE400007FE40000E9
-:1092000080E4000081E4000082E4000083E40000C8
-:1092100084E4000085E4000086E4000087E40000A8
-:1092200088E4000089E400008AE400008BE4000088
-:109230008CE400008DE400008EE400008FE4000068
-:1092400090E4000091E4000092E4000093E4000048
-:1092500094E4000095E4000096E4000097E4000028
-:1092600098E4000099E400009AE400009BE4000008
-:109270009CE400009DE400009EE400009FE40000E8
-:10928000A0E40000A1E40000A2E40000A3E40000C8
-:10929000A4E40000A5E40000A6E40000A7E40000A8
-:1092A000A8E40000A9E40000AAE40000ABE4000088
-:1092B000ACE40000ADE40000AEE40000AFE4000068
-:1092C000B0E40000B1E40000B2E40000B3E4000048
-:1092D000B4E40000B5E40000B6E40000B7E4000028
-:1092E000B8E40000B9E40000BAE40000BBE4000008
-:1092F000BCE40000BDE40000BEE40000BFE40000E8
-:10930000C0E40000C1E40000C2E40000C3E40000C7
-:10931000C4E40000C5E40000C6E40000C7E40000A7
-:10932000C8E40000C9E40000CAE40000CBE4000087
-:10933000CCE40000CDE40000CEE40000CFE4000067
-:10934000D0E40000D1E40000D2E40000D3E4000047
-:10935000D4E40000D5E40000D6E40000D7E4000027
-:10936000D8E40000D9E40000DAE40000DBE4000007
-:10937000DCE40000DDE40000DEE40000DFE40000E7
-:10938000E0E40000E1E40000E2E40000E3E40000C7
-:10939000E4E40000E5E40000E6E40000E7E40000A7
-:1093A000E8E40000E9E40000EAE40000EBE4000087
-:1093B000ECE40000EDE40000EEE40000EFE4000067
-:1093C000F0E40000F1E40000F2E40000F3E4000047
-:1093D000F4E40000F5E40000F6E40000F7E4000027
-:1093E000F8E40000F9E40000FAE40000FBE4000007
-:1093F000FCE40000FDE40000FEE40000FFE40000E7
-:1094000000E5000001E5000002E5000003E50000C2
-:1094100004E5000005E5000006E5000007E50000A2
-:1094200008E5000009E500000AE500000BE5000082
-:109430000CE500000DE500000EE500000FE5000062
-:1094400010E5000011E5000012E5000013E5000042
-:1094500014E5000015E5000016E5000017E5000022
-:1094600018E5000019E500001AE500001BE5000002
-:109470001CE500001DE500001EE500001FE50000E2
-:1094800020E5000021E5000022E5000023E50000C2
-:1094900024E5000025E5000026E5000027E50000A2
-:1094A00028E5000029E500002AE500002BE5000082
-:1094B0002CE500002DE500002EE500002FE5000062
-:1094C00030E5000031E5000032E5000033E5000042
-:1094D00034E5000035E5000036E5000037E5000022
-:1094E00038E5000039E500003AE500003BE5000002
-:1094F0003CE500003DE500003EE500003FE50000E2
-:1095000040E5000041E5000042E5000043E50000C1
-:1095100044E5000045E5000046E5000047E50000A1
-:1095200048E5000049E500004AE500004BE5000081
-:109530004CE500004DE500004EE500004FE5000061
-:1095400050E5000051E5000052E5000053E5000041
-:1095500054E5000055E5000056E5000057E5000021
-:1095600058E5000059E500005AE500005BE5000001
-:109570005CE500005DE500005EE500005FE50000E1
-:1095800060E5000061E5000062E5000063E50000C1
-:1095900064E5000065E5000066E5000067E50000A1
-:1095A00068E5000069E500006AE500006BE5000081
-:1095B0006CE500006DE500006EE500006FE5000061
-:1095C00070E5000071E5000072E5000073E5000041
-:1095D00074E5000075E5000076E5000077E5000021
-:1095E00078E5000079E500007AE500007BE5000001
-:1095F0007CE500007DE500007EE500007FE50000E1
-:1096000080E5000081E5000082E5000083E50000C0
-:1096100084E5000085E5000086E5000087E50000A0
-:1096200088E5000089E500008AE500008BE5000080
-:109630008CE500008DE500008EE500008FE5000060
-:1096400090E5000091E5000092E5000093E5000040
-:1096500094E5000095E5000096E5000097E5000020
-:1096600098E5000099E500009AE500009BE5000000
-:109670009CE500009DE500009EE500009FE50000E0
-:10968000A0E50000A1E50000A2E50000A3E50000C0
-:10969000A4E50000A5E50000A6E50000A7E50000A0
-:1096A000A8E50000A9E50000AAE50000ABE5000080
-:1096B000ACE50000ADE50000AEE50000AFE5000060
-:1096C000B0E50000B1E50000B2E50000B3E5000040
-:1096D000B4E50000B5E50000B6E50000B7E5000020
-:1096E000B8E50000B9E50000BAE50000BBE5000000
-:1096F000BCE50000BDE50000BEE50000BFE50000E0
-:10970000C0E50000C1E50000C2E50000C3E50000BF
-:10971000C4E50000C5E50000C6E50000C7E500009F
-:10972000C8E50000C9E50000CAE50000CBE500007F
-:10973000CCE50000CDE50000CEE50000CFE500005F
-:10974000D0E50000D1E50000D2E50000D3E500003F
-:10975000D4E50000D5E50000D6E50000D7E500001F
-:10976000D8E50000D9E50000DAE50000DBE50000FF
-:10977000DCE50000DDE50000DEE50000DFE50000DF
-:10978000E0E50000E1E50000E2E50000E3E50000BF
-:10979000E4E50000E5E50000E6E50000E7E500009F
-:1097A000E8E50000E9E50000EAE50000EBE500007F
-:1097B000ECE50000EDE50000EEE50000EFE500005F
-:1097C000F0E50000F1E50000F2E50000F3E500003F
-:1097D000F4E50000F5E50000F6E50000F7E500001F
-:1097E000F8E50000F9E50000FAE50000FBE50000FF
-:1097F000FCE50000FDE50000FEE50000FFE50000DF
-:1098000000E6000001E6000002E6000003E60000BA
-:1098100004E6000005E6000006E6000007E600009A
-:1098200008E6000009E600000AE600000BE600007A
-:109830000CE600000DE600000EE600000FE600005A
-:1098400010E6000011E6000012E6000013E600003A
-:1098500014E6000015E6000016E6000017E600001A
-:1098600018E6000019E600001AE600001BE60000FA
-:109870001CE600001DE600001EE600001FE60000DA
-:1098800020E6000021E6000022E6000023E60000BA
-:1098900024E6000025E6000026E6000027E600009A
-:1098A00028E6000029E600002AE600002BE600007A
-:1098B0002CE600002DE600002EE600002FE600005A
-:1098C00030E6000031E6000032E6000033E600003A
-:1098D00034E6000035E6000036E6000037E600001A
-:1098E00038E6000039E600003AE600003BE60000FA
-:1098F0003CE600003DE600003EE600003FE60000DA
-:1099000040E6000041E6000042E6000043E60000B9
-:1099100044E6000045E6000046E6000047E6000099
-:1099200048E6000049E600004AE600004BE6000079
-:109930004CE600004DE600004EE600004FE6000059
-:1099400050E6000051E6000052E6000053E6000039
-:1099500054E6000055E6000056E6000057E6000019
-:1099600058E6000059E600005AE600005BE60000F9
-:109970005CE600005DE600005EE600005FE60000D9
-:1099800060E6000061E6000062E6000063E60000B9
-:1099900064E6000065E6000066E6000067E6000099
-:1099A00068E6000069E600006AE600006BE6000079
-:1099B0006CE600006DE600006EE600006FE6000059
-:1099C00070E6000071E6000072E6000073E6000039
-:1099D00074E6000075E6000076E6000077E6000019
-:1099E00078E6000079E600007AE600007BE60000F9
-:1099F0007CE600007DE600007EE600007FE60000D9
-:109A000080E6000081E6000082E6000083E60000B8
-:109A100084E6000085E6000086E6000087E6000098
-:109A200088E6000089E600008AE600008BE6000078
-:109A30008CE600008DE600008EE600008FE6000058
-:109A400090E6000091E6000092E6000093E6000038
-:109A500094E6000095E6000096E6000097E6000018
-:109A600098E6000099E600009AE600009BE60000F8
-:109A70009CE600009DE600009EE600009FE60000D8
-:109A8000A0E60000A1E60000A2E60000A3E60000B8
-:109A9000A4E60000A5E60000A6E60000A7E6000098
-:109AA000A8E60000A9E60000AAE60000ABE6000078
-:109AB000ACE60000ADE60000AEE60000AFE6000058
-:109AC000B0E60000B1E60000B2E60000B3E6000038
-:109AD000B4E60000B5E60000B6E60000B7E6000018
-:109AE000B8E60000B9E60000BAE60000BBE60000F8
-:109AF000BCE60000BDE60000BEE60000BFE60000D8
-:109B0000C0E60000C1E60000C2E60000C3E60000B7
-:109B1000C4E60000C5E60000C6E60000C7E6000097
-:109B2000C8E60000C9E60000CAE60000CBE6000077
-:109B3000CCE60000CDE60000CEE60000CFE6000057
-:109B4000D0E60000D1E60000D2E60000D3E6000037
-:109B5000D4E60000D5E60000D6E60000D7E6000017
-:109B6000D8E60000D9E60000DAE60000DBE60000F7
-:109B7000DCE60000DDE60000DEE60000DFE60000D7
-:109B8000E0E60000E1E60000E2E60000E3E60000B7
-:109B9000E4E60000E5E60000E6E60000E7E6000097
-:109BA000E8E60000E9E60000EAE60000EBE6000077
-:109BB000ECE60000EDE60000EEE60000EFE6000057
-:109BC000F0E60000F1E60000F2E60000F3E6000037
-:109BD000F4E60000F5E60000F6E60000F7E6000017
-:109BE000F8E60000F9E60000FAE60000FBE60000F7
-:109BF000FCE60000FDE60000FEE60000FFE60000D7
-:109C000000E7000001E7000002E7000003E70000B2
-:109C100004E7000005E7000006E7000007E7000092
-:109C200008E7000009E700000AE700000BE7000072
-:109C30000CE700000DE700000EE700000FE7000052
-:109C400010E7000011E7000012E7000013E7000032
-:109C500014E7000015E7000016E7000017E7000012
-:109C600018E7000019E700001AE700001BE70000F2
-:109C70001CE700001DE700001EE700001FE70000D2
-:109C800020E7000021E7000022E7000023E70000B2
-:109C900024E7000025E7000026E7000027E7000092
-:109CA00028E7000029E700002AE700002BE7000072
-:109CB0002CE700002DE700002EE700002FE7000052
-:109CC00030E7000031E7000032E7000033E7000032
-:109CD00034E7000035E7000036E7000037E7000012
-:109CE00038E7000039E700003AE700003BE70000F2
-:109CF0003CE700003DE700003EE700003FE70000D2
-:109D000040E7000041E7000042E7000043E70000B1
-:109D100044E7000045E7000046E7000047E7000091
-:109D200048E7000049E700004AE700004BE7000071
-:109D30004CE700004DE700004EE700004FE7000051
-:109D400050E7000051E7000052E7000053E7000031
-:109D500054E7000055E7000056E7000057E7000011
-:109D600058E7000059E700005AE700005BE70000F1
-:109D70005CE700005DE700005EE700005FE70000D1
-:109D800060E7000061E7000062E7000063E70000B1
-:109D900064E7000065E7000066E7000067E7000091
-:109DA00068E7000069E700006AE700006BE7000071
-:109DB0006CE700006DE700006EE700006FE7000051
-:109DC00070E7000071E7000072E7000073E7000031
-:109DD00074E7000075E7000076E7000077E7000011
-:109DE00078E7000079E700007AE700007BE70000F1
-:109DF0007CE700007DE700007EE700007FE70000D1
-:109E000080E7000081E7000082E7000083E70000B0
-:109E100084E7000085E7000086E7000087E7000090
-:109E200088E7000089E700008AE700008BE7000070
-:109E30008CE700008DE700008EE700008FE7000050
-:109E400090E7000091E7000092E7000093E7000030
-:109E500094E7000095E7000096E7000097E7000010
-:109E600098E7000099E700009AE700009BE70000F0
-:109E70009CE700009DE700009EE700009FE70000D0
-:109E8000A0E70000A1E70000A2E70000A3E70000B0
-:109E9000A4E70000A5E70000A6E70000A7E7000090
-:109EA000A8E70000A9E70000AAE70000ABE7000070
-:109EB000ACE70000ADE70000AEE70000AFE7000050
-:109EC000B0E70000B1E70000B2E70000B3E7000030
-:109ED000B4E70000B5E70000B6E70000B7E7000010
-:109EE000B8E70000B9E70000BAE70000BBE70000F0
-:109EF000BCE70000BDE70000BEE70000BFE70000D0
-:109F0000C0E70000C1E70000C2E70000C3E70000AF
-:109F1000C4E70000C5E70000C6E70000C7E700008F
-:109F2000C8E70000C9E70000CAE70000CBE700006F
-:109F3000CCE70000CDE70000CEE70000CFE700004F
-:109F4000D0E70000D1E70000D2E70000D3E700002F
-:109F5000D4E70000D5E70000D6E70000D7E700000F
-:109F6000D8E70000D9E70000DAE70000DBE70000EF
-:109F7000DCE70000DDE70000DEE70000DFE70000CF
-:109F8000E0E70000E1E70000E2E70000E3E70000AF
-:109F9000E4E70000E5E70000E6E70000E7E700008F
-:109FA000E8E70000E9E70000EAE70000EBE700006F
-:109FB000ECE70000EDE70000EEE70000EFE700004F
-:109FC000F0E70000F1E70000F2E70000F3E700002F
-:109FD000F4E70000F5E70000F6E70000F7E700000F
-:109FE000F8E70000F9E70000FAE70000FBE70000EF
-:109FF000FCE70000FDE70000FEE70000FFE70000CF
-:10A0000000E8000001E8000002E8000003E80000AA
-:10A0100004E8000005E8000006E8000007E800008A
-:10A0200008E8000009E800000AE800000BE800006A
-:10A030000CE800000DE800000EE800000FE800004A
-:10A0400010E8000011E8000012E8000013E800002A
-:10A0500014E8000015E8000016E8000017E800000A
-:10A0600018E8000019E800001AE800001BE80000EA
-:10A070001CE800001DE800001EE800001FE80000CA
-:10A0800020E8000021E8000022E8000023E80000AA
-:10A0900024E8000025E8000026E8000027E800008A
-:10A0A00028E8000029E800002AE800002BE800006A
-:10A0B0002CE800002DE800002EE800002FE800004A
-:10A0C00030E8000031E8000032E8000033E800002A
-:10A0D00034E8000035E8000036E8000037E800000A
-:10A0E00038E8000039E800003AE800003BE80000EA
-:10A0F0003CE800003DE800003EE800003FE80000CA
-:10A1000040E8000041E8000042E8000043E80000A9
-:10A1100044E8000045E8000046E8000047E8000089
-:10A1200048E8000049E800004AE800004BE8000069
-:10A130004CE800004DE800004EE800004FE8000049
-:10A1400050E8000051E8000052E8000053E8000029
-:10A1500054E8000055E8000056E8000057E8000009
-:10A1600058E8000059E800005AE800005BE80000E9
-:10A170005CE800005DE800005EE800005FE80000C9
-:10A1800060E8000061E8000062E8000063E80000A9
-:10A1900064E8000065E8000066E8000067E8000089
-:10A1A00068E8000069E800006AE800006BE8000069
-:10A1B0006CE800006DE800006EE800006FE8000049
-:10A1C00070E8000071E8000072E8000073E8000029
-:10A1D00074E8000075E8000076E8000077E8000009
-:10A1E00078E8000079E800007AE800007BE80000E9
-:10A1F0007CE800007DE800007EE800007FE80000C9
-:10A2000080E8000081E8000082E8000083E80000A8
-:10A2100084E8000085E8000086E8000087E8000088
-:10A2200088E8000089E800008AE800008BE8000068
-:10A230008CE800008DE800008EE800008FE8000048
-:10A2400090E8000091E8000092E8000093E8000028
-:10A2500094E8000095E8000096E8000097E8000008
-:10A2600098E8000099E800009AE800009BE80000E8
-:10A270009CE800009DE800009EE800009FE80000C8
-:10A28000A0E80000A1E80000A2E80000A3E80000A8
-:10A29000A4E80000A5E80000A6E80000A7E8000088
-:10A2A000A8E80000A9E80000AAE80000ABE8000068
-:10A2B000ACE80000ADE80000AEE80000AFE8000048
-:10A2C000B0E80000B1E80000B2E80000B3E8000028
-:10A2D000B4E80000B5E80000B6E80000B7E8000008
-:10A2E000B8E80000B9E80000BAE80000BBE80000E8
-:10A2F000BCE80000BDE80000BEE80000BFE80000C8
-:10A30000C0E80000C1E80000C2E80000C3E80000A7
-:10A31000C4E80000C5E80000C6E80000C7E8000087
-:10A32000C8E80000C9E80000CAE80000CBE8000067
-:10A33000CCE80000CDE80000CEE80000CFE8000047
-:10A34000D0E80000D1E80000D2E80000D3E8000027
-:10A35000D4E80000D5E80000D6E80000D7E8000007
-:10A36000D8E80000D9E80000DAE80000DBE80000E7
-:10A37000DCE80000DDE80000DEE80000DFE80000C7
-:10A38000E0E80000E1E80000E2E80000E3E80000A7
-:10A39000E4E80000E5E80000E6E80000E7E8000087
-:10A3A000E8E80000E9E80000EAE80000EBE8000067
-:10A3B000ECE80000EDE80000EEE80000EFE8000047
-:10A3C000F0E80000F1E80000F2E80000F3E8000027
-:10A3D000F4E80000F5E80000F6E80000F7E8000007
-:10A3E000F8E80000F9E80000FAE80000FBE80000E7
-:10A3F000FCE80000FDE80000FEE80000FFE80000C7
-:10A4000000E9000001E9000002E9000003E90000A2
-:10A4100004E9000005E9000006E9000007E9000082
-:10A4200008E9000009E900000AE900000BE9000062
-:10A430000CE900000DE900000EE900000FE9000042
-:10A4400010E9000011E9000012E9000013E9000022
-:10A4500014E9000015E9000016E9000017E9000002
-:10A4600018E9000019E900001AE900001BE90000E2
-:10A470001CE900001DE900001EE900001FE90000C2
-:10A4800020E9000021E9000022E9000023E90000A2
-:10A4900024E9000025E9000026E9000027E9000082
-:10A4A00028E9000029E900002AE900002BE9000062
-:10A4B0002CE900002DE900002EE900002FE9000042
-:10A4C00030E9000031E9000032E9000033E9000022
-:10A4D00034E9000035E9000036E9000037E9000002
-:10A4E00038E9000039E900003AE900003BE90000E2
-:10A4F0003CE900003DE900003EE900003FE90000C2
-:10A5000040E9000041E9000042E9000043E90000A1
-:10A5100044E9000045E9000046E9000047E9000081
-:10A5200048E9000049E900004AE900004BE9000061
-:10A530004CE900004DE900004EE900004FE9000041
-:10A5400050E9000051E9000052E9000053E9000021
-:10A5500054E9000055E9000056E9000057E9000001
-:10A5600058E9000059E900005AE900005BE90000E1
-:10A570005CE900005DE900005EE900005FE90000C1
-:10A5800060E9000061E9000062E9000063E90000A1
-:10A5900064E9000065E9000066E9000067E9000081
-:10A5A00068E9000069E900006AE900006BE9000061
-:10A5B0006CE900006DE900006EE900006FE9000041
-:10A5C00070E9000071E9000072E9000073E9000021
-:10A5D00074E9000075E9000076E9000077E9000001
-:10A5E00078E9000079E900007AE900007BE90000E1
-:10A5F0007CE900007DE900007EE900007FE90000C1
-:10A6000080E9000081E9000082E9000083E90000A0
-:10A6100084E9000085E9000086E9000087E9000080
-:10A6200088E9000089E900008AE900008BE9000060
-:10A630008CE900008DE900008EE900008FE9000040
-:10A6400090E9000091E9000092E9000093E9000020
-:10A6500094E9000095E9000096E9000097E9000000
-:10A6600098E9000099E900009AE900009BE90000E0
-:10A670009CE900009DE900009EE900009FE90000C0
-:10A68000A0E90000A1E90000A2E90000A3E90000A0
-:10A69000A4E90000A5E90000A6E90000A7E9000080
-:10A6A000A8E90000A9E90000AAE90000ABE9000060
-:10A6B000ACE90000ADE90000AEE90000AFE9000040
-:10A6C000B0E90000B1E90000B2E90000B3E9000020
-:10A6D000B4E90000B5E90000B6E90000B7E9000000
-:10A6E000B8E90000B9E90000BAE90000BBE90000E0
-:10A6F000BCE90000BDE90000BEE90000BFE90000C0
-:10A70000C0E90000C1E90000C2E90000C3E900009F
-:10A71000C4E90000C5E90000C6E90000C7E900007F
-:10A72000C8E90000C9E90000CAE90000CBE900005F
-:10A73000CCE90000CDE90000CEE90000CFE900003F
-:10A74000D0E90000D1E90000D2E90000D3E900001F
-:10A75000D4E90000D5E90000D6E90000D7E90000FF
-:10A76000D8E90000D9E90000DAE90000DBE90000DF
-:10A77000DCE90000DDE90000DEE90000DFE90000BF
-:10A78000E0E90000E1E90000E2E90000E3E900009F
-:10A79000E4E90000E5E90000E6E90000E7E900007F
-:10A7A000E8E90000E9E90000EAE90000EBE900005F
-:10A7B000ECE90000EDE90000EEE90000EFE900003F
-:10A7C000F0E90000F1E90000F2E90000F3E900001F
-:10A7D000F4E90000F5E90000F6E90000F7E90000FF
-:10A7E000F8E90000F9E90000FAE90000FBE90000DF
-:10A7F000FCE90000FDE90000FEE90000FFE90000BF
-:10A8000000EA000001EA000002EA000003EA00009A
-:10A8100004EA000005EA000006EA000007EA00007A
-:10A8200008EA000009EA00000AEA00000BEA00005A
-:10A830000CEA00000DEA00000EEA00000FEA00003A
-:10A8400010EA000011EA000012EA000013EA00001A
-:10A8500014EA000015EA000016EA000017EA0000FA
-:10A8600018EA000019EA00001AEA00001BEA0000DA
-:10A870001CEA00001DEA00001EEA00001FEA0000BA
-:10A8800020EA000021EA000022EA000023EA00009A
-:10A8900024EA000025EA000026EA000027EA00007A
-:10A8A00028EA000029EA00002AEA00002BEA00005A
-:10A8B0002CEA00002DEA00002EEA00002FEA00003A
-:10A8C00030EA000031EA000032EA000033EA00001A
-:10A8D00034EA000035EA000036EA000037EA0000FA
-:10A8E00038EA000039EA00003AEA00003BEA0000DA
-:10A8F0003CEA00003DEA00003EEA00003FEA0000BA
-:10A9000040EA000041EA000042EA000043EA000099
-:10A9100044EA000045EA000046EA000047EA000079
-:10A9200048EA000049EA00004AEA00004BEA000059
-:10A930004CEA00004DEA00004EEA00004FEA000039
-:10A9400050EA000051EA000052EA000053EA000019
-:10A9500054EA000055EA000056EA000057EA0000F9
-:10A9600058EA000059EA00005AEA00005BEA0000D9
-:10A970005CEA00005DEA00005EEA00005FEA0000B9
-:10A9800060EA000061EA000062EA000063EA000099
-:10A9900064EA000065EA000066EA000067EA000079
-:10A9A00068EA000069EA00006AEA00006BEA000059
-:10A9B0006CEA00006DEA00006EEA00006FEA000039
-:10A9C00070EA000071EA000072EA000073EA000019
-:10A9D00074EA000075EA000076EA000077EA0000F9
-:10A9E00078EA000079EA00007AEA00007BEA0000D9
-:10A9F0007CEA00007DEA00007EEA00007FEA0000B9
-:10AA000080EA000081EA000082EA000083EA000098
-:10AA100084EA000085EA000086EA000087EA000078
-:10AA200088EA000089EA00008AEA00008BEA000058
-:10AA30008CEA00008DEA00008EEA00008FEA000038
-:10AA400090EA000091EA000092EA000093EA000018
-:10AA500094EA000095EA000096EA000097EA0000F8
-:10AA600098EA000099EA00009AEA00009BEA0000D8
-:10AA70009CEA00009DEA00009EEA00009FEA0000B8
-:10AA8000A0EA0000A1EA0000A2EA0000A3EA000098
-:10AA9000A4EA0000A5EA0000A6EA0000A7EA000078
-:10AAA000A8EA0000A9EA0000AAEA0000ABEA000058
-:10AAB000ACEA0000ADEA0000AEEA0000AFEA000038
-:10AAC000B0EA0000B1EA0000B2EA0000B3EA000018
-:10AAD000B4EA0000B5EA0000B6EA0000B7EA0000F8
-:10AAE000B8EA0000B9EA0000BAEA0000BBEA0000D8
-:10AAF000BCEA0000BDEA0000BEEA0000BFEA0000B8
-:10AB0000C0EA0000C1EA0000C2EA0000C3EA000097
-:10AB1000C4EA0000C5EA0000C6EA0000C7EA000077
-:10AB2000C8EA0000C9EA0000CAEA0000CBEA000057
-:10AB3000CCEA0000CDEA0000CEEA0000CFEA000037
-:10AB4000D0EA0000D1EA0000D2EA0000D3EA000017
-:10AB5000D4EA0000D5EA0000D6EA0000D7EA0000F7
-:10AB6000D8EA0000D9EA0000DAEA0000DBEA0000D7
-:10AB7000DCEA0000DDEA0000DEEA0000DFEA0000B7
-:10AB8000E0EA0000E1EA0000E2EA0000E3EA000097
-:10AB9000E4EA0000E5EA0000E6EA0000E7EA000077
-:10ABA000E8EA0000E9EA0000EAEA0000EBEA000057
-:10ABB000ECEA0000EDEA0000EEEA0000EFEA000037
-:10ABC000F0EA0000F1EA0000F2EA0000F3EA000017
-:10ABD000F4EA0000F5EA0000F6EA0000F7EA0000F7
-:10ABE000F8EA0000F9EA0000FAEA0000FBEA0000D7
-:10ABF000FCEA0000FDEA0000FEEA0000FFEA0000B7
-:10AC000000EB000001EB000002EB000003EB000092
-:10AC100004EB000005EB000006EB000007EB000072
-:10AC200008EB000009EB00000AEB00000BEB000052
-:10AC30000CEB00000DEB00000EEB00000FEB000032
-:10AC400010EB000011EB000012EB000013EB000012
-:10AC500014EB000015EB000016EB000017EB0000F2
-:10AC600018EB000019EB00001AEB00001BEB0000D2
-:10AC70001CEB00001DEB00001EEB00001FEB0000B2
-:10AC800020EB000021EB000022EB000023EB000092
-:10AC900024EB000025EB000026EB000027EB000072
-:10ACA00028EB000029EB00002AEB00002BEB000052
-:10ACB0002CEB00002DEB00002EEB00002FEB000032
-:10ACC00030EB000031EB000032EB000033EB000012
-:10ACD00034EB000035EB000036EB000037EB0000F2
-:10ACE00038EB000039EB00003AEB00003BEB0000D2
-:10ACF0003CEB00003DEB00003EEB00003FEB0000B2
-:10AD000040EB000041EB000042EB000043EB000091
-:10AD100044EB000045EB000046EB000047EB000071
-:10AD200048EB000049EB00004AEB00004BEB000051
-:10AD30004CEB00004DEB00004EEB00004FEB000031
-:10AD400050EB000051EB000052EB000053EB000011
-:10AD500054EB000055EB000056EB000057EB0000F1
-:10AD600058EB000059EB00005AEB00005BEB0000D1
-:10AD70005CEB00005DEB00005EEB00005FEB0000B1
-:10AD800060EB000061EB000062EB000063EB000091
-:10AD900064EB000065EB000066EB000067EB000071
-:10ADA00068EB000069EB00006AEB00006BEB000051
-:10ADB0006CEB00006DEB00006EEB00006FEB000031
-:10ADC00070EB000071EB000072EB000073EB000011
-:10ADD00074EB000075EB000076EB000077EB0000F1
-:10ADE00078EB000079EB00007AEB00007BEB0000D1
-:10ADF0007CEB00007DEB00007EEB00007FEB0000B1
-:10AE000080EB000081EB000082EB000083EB000090
-:10AE100084EB000085EB000086EB000087EB000070
-:10AE200088EB000089EB00008AEB00008BEB000050
-:10AE30008CEB00008DEB00008EEB00008FEB000030
-:10AE400090EB000091EB000092EB000093EB000010
-:10AE500094EB000095EB000096EB000097EB0000F0
-:10AE600098EB000099EB00009AEB00009BEB0000D0
-:10AE70009CEB00009DEB00009EEB00009FEB0000B0
-:10AE8000A0EB0000A1EB0000A2EB0000A3EB000090
-:10AE9000A4EB0000A5EB0000A6EB0000A7EB000070
-:10AEA000A8EB0000A9EB0000AAEB0000ABEB000050
-:10AEB000ACEB0000ADEB0000AEEB0000AFEB000030
-:10AEC000B0EB0000B1EB0000B2EB0000B3EB000010
-:10AED000B4EB0000B5EB0000B6EB0000B7EB0000F0
-:10AEE000B8EB0000B9EB0000BAEB0000BBEB0000D0
-:10AEF000BCEB0000BDEB0000BEEB0000BFEB0000B0
-:10AF0000C0EB0000C1EB0000C2EB0000C3EB00008F
-:10AF1000C4EB0000C5EB0000C6EB0000C7EB00006F
-:10AF2000C8EB0000C9EB0000CAEB0000CBEB00004F
-:10AF3000CCEB0000CDEB0000CEEB0000CFEB00002F
-:10AF4000D0EB0000D1EB0000D2EB0000D3EB00000F
-:10AF5000D4EB0000D5EB0000D6EB0000D7EB0000EF
-:10AF6000D8EB0000D9EB0000DAEB0000DBEB0000CF
-:10AF7000DCEB0000DDEB0000DEEB0000DFEB0000AF
-:10AF8000E0EB0000E1EB0000E2EB0000E3EB00008F
-:10AF9000E4EB0000E5EB0000E6EB0000E7EB00006F
-:10AFA000E8EB0000E9EB0000EAEB0000EBEB00004F
-:10AFB000ECEB0000EDEB0000EEEB0000EFEB00002F
-:10AFC000F0EB0000F1EB0000F2EB0000F3EB00000F
-:10AFD000F4EB0000F5EB0000F6EB0000F7EB0000EF
-:10AFE000F8EB0000F9EB0000FAEB0000FBEB0000CF
-:10AFF000FCEB0000FDEB0000FEEB0000FFEB0000AF
-:10B0000000EC000001EC000002EC000003EC00008A
-:10B0100004EC000005EC000006EC000007EC00006A
-:10B0200008EC000009EC00000AEC00000BEC00004A
-:10B030000CEC00000DEC00000EEC00000FEC00002A
-:10B0400010EC000011EC000012EC000013EC00000A
-:10B0500014EC000015EC000016EC000017EC0000EA
-:10B0600018EC000019EC00001AEC00001BEC0000CA
-:10B070001CEC00001DEC00001EEC00001FEC0000AA
-:10B0800020EC000021EC000022EC000023EC00008A
-:10B0900024EC000025EC000026EC000027EC00006A
-:10B0A00028EC000029EC00002AEC00002BEC00004A
-:10B0B0002CEC00002DEC00002EEC00002FEC00002A
-:10B0C00030EC000031EC000032EC000033EC00000A
-:10B0D00034EC000035EC000036EC000037EC0000EA
-:10B0E00038EC000039EC00003AEC00003BEC0000CA
-:10B0F0003CEC00003DEC00003EEC00003FEC0000AA
-:10B1000040EC000041EC000042EC000043EC000089
-:10B1100044EC000045EC000046EC000047EC000069
-:10B1200048EC000049EC00004AEC00004BEC000049
-:10B130004CEC00004DEC00004EEC00004FEC000029
-:10B1400050EC000051EC000052EC000053EC000009
-:10B1500054EC000055EC000056EC000057EC0000E9
-:10B1600058EC000059EC00005AEC00005BEC0000C9
-:10B170005CEC00005DEC00005EEC00005FEC0000A9
-:10B1800060EC000061EC000062EC000063EC000089
-:10B1900064EC000065EC000066EC000067EC000069
-:10B1A00068EC000069EC00006AEC00006BEC000049
-:10B1B0006CEC00006DEC00006EEC00006FEC000029
-:10B1C00070EC000071EC000072EC000073EC000009
-:10B1D00074EC000075EC000076EC000077EC0000E9
-:10B1E00078EC000079EC00007AEC00007BEC0000C9
-:10B1F0007CEC00007DEC00007EEC00007FEC0000A9
-:10B2000080EC000081EC000082EC000083EC000088
-:10B2100084EC000085EC000086EC000087EC000068
-:10B2200088EC000089EC00008AEC00008BEC000048
-:10B230008CEC00008DEC00008EEC00008FEC000028
-:10B2400090EC000091EC000092EC000093EC000008
-:10B2500094EC000095EC000096EC000097EC0000E8
-:10B2600098EC000099EC00009AEC00009BEC0000C8
-:10B270009CEC00009DEC00009EEC00009FEC0000A8
-:10B28000A0EC0000A1EC0000A2EC0000A3EC000088
-:10B29000A4EC0000A5EC0000A6EC0000A7EC000068
-:10B2A000A8EC0000A9EC0000AAEC0000ABEC000048
-:10B2B000ACEC0000ADEC0000AEEC0000AFEC000028
-:10B2C000B0EC0000B1EC0000B2EC0000B3EC000008
-:10B2D000B4EC0000B5EC0000B6EC0000B7EC0000E8
-:10B2E000B8EC0000B9EC0000BAEC0000BBEC0000C8
-:10B2F000BCEC0000BDEC0000BEEC0000BFEC0000A8
-:10B30000C0EC0000C1EC0000C2EC0000C3EC000087
-:10B31000C4EC0000C5EC0000C6EC0000C7EC000067
-:10B32000C8EC0000C9EC0000CAEC0000CBEC000047
-:10B33000CCEC0000CDEC0000CEEC0000CFEC000027
-:10B34000D0EC0000D1EC0000D2EC0000D3EC000007
-:10B35000D4EC0000D5EC0000D6EC0000D7EC0000E7
-:10B36000D8EC0000D9EC0000DAEC0000DBEC0000C7
-:10B37000DCEC0000DDEC0000DEEC0000DFEC0000A7
-:10B38000E0EC0000E1EC0000E2EC0000E3EC000087
-:10B39000E4EC0000E5EC0000E6EC0000E7EC000067
-:10B3A000E8EC0000E9EC0000EAEC0000EBEC000047
-:10B3B000ECEC0000EDEC0000EEEC0000EFEC000027
-:10B3C000F0EC0000F1EC0000F2EC0000F3EC000007
-:10B3D000F4EC0000F5EC0000F6EC0000F7EC0000E7
-:10B3E000F8EC0000F9EC0000FAEC0000FBEC0000C7
-:10B3F000FCEC0000FDEC0000FEEC0000FFEC0000A7
-:10B4000000ED000001ED000002ED000003ED000082
-:10B4100004ED000005ED000006ED000007ED000062
-:10B4200008ED000009ED00000AED00000BED000042
-:10B430000CED00000DED00000EED00000FED000022
-:10B4400010ED000011ED000012ED000013ED000002
-:10B4500014ED000015ED000016ED000017ED0000E2
-:10B4600018ED000019ED00001AED00001BED0000C2
-:10B470001CED00001DED00001EED00001FED0000A2
-:10B4800020ED000021ED000022ED000023ED000082
-:10B4900024ED000025ED000026ED000027ED000062
-:10B4A00028ED000029ED00002AED00002BED000042
-:10B4B0002CED00002DED00002EED00002FED000022
-:10B4C00030ED000031ED000032ED000033ED000002
-:10B4D00034ED000035ED000036ED000037ED0000E2
-:10B4E00038ED000039ED00003AED00003BED0000C2
-:10B4F0003CED00003DED00003EED00003FED0000A2
-:10B5000040ED000041ED000042ED000043ED000081
-:10B5100044ED000045ED000046ED000047ED000061
-:10B5200048ED000049ED00004AED00004BED000041
-:10B530004CED00004DED00004EED00004FED000021
-:10B5400050ED000051ED000052ED000053ED000001
-:10B5500054ED000055ED000056ED000057ED0000E1
-:10B5600058ED000059ED00005AED00005BED0000C1
-:10B570005CED00005DED00005EED00005FED0000A1
-:10B5800060ED000061ED000062ED000063ED000081
-:10B5900064ED000065ED000066ED000067ED000061
-:10B5A00068ED000069ED00006AED00006BED000041
-:10B5B0006CED00006DED00006EED00006FED000021
-:10B5C00070ED000071ED000072ED000073ED000001
-:10B5D00074ED000075ED000076ED000077ED0000E1
-:10B5E00078ED000079ED00007AED00007BED0000C1
-:10B5F0007CED00007DED00007EED00007FED0000A1
-:10B6000080ED000081ED000082ED000083ED000080
-:10B6100084ED000085ED000086ED000087ED000060
-:10B6200088ED000089ED00008AED00008BED000040
-:10B630008CED00008DED00008EED00008FED000020
-:10B6400090ED000091ED000092ED000093ED000000
-:10B6500094ED000095ED000096ED000097ED0000E0
-:10B6600098ED000099ED00009AED00009BED0000C0
-:10B670009CED00009DED00009EED00009FED0000A0
-:10B68000A0ED0000A1ED0000A2ED0000A3ED000080
-:10B69000A4ED0000A5ED0000A6ED0000A7ED000060
-:10B6A000A8ED0000A9ED0000AAED0000ABED000040
-:10B6B000ACED0000ADED0000AEED0000AFED000020
-:10B6C000B0ED0000B1ED0000B2ED0000B3ED000000
-:10B6D000B4ED0000B5ED0000B6ED0000B7ED0000E0
-:10B6E000B8ED0000B9ED0000BAED0000BBED0000C0
-:10B6F000BCED0000BDED0000BEED0000BFED0000A0
-:10B70000C0ED0000C1ED0000C2ED0000C3ED00007F
-:10B71000C4ED0000C5ED0000C6ED0000C7ED00005F
-:10B72000C8ED0000C9ED0000CAED0000CBED00003F
-:10B73000CCED0000CDED0000CEED0000CFED00001F
-:10B74000D0ED0000D1ED0000D2ED0000D3ED0000FF
-:10B75000D4ED0000D5ED0000D6ED0000D7ED0000DF
-:10B76000D8ED0000D9ED0000DAED0000DBED0000BF
-:10B77000DCED0000DDED0000DEED0000DFED00009F
-:10B78000E0ED0000E1ED0000E2ED0000E3ED00007F
-:10B79000E4ED0000E5ED0000E6ED0000E7ED00005F
-:10B7A000E8ED0000E9ED0000EAED0000EBED00003F
-:10B7B000ECED0000EDED0000EEED0000EFED00001F
-:10B7C000F0ED0000F1ED0000F2ED0000F3ED0000FF
-:10B7D000F4ED0000F5ED0000F6ED0000F7ED0000DF
-:10B7E000F8ED0000F9ED0000FAED0000FBED0000BF
-:10B7F000FCED0000FDED0000FEED0000FFED00009F
-:10B8000000EE000001EE000002EE000003EE00007A
-:10B8100004EE000005EE000006EE000007EE00005A
-:10B8200008EE000009EE00000AEE00000BEE00003A
-:10B830000CEE00000DEE00000EEE00000FEE00001A
-:10B8400010EE000011EE000012EE000013EE0000FA
-:10B8500014EE000015EE000016EE000017EE0000DA
-:10B8600018EE000019EE00001AEE00001BEE0000BA
-:10B870001CEE00001DEE00001EEE00001FEE00009A
-:10B8800020EE000021EE000022EE000023EE00007A
-:10B8900024EE000025EE000026EE000027EE00005A
-:10B8A00028EE000029EE00002AEE00002BEE00003A
-:10B8B0002CEE00002DEE00002EEE00002FEE00001A
-:10B8C00030EE000031EE000032EE000033EE0000FA
-:10B8D00034EE000035EE000036EE000037EE0000DA
-:10B8E00038EE000039EE00003AEE00003BEE0000BA
-:10B8F0003CEE00003DEE00003EEE00003FEE00009A
-:10B9000040EE000041EE000042EE000043EE000079
-:10B9100044EE000045EE000046EE000047EE000059
-:10B9200048EE000049EE00004AEE00004BEE000039
-:10B930004CEE00004DEE00004EEE00004FEE000019
-:10B9400050EE000051EE000052EE000053EE0000F9
-:10B9500054EE000055EE000056EE000057EE0000D9
-:10B9600058EE000059EE00005AEE00005BEE0000B9
-:10B970005CEE00005DEE00005EEE00005FEE000099
-:10B9800060EE000061EE000062EE000063EE000079
-:10B9900064EE000065EE000066EE000067EE000059
-:10B9A00068EE000069EE00006AEE00006BEE000039
-:10B9B0006CEE00006DEE00006EEE00006FEE000019
-:10B9C00070EE000071EE000072EE000073EE0000F9
-:10B9D00074EE000075EE000076EE000077EE0000D9
-:10B9E00078EE000079EE00007AEE00007BEE0000B9
-:10B9F0007CEE00007DEE00007EEE00007FEE000099
-:10BA000080EE000081EE000082EE000083EE000078
-:10BA100084EE000085EE000086EE000087EE000058
-:10BA200088EE000089EE00008AEE00008BEE000038
-:10BA30008CEE00008DEE00008EEE00008FEE000018
-:10BA400090EE000091EE000092EE000093EE0000F8
-:10BA500094EE000095EE000096EE000097EE0000D8
-:10BA600098EE000099EE00009AEE00009BEE0000B8
-:10BA70009CEE00009DEE00009EEE00009FEE000098
-:10BA8000A0EE0000A1EE0000A2EE0000A3EE000078
-:10BA9000A4EE0000A5EE0000A6EE0000A7EE000058
-:10BAA000A8EE0000A9EE0000AAEE0000ABEE000038
-:10BAB000ACEE0000ADEE0000AEEE0000AFEE000018
-:10BAC000B0EE0000B1EE0000B2EE0000B3EE0000F8
-:10BAD000B4EE0000B5EE0000B6EE0000B7EE0000D8
-:10BAE000B8EE0000B9EE0000BAEE0000BBEE0000B8
-:10BAF000BCEE0000BDEE0000BEEE0000BFEE000098
-:10BB0000C0EE0000C1EE0000C2EE0000C3EE000077
-:10BB1000C4EE0000C5EE0000C6EE0000C7EE000057
-:10BB2000C8EE0000C9EE0000CAEE0000CBEE000037
-:10BB3000CCEE0000CDEE0000CEEE0000CFEE000017
-:10BB4000D0EE0000D1EE0000D2EE0000D3EE0000F7
-:10BB5000D4EE0000D5EE0000D6EE0000D7EE0000D7
-:10BB6000D8EE0000D9EE0000DAEE0000DBEE0000B7
-:10BB7000DCEE0000DDEE0000DEEE0000DFEE000097
-:10BB8000E0EE0000E1EE0000E2EE0000E3EE000077
-:10BB9000E4EE0000E5EE0000E6EE0000E7EE000057
-:10BBA000E8EE0000E9EE0000EAEE0000EBEE000037
-:10BBB000ECEE0000EDEE0000EEEE0000EFEE000017
-:10BBC000F0EE0000F1EE0000F2EE0000F3EE0000F7
-:10BBD000F4EE0000F5EE0000F6EE0000F7EE0000D7
-:10BBE000F8EE0000F9EE0000FAEE0000FBEE0000B7
-:10BBF000FCEE0000FDEE0000FEEE0000FFEE000097
-:10BC000000EF000001EF000002EF000003EF000072
-:10BC100004EF000005EF000006EF000007EF000052
-:10BC200008EF000009EF00000AEF00000BEF000032
-:10BC30000CEF00000DEF00000EEF00000FEF000012
-:10BC400010EF000011EF000012EF000013EF0000F2
-:10BC500014EF000015EF000016EF000017EF0000D2
-:10BC600018EF000019EF00001AEF00001BEF0000B2
-:10BC70001CEF00001DEF00001EEF00001FEF000092
-:10BC800020EF000021EF000022EF000023EF000072
-:10BC900024EF000025EF000026EF000027EF000052
-:10BCA00028EF000029EF00002AEF00002BEF000032
-:10BCB0002CEF00002DEF00002EEF00002FEF000012
-:10BCC00030EF000031EF000032EF000033EF0000F2
-:10BCD00034EF000035EF000036EF000037EF0000D2
-:10BCE00038EF000039EF00003AEF00003BEF0000B2
-:10BCF0003CEF00003DEF00003EEF00003FEF000092
-:10BD000040EF000041EF000042EF000043EF000071
-:10BD100044EF000045EF000046EF000047EF000051
-:10BD200048EF000049EF00004AEF00004BEF000031
-:10BD30004CEF00004DEF00004EEF00004FEF000011
-:10BD400050EF000051EF000052EF000053EF0000F1
-:10BD500054EF000055EF000056EF000057EF0000D1
-:10BD600058EF000059EF00005AEF00005BEF0000B1
-:10BD70005CEF00005DEF00005EEF00005FEF000091
-:10BD800060EF000061EF000062EF000063EF000071
-:10BD900064EF000065EF000066EF000067EF000051
-:10BDA00068EF000069EF00006AEF00006BEF000031
-:10BDB0006CEF00006DEF00006EEF00006FEF000011
-:10BDC00070EF000071EF000072EF000073EF0000F1
-:10BDD00074EF000075EF000076EF000077EF0000D1
-:10BDE00078EF000079EF00007AEF00007BEF0000B1
-:10BDF0007CEF00007DEF00007EEF00007FEF000091
-:10BE000080EF000081EF000082EF000083EF000070
-:10BE100084EF000085EF000086EF000087EF000050
-:10BE200088EF000089EF00008AEF00008BEF000030
-:10BE30008CEF00008DEF00008EEF00008FEF000010
-:10BE400090EF000091EF000092EF000093EF0000F0
-:10BE500094EF000095EF000096EF000097EF0000D0
-:10BE600098EF000099EF00009AEF00009BEF0000B0
-:10BE70009CEF00009DEF00009EEF00009FEF000090
-:10BE8000A0EF0000A1EF0000A2EF0000A3EF000070
-:10BE9000A4EF0000A5EF0000A6EF0000A7EF000050
-:10BEA000A8EF0000A9EF0000AAEF0000ABEF000030
-:10BEB000ACEF0000ADEF0000AEEF0000AFEF000010
-:10BEC000B0EF0000B1EF0000B2EF0000B3EF0000F0
-:10BED000B4EF0000B5EF0000B6EF0000B7EF0000D0
-:10BEE000B8EF0000B9EF0000BAEF0000BBEF0000B0
-:10BEF000BCEF0000BDEF0000BEEF0000BFEF000090
-:10BF0000C0EF0000C1EF0000C2EF0000C3EF00006F
-:10BF1000C4EF0000C5EF0000C6EF0000C7EF00004F
-:10BF2000C8EF0000C9EF0000CAEF0000CBEF00002F
-:10BF3000CCEF0000CDEF0000CEEF0000CFEF00000F
-:10BF4000D0EF0000D1EF0000D2EF0000D3EF0000EF
-:10BF5000D4EF0000D5EF0000D6EF0000D7EF0000CF
-:10BF6000D8EF0000D9EF0000DAEF0000DBEF0000AF
-:10BF7000DCEF0000DDEF0000DEEF0000DFEF00008F
-:10BF8000E0EF0000E1EF0000E2EF0000E3EF00006F
-:10BF9000E4EF0000E5EF0000E6EF0000E7EF00004F
-:10BFA000E8EF0000E9EF0000EAEF0000EBEF00002F
-:10BFB000ECEF0000EDEF0000EEEF0000EFEF00000F
-:10BFC000F0EF0000F1EF0000F2EF0000F3EF0000EF
-:10BFD000F4EF0000F5EF0000F6EF0000F7EF0000CF
-:10BFE000F8EF0000F9EF0000FAEF0000FBEF0000AF
-:10BFF000FCEF0000FDEF0000FEEF0000FFEF00008F
-:10C0000000F0000001F0000002F0000003F000006A
-:10C0100004F0000005F0000006F0000007F000004A
-:10C0200008F0000009F000000AF000000BF000002A
-:10C030000CF000000DF000000EF000000FF000000A
-:10C0400010F0000011F0000012F0000013F00000EA
-:10C0500014F0000015F0000016F0000017F00000CA
-:10C0600018F0000019F000001AF000001BF00000AA
-:10C070001CF000001DF000001EF000001FF000008A
-:10C0800020F0000021F0000022F0000023F000006A
-:10C0900024F0000025F0000026F0000027F000004A
-:10C0A00028F0000029F000002AF000002BF000002A
-:10C0B0002CF000002DF000002EF000002FF000000A
-:10C0C00030F0000031F0000032F0000033F00000EA
-:10C0D00034F0000035F0000036F0000037F00000CA
-:10C0E00038F0000039F000003AF000003BF00000AA
-:10C0F0003CF000003DF000003EF000003FF000008A
-:10C1000040F0000041F0000042F0000043F0000069
-:10C1100044F0000045F0000046F0000047F0000049
-:10C1200048F0000049F000004AF000004BF0000029
-:10C130004CF000004DF000004EF000004FF0000009
-:10C1400050F0000051F0000052F0000053F00000E9
-:10C1500054F0000055F0000056F0000057F00000C9
-:10C1600058F0000059F000005AF000005BF00000A9
-:10C170005CF000005DF000005EF000005FF0000089
-:10C1800060F0000061F0000062F0000063F0000069
-:10C1900064F0000065F0000066F0000067F0000049
-:10C1A00068F0000069F000006AF000006BF0000029
-:10C1B0006CF000006DF000006EF000006FF0000009
-:10C1C00070F0000071F0000072F0000073F00000E9
-:10C1D00074F0000075F0000076F0000077F00000C9
-:10C1E00078F0000079F000007AF000007BF00000A9
-:10C1F0007CF000007DF000007EF000007FF0000089
-:10C2000080F0000081F0000082F0000083F0000068
-:10C2100084F0000085F0000086F0000087F0000048
-:10C2200088F0000089F000008AF000008BF0000028
-:10C230008CF000008DF000008EF000008FF0000008
-:10C2400090F0000091F0000092F0000093F00000E8
-:10C2500094F0000095F0000096F0000097F00000C8
-:10C2600098F0000099F000009AF000009BF00000A8
-:10C270009CF000009DF000009EF000009FF0000088
-:10C28000A0F00000A1F00000A2F00000A3F0000068
-:10C29000A4F00000A5F00000A6F00000A7F0000048
-:10C2A000A8F00000A9F00000AAF00000ABF0000028
-:10C2B000ACF00000ADF00000AEF00000AFF0000008
-:10C2C000B0F00000B1F00000B2F00000B3F00000E8
-:10C2D000B4F00000B5F00000B6F00000B7F00000C8
-:10C2E000B8F00000B9F00000BAF00000BBF00000A8
-:10C2F000BCF00000BDF00000BEF00000BFF0000088
-:10C30000C0F00000C1F00000C2F00000C3F0000067
-:10C31000C4F00000C5F00000C6F00000C7F0000047
-:10C32000C8F00000C9F00000CAF00000CBF0000027
-:10C33000CCF00000CDF00000CEF00000CFF0000007
-:10C34000D0F00000D1F00000D2F00000D3F00000E7
-:10C35000D4F00000D5F00000D6F00000D7F00000C7
-:10C36000D8F00000D9F00000DAF00000DBF00000A7
-:10C37000DCF00000DDF00000DEF00000DFF0000087
-:10C38000E0F00000E1F00000E2F00000E3F0000067
-:10C39000E4F00000E5F00000E6F00000E7F0000047
-:10C3A000E8F00000E9F00000EAF00000EBF0000027
-:10C3B000ECF00000EDF00000EEF00000EFF0000007
-:10C3C000F0F00000F1F00000F2F00000F3F00000E7
-:10C3D000F4F00000F5F00000F6F00000F7F00000C7
-:10C3E000F8F00000F9F00000FAF00000FBF00000A7
-:10C3F000FCF00000FDF00000FEF00000FFF0000087
-:10C4000000F1000001F1000002F1000003F1000062
-:10C4100004F1000005F1000006F1000007F1000042
-:10C4200008F1000009F100000AF100000BF1000022
-:10C430000CF100000DF100000EF100000FF1000002
-:10C4400010F1000011F1000012F1000013F10000E2
-:10C4500014F1000015F1000016F1000017F10000C2
-:10C4600018F1000019F100001AF100001BF10000A2
-:10C470001CF100001DF100001EF100001FF1000082
-:10C4800020F1000021F1000022F1000023F1000062
-:10C4900024F1000025F1000026F1000027F1000042
-:10C4A00028F1000029F100002AF100002BF1000022
-:10C4B0002CF100002DF100002EF100002FF1000002
-:10C4C00030F1000031F1000032F1000033F10000E2
-:10C4D00034F1000035F1000036F1000037F10000C2
-:10C4E00038F1000039F100003AF100003BF10000A2
-:10C4F0003CF100003DF100003EF100003FF1000082
-:10C5000040F1000041F1000042F1000043F1000061
-:10C5100044F1000045F1000046F1000047F1000041
-:10C5200048F1000049F100004AF100004BF1000021
-:10C530004CF100004DF100004EF100004FF1000001
-:10C5400050F1000051F1000052F1000053F10000E1
-:10C5500054F1000055F1000056F1000057F10000C1
-:10C5600058F1000059F100005AF100005BF10000A1
-:10C570005CF100005DF100005EF100005FF1000081
-:10C5800060F1000061F1000062F1000063F1000061
-:10C5900064F1000065F1000066F1000067F1000041
-:10C5A00068F1000069F100006AF100006BF1000021
-:10C5B0006CF100006DF100006EF100006FF1000001
-:10C5C00070F1000071F1000072F1000073F10000E1
-:10C5D00074F1000075F1000076F1000077F10000C1
-:10C5E00078F1000079F100007AF100007BF10000A1
-:10C5F0007CF100007DF100007EF100007FF1000081
-:10C6000080F1000081F1000082F1000083F1000060
-:10C6100084F1000085F1000086F1000087F1000040
-:10C6200088F1000089F100008AF100008BF1000020
-:10C630008CF100008DF100008EF100008FF1000000
-:10C6400090F1000091F1000092F1000093F10000E0
-:10C6500094F1000095F1000096F1000097F10000C0
-:10C6600098F1000099F100009AF100009BF10000A0
-:10C670009CF100009DF100009EF100009FF1000080
-:10C68000A0F10000A1F10000A2F10000A3F1000060
-:10C69000A4F10000A5F10000A6F10000A7F1000040
-:10C6A000A8F10000A9F10000AAF10000ABF1000020
-:10C6B000ACF10000ADF10000AEF10000AFF1000000
-:10C6C000B0F10000B1F10000B2F10000B3F10000E0
-:10C6D000B4F10000B5F10000B6F10000B7F10000C0
-:10C6E000B8F10000B9F10000BAF10000BBF10000A0
-:10C6F000BCF10000BDF10000BEF10000BFF1000080
-:10C70000C0F10000C1F10000C2F10000C3F100005F
-:10C71000C4F10000C5F10000C6F10000C7F100003F
-:10C72000C8F10000C9F10000CAF10000CBF100001F
-:10C73000CCF10000CDF10000CEF10000CFF10000FF
-:10C74000D0F10000D1F10000D2F10000D3F10000DF
-:10C75000D4F10000D5F10000D6F10000D7F10000BF
-:10C76000D8F10000D9F10000DAF10000DBF100009F
-:10C77000DCF10000DDF10000DEF10000DFF100007F
-:10C78000E0F10000E1F10000E2F10000E3F100005F
-:10C79000E4F10000E5F10000E6F10000E7F100003F
-:10C7A000E8F10000E9F10000EAF10000EBF100001F
-:10C7B000ECF10000EDF10000EEF10000EFF10000FF
-:10C7C000F0F10000F1F10000F2F10000F3F10000DF
-:10C7D000F4F10000F5F10000F6F10000F7F10000BF
-:10C7E000F8F10000F9F10000FAF10000FBF100009F
-:10C7F000FCF10000FDF10000FEF10000FFF100007F
-:10C8000000F2000001F2000002F2000003F200005A
-:10C8100004F2000005F2000006F2000007F200003A
-:10C8200008F2000009F200000AF200000BF200001A
-:10C830000CF200000DF200000EF200000FF20000FA
-:10C8400010F2000011F2000012F2000013F20000DA
-:10C8500014F2000015F2000016F2000017F20000BA
-:10C8600018F2000019F200001AF200001BF200009A
-:10C870001CF200001DF200001EF200001FF200007A
-:10C8800020F2000021F2000022F2000023F200005A
-:10C8900024F2000025F2000026F2000027F200003A
-:10C8A00028F2000029F200002AF200002BF200001A
-:10C8B0002CF200002DF200002EF200002FF20000FA
-:10C8C00030F2000031F2000032F2000033F20000DA
-:10C8D00034F2000035F2000036F2000037F20000BA
-:10C8E00038F2000039F200003AF200003BF200009A
-:10C8F0003CF200003DF200003EF200003FF200007A
-:10C9000040F2000041F2000042F2000043F2000059
-:10C9100044F2000045F2000046F2000047F2000039
-:10C9200048F2000049F200004AF200004BF2000019
-:10C930004CF200004DF200004EF200004FF20000F9
-:10C9400050F2000051F2000052F2000053F20000D9
-:10C9500054F2000055F2000056F2000057F20000B9
-:10C9600058F2000059F200005AF200005BF2000099
-:10C970005CF200005DF200005EF200005FF2000079
-:10C9800060F2000061F2000062F2000063F2000059
-:10C9900064F2000065F2000066F2000067F2000039
-:10C9A00068F2000069F200006AF200006BF2000019
-:10C9B0006CF200006DF200006EF200006FF20000F9
-:10C9C00070F2000071F2000072F2000073F20000D9
-:10C9D00074F2000075F2000076F2000077F20000B9
-:10C9E00078F2000079F200007AF200007BF2000099
-:10C9F0007CF200007DF200007EF200007FF2000079
-:10CA000080F2000081F2000082F2000083F2000058
-:10CA100084F2000085F2000086F2000087F2000038
-:10CA200088F2000089F200008AF200008BF2000018
-:10CA30008CF200008DF200008EF200008FF20000F8
-:10CA400090F2000091F2000092F2000093F20000D8
-:10CA500094F2000095F2000096F2000097F20000B8
-:10CA600098F2000099F200009AF200009BF2000098
-:10CA70009CF200009DF200009EF200009FF2000078
-:10CA8000A0F20000A1F20000A2F20000A3F2000058
-:10CA9000A4F20000A5F20000A6F20000A7F2000038
-:10CAA000A8F20000A9F20000AAF20000ABF2000018
-:10CAB000ACF20000ADF20000AEF20000AFF20000F8
-:10CAC000B0F20000B1F20000B2F20000B3F20000D8
-:10CAD000B4F20000B5F20000B6F20000B7F20000B8
-:10CAE000B8F20000B9F20000BAF20000BBF2000098
-:10CAF000BCF20000BDF20000BEF20000BFF2000078
-:10CB0000C0F20000C1F20000C2F20000C3F2000057
-:10CB1000C4F20000C5F20000C6F20000C7F2000037
-:10CB2000C8F20000C9F20000CAF20000CBF2000017
-:10CB3000CCF20000CDF20000CEF20000CFF20000F7
-:10CB4000D0F20000D1F20000D2F20000D3F20000D7
-:10CB5000D4F20000D5F20000D6F20000D7F20000B7
-:10CB6000D8F20000D9F20000DAF20000DBF2000097
-:10CB7000DCF20000DDF20000DEF20000DFF2000077
-:10CB8000E0F20000E1F20000E2F20000E3F2000057
-:10CB9000E4F20000E5F20000E6F20000E7F2000037
-:10CBA000E8F20000E9F20000EAF20000EBF2000017
-:10CBB000ECF20000EDF20000EEF20000EFF20000F7
-:10CBC000F0F20000F1F20000F2F20000F3F20000D7
-:10CBD000F4F20000F5F20000F6F20000F7F20000B7
-:10CBE000F8F20000F9F20000FAF20000FBF2000097
-:10CBF000FCF20000FDF20000FEF20000FFF2000077
-:10CC000000F3000001F3000002F3000003F3000052
-:10CC100004F3000005F3000006F3000007F3000032
-:10CC200008F3000009F300000AF300000BF3000012
-:10CC30000CF300000DF300000EF300000FF30000F2
-:10CC400010F3000011F3000012F3000013F30000D2
-:10CC500014F3000015F3000016F3000017F30000B2
-:10CC600018F3000019F300001AF300001BF3000092
-:10CC70001CF300001DF300001EF300001FF3000072
-:10CC800020F3000021F3000022F3000023F3000052
-:10CC900024F3000025F3000026F3000027F3000032
-:10CCA00028F3000029F300002AF300002BF3000012
-:10CCB0002CF300002DF300002EF300002FF30000F2
-:10CCC00030F3000031F3000032F3000033F30000D2
-:10CCD00034F3000035F3000036F3000037F30000B2
-:10CCE00038F3000039F300003AF300003BF3000092
-:10CCF0003CF300003DF300003EF300003FF3000072
-:10CD000040F3000041F3000042F3000043F3000051
-:10CD100044F3000045F3000046F3000047F3000031
-:10CD200048F3000049F300004AF300004BF3000011
-:10CD30004CF300004DF300004EF300004FF30000F1
-:10CD400050F3000051F3000052F3000053F30000D1
-:10CD500054F3000055F3000056F3000057F30000B1
-:10CD600058F3000059F300005AF300005BF3000091
-:10CD70005CF300005DF300005EF300005FF3000071
-:10CD800060F3000061F3000062F3000063F3000051
-:10CD900064F3000065F3000066F3000067F3000031
-:10CDA00068F3000069F300006AF300006BF3000011
-:10CDB0006CF300006DF300006EF300006FF30000F1
-:10CDC00070F3000071F3000072F3000073F30000D1
-:10CDD00074F3000075F3000076F3000077F30000B1
-:10CDE00078F3000079F300007AF300007BF3000091
-:10CDF0007CF300007DF300007EF300007FF3000071
-:10CE000080F3000081F3000082F3000083F3000050
-:10CE100084F3000085F3000086F3000087F3000030
-:10CE200088F3000089F300008AF300008BF3000010
-:10CE30008CF300008DF300008EF300008FF30000F0
-:10CE400090F3000091F3000092F3000093F30000D0
-:10CE500094F3000095F3000096F3000097F30000B0
-:10CE600098F3000099F300009AF300009BF3000090
-:10CE70009CF300009DF300009EF300009FF3000070
-:10CE8000A0F30000A1F30000A2F30000A3F3000050
-:10CE9000A4F30000A5F30000A6F30000A7F3000030
-:10CEA000A8F30000A9F30000AAF30000ABF3000010
-:10CEB000ACF30000ADF30000AEF30000AFF30000F0
-:10CEC000B0F30000B1F30000B2F30000B3F30000D0
-:10CED000B4F30000B5F30000B6F30000B7F30000B0
-:10CEE000B8F30000B9F30000BAF30000BBF3000090
-:10CEF000BCF30000BDF30000BEF30000BFF3000070
-:10CF0000C0F30000C1F30000C2F30000C3F300004F
-:10CF1000C4F30000C5F30000C6F30000C7F300002F
-:10CF2000C8F30000C9F30000CAF30000CBF300000F
-:10CF3000CCF30000CDF30000CEF30000CFF30000EF
-:10CF4000D0F30000D1F30000D2F30000D3F30000CF
-:10CF5000D4F30000D5F30000D6F30000D7F30000AF
-:10CF6000D8F30000D9F30000DAF30000DBF300008F
-:10CF7000DCF30000DDF30000DEF30000DFF300006F
-:10CF8000E0F30000E1F30000E2F30000E3F300004F
-:10CF9000E4F30000E5F30000E6F30000E7F300002F
-:10CFA000E8F30000E9F30000EAF30000EBF300000F
-:10CFB000ECF30000EDF30000EEF30000EFF30000EF
-:10CFC000F0F30000F1F30000F2F30000F3F30000CF
-:10CFD000F4F30000F5F30000F6F30000F7F30000AF
-:10CFE000F8F30000F9F30000FAF30000FBF300008F
-:10CFF000FCF30000FDF30000FEF30000FFF300006F
-:10D0000000F4000001F4000002F4000003F400004A
-:10D0100004F4000005F4000006F4000007F400002A
-:10D0200008F4000009F400000AF400000BF400000A
-:10D030000CF400000DF400000EF400000FF40000EA
-:10D0400010F4000011F4000012F4000013F40000CA
-:10D0500014F4000015F4000016F4000017F40000AA
-:10D0600018F4000019F400001AF400001BF400008A
-:10D070001CF400001DF400001EF400001FF400006A
-:10D0800020F4000021F4000022F4000023F400004A
-:10D0900024F4000025F4000026F4000027F400002A
-:10D0A00028F4000029F400002AF400002BF400000A
-:10D0B0002CF400002DF400002EF400002FF40000EA
-:10D0C00030F4000031F4000032F4000033F40000CA
-:10D0D00034F4000035F4000036F4000037F40000AA
-:10D0E00038F4000039F400003AF400003BF400008A
-:10D0F0003CF400003DF400003EF400003FF400006A
-:10D1000040F4000041F4000042F4000043F4000049
-:10D1100044F4000045F4000046F4000047F4000029
-:10D1200048F4000049F400004AF400004BF4000009
-:10D130004CF400004DF400004EF400004FF40000E9
-:10D1400050F4000051F4000052F4000053F40000C9
-:10D1500054F4000055F4000056F4000057F40000A9
-:10D1600058F4000059F400005AF400005BF4000089
-:10D170005CF400005DF400005EF400005FF4000069
-:10D1800060F4000061F4000062F4000063F4000049
-:10D1900064F4000065F4000066F4000067F4000029
-:10D1A00068F4000069F400006AF400006BF4000009
-:10D1B0006CF400006DF400006EF400006FF40000E9
-:10D1C00070F4000071F4000072F4000073F40000C9
-:10D1D00074F4000075F4000076F4000077F40000A9
-:10D1E00078F4000079F400007AF400007BF4000089
-:10D1F0007CF400007DF400007EF400007FF4000069
-:10D2000080F4000081F4000082F4000083F4000048
-:10D2100084F4000085F4000086F4000087F4000028
-:10D2200088F4000089F400008AF400008BF4000008
-:10D230008CF400008DF400008EF400008FF40000E8
-:10D2400090F4000091F4000092F4000093F40000C8
-:10D2500094F4000095F4000096F4000097F40000A8
-:10D2600098F4000099F400009AF400009BF4000088
-:10D270009CF400009DF400009EF400009FF4000068
-:10D28000A0F40000A1F40000A2F40000A3F4000048
-:10D29000A4F40000A5F40000A6F40000A7F4000028
-:10D2A000A8F40000A9F40000AAF40000ABF4000008
-:10D2B000ACF40000ADF40000AEF40000AFF40000E8
-:10D2C000B0F40000B1F40000B2F40000B3F40000C8
-:10D2D000B4F40000B5F40000B6F40000B7F40000A8
-:10D2E000B8F40000B9F40000BAF40000BBF4000088
-:10D2F000BCF40000BDF40000BEF40000BFF4000068
-:10D30000C0F40000C1F40000C2F40000C3F4000047
-:10D31000C4F40000C5F40000C6F40000C7F4000027
-:10D32000C8F40000C9F40000CAF40000CBF4000007
-:10D33000CCF40000CDF40000CEF40000CFF40000E7
-:10D34000D0F40000D1F40000D2F40000D3F40000C7
-:10D35000D4F40000D5F40000D6F40000D7F40000A7
-:10D36000D8F40000D9F40000DAF40000DBF4000087
-:10D37000DCF40000DDF40000DEF40000DFF4000067
-:10D38000E0F40000E1F40000E2F40000E3F4000047
-:10D39000E4F40000E5F40000E6F40000E7F4000027
-:10D3A000E8F40000E9F40000EAF40000EBF4000007
-:10D3B000ECF40000EDF40000EEF40000EFF40000E7
-:10D3C000F0F40000F1F40000F2F40000F3F40000C7
-:10D3D000F4F40000F5F40000F6F40000F7F40000A7
-:10D3E000F8F40000F9F40000FAF40000FBF4000087
-:10D3F000FCF40000FDF40000FEF40000FFF4000067
-:10D4000000F5000001F5000002F5000003F5000042
-:10D4100004F5000005F5000006F5000007F5000022
-:10D4200008F5000009F500000AF500000BF5000002
-:10D430000CF500000DF500000EF500000FF50000E2
-:10D4400010F5000011F5000012F5000013F50000C2
-:10D4500014F5000015F5000016F5000017F50000A2
-:10D4600018F5000019F500001AF500001BF5000082
-:10D470001CF500001DF500001EF500001FF5000062
-:10D4800020F5000021F5000022F5000023F5000042
-:10D4900024F5000025F5000026F5000027F5000022
-:10D4A00028F5000029F500002AF500002BF5000002
-:10D4B0002CF500002DF500002EF500002FF50000E2
-:10D4C00030F5000031F5000032F5000033F50000C2
-:10D4D00034F5000035F5000036F5000037F50000A2
-:10D4E00038F5000039F500003AF500003BF5000082
-:10D4F0003CF500003DF500003EF500003FF5000062
-:10D5000040F5000041F5000042F5000043F5000041
-:10D5100044F5000045F5000046F5000047F5000021
-:10D5200048F5000049F500004AF500004BF5000001
-:10D530004CF500004DF500004EF500004FF50000E1
-:10D5400050F5000051F5000052F5000053F50000C1
-:10D5500054F5000055F5000056F5000057F50000A1
-:10D5600058F5000059F500005AF500005BF5000081
-:10D570005CF500005DF500005EF500005FF5000061
-:10D5800060F5000061F5000062F5000063F5000041
-:10D5900064F5000065F5000066F5000067F5000021
-:10D5A00068F5000069F500006AF500006BF5000001
-:10D5B0006CF500006DF500006EF500006FF50000E1
-:10D5C00070F5000071F5000072F5000073F50000C1
-:10D5D00074F5000075F5000076F5000077F50000A1
-:10D5E00078F5000079F500007AF500007BF5000081
-:10D5F0007CF500007DF500007EF500007FF5000061
-:10D6000080F5000081F5000082F5000083F5000040
-:10D6100084F5000085F5000086F5000087F5000020
-:10D6200088F5000089F500008AF500008BF5000000
-:10D630008CF500008DF500008EF500008FF50000E0
-:10D6400090F5000091F5000092F5000093F50000C0
-:10D6500094F5000095F5000096F5000097F50000A0
-:10D6600098F5000099F500009AF500009BF5000080
-:10D670009CF500009DF500009EF500009FF5000060
-:10D68000A0F50000A1F50000A2F50000A3F5000040
-:10D69000A4F50000A5F50000A6F50000A7F5000020
-:10D6A000A8F50000A9F50000AAF50000ABF5000000
-:10D6B000ACF50000ADF50000AEF50000AFF50000E0
-:10D6C000B0F50000B1F50000B2F50000B3F50000C0
-:10D6D000B4F50000B5F50000B6F50000B7F50000A0
-:10D6E000B8F50000B9F50000BAF50000BBF5000080
-:10D6F000BCF50000BDF50000BEF50000BFF5000060
-:10D70000C0F50000C1F50000C2F50000C3F500003F
-:10D71000C4F50000C5F50000C6F50000C7F500001F
-:10D72000C8F50000C9F50000CAF50000CBF50000FF
-:10D73000CCF50000CDF50000CEF50000CFF50000DF
-:10D74000D0F50000D1F50000D2F50000D3F50000BF
-:10D75000D4F50000D5F50000D6F50000D7F500009F
-:10D76000D8F50000D9F50000DAF50000DBF500007F
-:10D77000DCF50000DDF50000DEF50000DFF500005F
-:10D78000E0F50000E1F50000E2F50000E3F500003F
-:10D79000E4F50000E5F50000E6F50000E7F500001F
-:10D7A000E8F50000E9F50000EAF50000EBF50000FF
-:10D7B000ECF50000EDF50000EEF50000EFF50000DF
-:10D7C000F0F50000F1F50000F2F50000F3F50000BF
-:10D7D000F4F50000F5F50000F6F50000F7F500009F
-:10D7E000F8F50000F9F50000FAF50000FBF500007F
-:10D7F000FCF50000FDF50000FEF50000FFF500005F
-:10D8000000F6000001F6000002F6000003F600003A
-:10D8100004F6000005F6000006F6000007F600001A
-:10D8200008F6000009F600000AF600000BF60000FA
-:10D830000CF600000DF600000EF600000FF60000DA
-:10D8400010F6000011F6000012F6000013F60000BA
-:10D8500014F6000015F6000016F6000017F600009A
-:10D8600018F6000019F600001AF600001BF600007A
-:10D870001CF600001DF600001EF600001FF600005A
-:10D8800020F6000021F6000022F6000023F600003A
-:10D8900024F6000025F6000026F6000027F600001A
-:10D8A00028F6000029F600002AF600002BF60000FA
-:10D8B0002CF600002DF600002EF600002FF60000DA
-:10D8C00030F6000031F6000032F6000033F60000BA
-:10D8D00034F6000035F6000036F6000037F600009A
-:10D8E00038F6000039F600003AF600003BF600007A
-:10D8F0003CF600003DF600003EF600003FF600005A
-:10D9000040F6000041F6000042F6000043F6000039
-:10D9100044F6000045F6000046F6000047F6000019
-:10D9200048F6000049F600004AF600004BF60000F9
-:10D930004CF600004DF600004EF600004FF60000D9
-:10D9400050F6000051F6000052F6000053F60000B9
-:10D9500054F6000055F6000056F6000057F6000099
-:10D9600058F6000059F600005AF600005BF6000079
-:10D970005CF600005DF600005EF600005FF6000059
-:10D9800060F6000061F6000062F6000063F6000039
-:10D9900064F6000065F6000066F6000067F6000019
-:10D9A00068F6000069F600006AF600006BF60000F9
-:10D9B0006CF600006DF600006EF600006FF60000D9
-:10D9C00070F6000071F6000072F6000073F60000B9
-:10D9D00074F6000075F6000076F6000077F6000099
-:10D9E00078F6000079F600007AF600007BF6000079
-:10D9F0007CF600007DF600007EF600007FF6000059
-:10DA000080F6000081F6000082F6000083F6000038
-:10DA100084F6000085F6000086F6000087F6000018
-:10DA200088F6000089F600008AF600008BF60000F8
-:10DA30008CF600008DF600008EF600008FF60000D8
-:10DA400090F6000091F6000092F6000093F60000B8
-:10DA500094F6000095F6000096F6000097F6000098
-:10DA600098F6000099F600009AF600009BF6000078
-:10DA70009CF600009DF600009EF600009FF6000058
-:10DA8000A0F60000A1F60000A2F60000A3F6000038
-:10DA9000A4F60000A5F60000A6F60000A7F6000018
-:10DAA000A8F60000A9F60000AAF60000ABF60000F8
-:10DAB000ACF60000ADF60000AEF60000AFF60000D8
-:10DAC000B0F60000B1F60000B2F60000B3F60000B8
-:10DAD000B4F60000B5F60000B6F60000B7F6000098
-:10DAE000B8F60000B9F60000BAF60000BBF6000078
-:10DAF000BCF60000BDF60000BEF60000BFF6000058
-:10DB0000C0F60000C1F60000C2F60000C3F6000037
-:10DB1000C4F60000C5F60000C6F60000C7F6000017
-:10DB2000C8F60000C9F60000CAF60000CBF60000F7
-:10DB3000CCF60000CDF60000CEF60000CFF60000D7
-:10DB4000D0F60000D1F60000D2F60000D3F60000B7
-:10DB5000D4F60000D5F60000D6F60000D7F6000097
-:10DB6000D8F60000D9F60000DAF60000DBF6000077
-:10DB7000DCF60000DDF60000DEF60000DFF6000057
-:10DB8000E0F60000E1F60000E2F60000E3F6000037
-:10DB9000E4F60000E5F60000E6F60000E7F6000017
-:10DBA000E8F60000E9F60000EAF60000EBF60000F7
-:10DBB000ECF60000EDF60000EEF60000EFF60000D7
-:10DBC000F0F60000F1F60000F2F60000F3F60000B7
-:10DBD000F4F60000F5F60000F6F60000F7F6000097
-:10DBE000F8F60000F9F60000FAF60000FBF6000077
-:10DBF000FCF60000FDF60000FEF60000FFF6000057
-:10DC000000F7000001F7000002F7000003F7000032
-:10DC100004F7000005F7000006F7000007F7000012
-:10DC200008F7000009F700000AF700000BF70000F2
-:10DC30000CF700000DF700000EF700000FF70000D2
-:10DC400010F7000011F7000012F7000013F70000B2
-:10DC500014F7000015F7000016F7000017F7000092
-:10DC600018F7000019F700001AF700001BF7000072
-:10DC70001CF700001DF700001EF700001FF7000052
-:10DC800020F7000021F7000022F7000023F7000032
-:10DC900024F7000025F7000026F7000027F7000012
-:10DCA00028F7000029F700002AF700002BF70000F2
-:10DCB0002CF700002DF700002EF700002FF70000D2
-:10DCC00030F7000031F7000032F7000033F70000B2
-:10DCD00034F7000035F7000036F7000037F7000092
-:10DCE00038F7000039F700003AF700003BF7000072
-:10DCF0003CF700003DF700003EF700003FF7000052
-:10DD000040F7000041F7000042F7000043F7000031
-:10DD100044F7000045F7000046F7000047F7000011
-:10DD200048F7000049F700004AF700004BF70000F1
-:10DD30004CF700004DF700004EF700004FF70000D1
-:10DD400050F7000051F7000052F7000053F70000B1
-:10DD500054F7000055F7000056F7000057F7000091
-:10DD600058F7000059F700005AF700005BF7000071
-:10DD70005CF700005DF700005EF700005FF7000051
-:10DD800060F7000061F7000062F7000063F7000031
-:10DD900064F7000065F7000066F7000067F7000011
-:10DDA00068F7000069F700006AF700006BF70000F1
-:10DDB0006CF700006DF700006EF700006FF70000D1
-:10DDC00070F7000071F7000072F7000073F70000B1
-:10DDD00074F7000075F7000076F7000077F7000091
-:10DDE00078F7000079F700007AF700007BF7000071
-:10DDF0007CF700007DF700007EF700007FF7000051
-:10DE000080F7000081F7000082F7000083F7000030
-:10DE100084F7000085F7000086F7000087F7000010
-:10DE200088F7000089F700008AF700008BF70000F0
-:10DE30008CF700008DF700008EF700008FF70000D0
-:10DE400090F7000091F7000092F7000093F70000B0
-:10DE500094F7000095F7000096F7000097F7000090
-:10DE600098F7000099F700009AF700009BF7000070
-:10DE70009CF700009DF700009EF700009FF7000050
-:10DE8000A0F70000A1F70000A2F70000A3F7000030
-:10DE9000A4F70000A5F70000A6F70000A7F7000010
-:10DEA000A8F70000A9F70000AAF70000ABF70000F0
-:10DEB000ACF70000ADF70000AEF70000AFF70000D0
-:10DEC000B0F70000B1F70000B2F70000B3F70000B0
-:10DED000B4F70000B5F70000B6F70000B7F7000090
-:10DEE000B8F70000B9F70000BAF70000BBF7000070
-:10DEF000BCF70000BDF70000BEF70000BFF7000050
-:10DF0000C0F70000C1F70000C2F70000C3F700002F
-:10DF1000C4F70000C5F70000C6F70000C7F700000F
-:10DF2000C8F70000C9F70000CAF70000CBF70000EF
-:10DF3000CCF70000CDF70000CEF70000CFF70000CF
-:10DF4000D0F70000D1F70000D2F70000D3F70000AF
-:10DF5000D4F70000D5F70000D6F70000D7F700008F
-:10DF6000D8F70000D9F70000DAF70000DBF700006F
-:10DF7000DCF70000DDF70000DEF70000DFF700004F
-:10DF8000E0F70000E1F70000E2F70000E3F700002F
-:10DF9000E4F70000E5F70000E6F70000E7F700000F
-:10DFA000E8F70000E9F70000EAF70000EBF70000EF
-:10DFB000ECF70000EDF70000EEF70000EFF70000CF
-:10DFC000F0F70000F1F70000F2F70000F3F70000AF
-:10DFD000F4F70000F5F70000F6F70000F7F700008F
-:10DFE000F8F70000F9F70000FAF70000FBF700006F
-:10DFF000FCF70000FDF70000FEF70000FFF700004F
-:10E0000000F8000001F8000002F8000003F800002A
-:10E0100004F8000005F8000006F8000007F800000A
-:10E0200008F8000009F800000AF800000BF80000EA
-:10E030000CF800000DF800000EF800000FF80000CA
-:10E0400010F8000011F8000012F8000013F80000AA
-:10E0500014F8000015F8000016F8000017F800008A
-:10E0600018F8000019F800001AF800001BF800006A
-:10E070001CF800001DF800001EF800001FF800004A
-:10E0800020F8000021F8000022F8000023F800002A
-:10E0900024F8000025F8000026F8000027F800000A
-:10E0A00028F8000029F800002AF800002BF80000EA
-:10E0B0002CF800002DF800002EF800002FF80000CA
-:10E0C00030F8000031F8000032F8000033F80000AA
-:10E0D00034F8000035F8000036F8000037F800008A
-:10E0E00038F8000039F800003AF800003BF800006A
-:10E0F0003CF800003DF800003EF800003FF800004A
-:10E1000040F8000041F8000042F8000043F8000029
-:10E1100044F8000045F8000046F8000047F8000009
-:10E1200048F8000049F800004AF800004BF80000E9
-:10E130004CF800004DF800004EF800004FF80000C9
-:10E1400050F8000051F8000052F8000053F80000A9
-:10E1500054F8000055F8000056F8000057F8000089
-:10E1600058F8000059F800005AF800005BF8000069
-:10E170005CF800005DF800005EF800005FF8000049
-:10E1800060F8000061F8000062F8000063F8000029
-:10E1900064F8000065F8000066F8000067F8000009
-:10E1A00068F8000069F800006AF800006BF80000E9
-:10E1B0006CF800006DF800006EF800006FF80000C9
-:10E1C00070F8000071F8000072F8000073F80000A9
-:10E1D00074F8000075F8000076F8000077F8000089
-:10E1E00078F8000079F800007AF800007BF8000069
-:10E1F0007CF800007DF800007EF800007FF8000049
-:10E2000080F8000081F8000082F8000083F8000028
-:10E2100084F8000085F8000086F8000087F8000008
-:10E2200088F8000089F800008AF800008BF80000E8
-:10E230008CF800008DF800008EF800008FF80000C8
-:10E2400090F8000091F8000092F8000093F80000A8
-:10E2500094F8000095F8000096F8000097F8000088
-:10E2600098F8000099F800009AF800009BF8000068
-:10E270009CF800009DF800009EF800009FF8000048
-:10E28000A0F80000A1F80000A2F80000A3F8000028
-:10E29000A4F80000A5F80000A6F80000A7F8000008
-:10E2A000A8F80000A9F80000AAF80000ABF80000E8
-:10E2B000ACF80000ADF80000AEF80000AFF80000C8
-:10E2C000B0F80000B1F80000B2F80000B3F80000A8
-:10E2D000B4F80000B5F80000B6F80000B7F8000088
-:10E2E000B8F80000B9F80000BAF80000BBF8000068
-:10E2F000BCF80000BDF80000BEF80000BFF8000048
-:10E30000C0F80000C1F80000C2F80000C3F8000027
-:10E31000C4F80000C5F80000C6F80000C7F8000007
-:10E32000C8F80000C9F80000CAF80000CBF80000E7
-:10E33000CCF80000CDF80000CEF80000CFF80000C7
-:10E34000D0F80000D1F80000D2F80000D3F80000A7
-:10E35000D4F80000D5F80000D6F80000D7F8000087
-:10E36000D8F80000D9F80000DAF80000DBF8000067
-:10E37000DCF80000DDF80000DEF80000DFF8000047
-:10E38000E0F80000E1F80000E2F80000E3F8000027
-:10E39000E4F80000E5F80000E6F80000E7F8000007
-:10E3A000E8F80000E9F80000EAF80000EBF80000E7
-:10E3B000ECF80000EDF80000EEF80000EFF80000C7
-:10E3C000F0F80000F1F80000F2F80000F3F80000A7
-:10E3D000F4F80000F5F80000F6F80000F7F8000087
-:10E3E000F8F80000F9F80000FAF80000FBF8000067
-:10E3F000FCF80000FDF80000FEF80000FFF8000047
-:10E4000000F9000001F9000002F9000003F9000022
-:10E4100004F9000005F9000006F9000007F9000002
-:10E4200008F9000009F900000AF900000BF90000E2
-:10E430000CF900000DF900000EF900000FF90000C2
-:10E4400010F9000011F9000012F9000013F90000A2
-:10E4500014F9000015F9000016F9000017F9000082
-:10E4600018F9000019F900001AF900001BF9000062
-:10E470001CF900001DF900001EF900001FF9000042
-:10E4800020F9000021F9000022F9000023F9000022
-:10E4900024F9000025F9000026F9000027F9000002
-:10E4A00028F9000029F900002AF900002BF90000E2
-:10E4B0002CF900002DF900002EF900002FF90000C2
-:10E4C00030F9000031F9000032F9000033F90000A2
-:10E4D00034F9000035F9000036F9000037F9000082
-:10E4E00038F9000039F900003AF900003BF9000062
-:10E4F0003CF900003DF900003EF900003FF9000042
-:10E5000040F9000041F9000042F9000043F9000021
-:10E5100044F9000045F9000046F9000047F9000001
-:10E5200048F9000049F900004AF900004BF90000E1
-:10E530004CF900004DF900004EF900004FF90000C1
-:10E5400050F9000051F9000052F9000053F90000A1
-:10E5500054F9000055F9000056F9000057F9000081
-:10E5600058F9000059F900005AF900005BF9000061
-:10E570005CF900005DF900005EF900005FF9000041
-:10E5800060F9000061F9000062F9000063F9000021
-:10E5900064F9000065F9000066F9000067F9000001
-:10E5A00068F9000069F900006AF900006BF90000E1
-:10E5B0006CF900006DF900006EF900006FF90000C1
-:10E5C00070F9000071F9000072F9000073F90000A1
-:10E5D00074F9000075F9000076F9000077F9000081
-:10E5E00078F9000079F900007AF900007BF9000061
-:10E5F0007CF900007DF900007EF900007FF9000041
-:10E6000080F9000081F9000082F9000083F9000020
-:10E6100084F9000085F9000086F9000087F9000000
-:10E6200088F9000089F900008AF900008BF90000E0
-:10E630008CF900008DF900008EF900008FF90000C0
-:10E6400090F9000091F9000092F9000093F90000A0
-:10E6500094F9000095F9000096F9000097F9000080
-:10E6600098F9000099F900009AF900009BF9000060
-:10E670009CF900009DF900009EF900009FF9000040
-:10E68000A0F90000A1F90000A2F90000A3F9000020
-:10E69000A4F90000A5F90000A6F90000A7F9000000
-:10E6A000A8F90000A9F90000AAF90000ABF90000E0
-:10E6B000ACF90000ADF90000AEF90000AFF90000C0
-:10E6C000B0F90000B1F90000B2F90000B3F90000A0
-:10E6D000B4F90000B5F90000B6F90000B7F9000080
-:10E6E000B8F90000B9F90000BAF90000BBF9000060
-:10E6F000BCF90000BDF90000BEF90000BFF9000040
-:10E70000C0F90000C1F90000C2F90000C3F900001F
-:10E71000C4F90000C5F90000C6F90000C7F90000FF
-:10E72000C8F90000C9F90000CAF90000CBF90000DF
-:10E73000CCF90000CDF90000CEF90000CFF90000BF
-:10E74000D0F90000D1F90000D2F90000D3F900009F
-:10E75000D4F90000D5F90000D6F90000D7F900007F
-:10E76000D8F90000D9F90000DAF90000DBF900005F
-:10E77000DCF90000DDF90000DEF90000DFF900003F
-:10E78000E0F90000E1F90000E2F90000E3F900001F
-:10E79000E4F90000E5F90000E6F90000E7F90000FF
-:10E7A000E8F90000E9F90000EAF90000EBF90000DF
-:10E7B000ECF90000EDF90000EEF90000EFF90000BF
-:10E7C000F0F90000F1F90000F2F90000F3F900009F
-:10E7D000F4F90000F5F90000F6F90000F7F900007F
-:10E7E000F8F90000F9F90000FAF90000FBF900005F
-:10E7F000FCF90000FDF90000FEF90000FFF900003F
-:10E8000000FA000001FA000002FA000003FA00001A
-:10E8100004FA000005FA000006FA000007FA0000FA
-:10E8200008FA000009FA00000AFA00000BFA0000DA
-:10E830000CFA00000DFA00000EFA00000FFA0000BA
-:10E8400010FA000011FA000012FA000013FA00009A
-:10E8500014FA000015FA000016FA000017FA00007A
-:10E8600018FA000019FA00001AFA00001BFA00005A
-:10E870001CFA00001DFA00001EFA00001FFA00003A
-:10E8800020FA000021FA000022FA000023FA00001A
-:10E8900024FA000025FA000026FA000027FA0000FA
-:10E8A00028FA000029FA00002AFA00002BFA0000DA
-:10E8B0002CFA00002DFA00002EFA00002FFA0000BA
-:10E8C00030FA000031FA000032FA000033FA00009A
-:10E8D00034FA000035FA000036FA000037FA00007A
-:10E8E00038FA000039FA00003AFA00003BFA00005A
-:10E8F0003CFA00003DFA00003EFA00003FFA00003A
-:10E9000040FA000041FA000042FA000043FA000019
-:10E9100044FA000045FA000046FA000047FA0000F9
-:10E9200048FA000049FA00004AFA00004BFA0000D9
-:10E930004CFA00004DFA00004EFA00004FFA0000B9
-:10E9400050FA000051FA000052FA000053FA000099
-:10E9500054FA000055FA000056FA000057FA000079
-:10E9600058FA000059FA00005AFA00005BFA000059
-:10E970005CFA00005DFA00005EFA00005FFA000039
-:10E9800060FA000061FA000062FA000063FA000019
-:10E9900064FA000065FA000066FA000067FA0000F9
-:10E9A00068FA000069FA00006AFA00006BFA0000D9
-:10E9B0006CFA00006DFA00006EFA00006FFA0000B9
-:10E9C00070FA000071FA000072FA000073FA000099
-:10E9D00074FA000075FA000076FA000077FA000079
-:10E9E00078FA000079FA00007AFA00007BFA000059
-:10E9F0007CFA00007DFA00007EFA00007FFA000039
-:10EA000080FA000081FA000082FA000083FA000018
-:10EA100084FA000085FA000086FA000087FA0000F8
-:10EA200088FA000089FA00008AFA00008BFA0000D8
-:10EA30008CFA00008DFA00008EFA00008FFA0000B8
-:10EA400090FA000091FA000092FA000093FA000098
-:10EA500094FA000095FA000096FA000097FA000078
-:10EA600098FA000099FA00009AFA00009BFA000058
-:10EA70009CFA00009DFA00009EFA00009FFA000038
-:10EA8000A0FA0000A1FA0000A2FA0000A3FA000018
-:10EA9000A4FA0000A5FA0000A6FA0000A7FA0000F8
-:10EAA000A8FA0000A9FA0000AAFA0000ABFA0000D8
-:10EAB000ACFA0000ADFA0000AEFA0000AFFA0000B8
-:10EAC000B0FA0000B1FA0000B2FA0000B3FA000098
-:10EAD000B4FA0000B5FA0000B6FA0000B7FA000078
-:10EAE000B8FA0000B9FA0000BAFA0000BBFA000058
-:10EAF000BCFA0000BDFA0000BEFA0000BFFA000038
-:10EB0000C0FA0000C1FA0000C2FA0000C3FA000017
-:10EB1000C4FA0000C5FA0000C6FA0000C7FA0000F7
-:10EB2000C8FA0000C9FA0000CAFA0000CBFA0000D7
-:10EB3000CCFA0000CDFA0000CEFA0000CFFA0000B7
-:10EB4000D0FA0000D1FA0000D2FA0000D3FA000097
-:10EB5000D4FA0000D5FA0000D6FA0000D7FA000077
-:10EB6000D8FA0000D9FA0000DAFA0000DBFA000057
-:10EB7000DCFA0000DDFA0000DEFA0000DFFA000037
-:10EB8000E0FA0000E1FA0000E2FA0000E3FA000017
-:10EB9000E4FA0000E5FA0000E6FA0000E7FA0000F7
-:10EBA000E8FA0000E9FA0000EAFA0000EBFA0000D7
-:10EBB000ECFA0000EDFA0000EEFA0000EFFA0000B7
-:10EBC000F0FA0000F1FA0000F2FA0000F3FA000097
-:10EBD000F4FA0000F5FA0000F6FA0000F7FA000077
-:10EBE000F8FA0000F9FA0000FAFA0000FBFA000057
-:10EBF000FCFA0000FDFA0000FEFA0000FFFA000037
-:10EC000000FB000001FB000002FB000003FB000012
-:10EC100004FB000005FB000006FB000007FB0000F2
-:10EC200008FB000009FB00000AFB00000BFB0000D2
-:10EC30000CFB00000DFB00000EFB00000FFB0000B2
-:10EC400010FB000011FB000012FB000013FB000092
-:10EC500014FB000015FB000016FB000017FB000072
-:10EC600018FB000019FB00001AFB00001BFB000052
-:10EC70001CFB00001DFB00001EFB00001FFB000032
-:10EC800020FB000021FB000022FB000023FB000012
-:10EC900024FB000025FB000026FB000027FB0000F2
-:10ECA00028FB000029FB00002AFB00002BFB0000D2
-:10ECB0002CFB00002DFB00002EFB00002FFB0000B2
-:10ECC00030FB000031FB000032FB000033FB000092
-:10ECD00034FB000035FB000036FB000037FB000072
-:10ECE00038FB000039FB00003AFB00003BFB000052
-:10ECF0003CFB00003DFB00003EFB00003FFB000032
-:10ED000040FB000041FB000042FB000043FB000011
-:10ED100044FB000045FB000046FB000047FB0000F1
-:10ED200048FB000049FB00004AFB00004BFB0000D1
-:10ED30004CFB00004DFB00004EFB00004FFB0000B1
-:10ED400050FB000051FB000052FB000053FB000091
-:10ED500054FB000055FB000056FB000057FB000071
-:10ED600058FB000059FB00005AFB00005BFB000051
-:10ED70005CFB00005DFB00005EFB00005FFB000031
-:10ED800060FB000061FB000062FB000063FB000011
-:10ED900064FB000065FB000066FB000067FB0000F1
-:10EDA00068FB000069FB00006AFB00006BFB0000D1
-:10EDB0006CFB00006DFB00006EFB00006FFB0000B1
-:10EDC00070FB000071FB000072FB000073FB000091
-:10EDD00074FB000075FB000076FB000077FB000071
-:10EDE00078FB000079FB00007AFB00007BFB000051
-:10EDF0007CFB00007DFB00007EFB00007FFB000031
-:10EE000080FB000081FB000082FB000083FB000010
-:10EE100084FB000085FB000086FB000087FB0000F0
-:10EE200088FB000089FB00008AFB00008BFB0000D0
-:10EE30008CFB00008DFB00008EFB00008FFB0000B0
-:10EE400090FB000091FB000092FB000093FB000090
-:10EE500094FB000095FB000096FB000097FB000070
-:10EE600098FB000099FB00009AFB00009BFB000050
-:10EE70009CFB00009DFB00009EFB00009FFB000030
-:10EE8000A0FB0000A1FB0000A2FB0000A3FB000010
-:10EE9000A4FB0000A5FB0000A6FB0000A7FB0000F0
-:10EEA000A8FB0000A9FB0000AAFB0000ABFB0000D0
-:10EEB000ACFB0000ADFB0000AEFB0000AFFB0000B0
-:10EEC000B0FB0000B1FB0000B2FB0000B3FB000090
-:10EED000B4FB0000B5FB0000B6FB0000B7FB000070
-:10EEE000B8FB0000B9FB0000BAFB0000BBFB000050
-:10EEF000BCFB0000BDFB0000BEFB0000BFFB000030
-:10EF0000C0FB0000C1FB0000C2FB0000C3FB00000F
-:10EF1000C4FB0000C5FB0000C6FB0000C7FB0000EF
-:10EF2000C8FB0000C9FB0000CAFB0000CBFB0000CF
-:10EF3000CCFB0000CDFB0000CEFB0000CFFB0000AF
-:10EF4000D0FB0000D1FB0000D2FB0000D3FB00008F
-:10EF5000D4FB0000D5FB0000D6FB0000D7FB00006F
-:10EF6000D8FB0000D9FB0000DAFB0000DBFB00004F
-:10EF7000DCFB0000DDFB0000DEFB0000DFFB00002F
-:10EF8000E0FB0000E1FB0000E2FB0000E3FB00000F
-:10EF9000E4FB0000E5FB0000E6FB0000E7FB0000EF
-:10EFA000E8FB0000E9FB0000EAFB0000EBFB0000CF
-:10EFB000ECFB0000EDFB0000EEFB0000EFFB0000AF
-:10EFC000F0FB0000F1FB0000F2FB0000F3FB00008F
-:10EFD000F4FB0000F5FB0000F6FB0000F7FB00006F
-:10EFE000F8FB0000F9FB0000FAFB0000FBFB00004F
-:10EFF000FCFB0000FDFB0000FEFB0000FFFB00002F
-:10F0000000FC000001FC000002FC000003FC00000A
-:10F0100004FC000005FC000006FC000007FC0000EA
-:10F0200008FC000009FC00000AFC00000BFC0000CA
-:10F030000CFC00000DFC00000EFC00000FFC0000AA
-:10F0400010FC000011FC000012FC000013FC00008A
-:10F0500014FC000015FC000016FC000017FC00006A
-:10F0600018FC000019FC00001AFC00001BFC00004A
-:10F070001CFC00001DFC00001EFC00001FFC00002A
-:10F0800020FC000021FC000022FC000023FC00000A
-:10F0900024FC000025FC000026FC000027FC0000EA
-:10F0A00028FC000029FC00002AFC00002BFC0000CA
-:10F0B0002CFC00002DFC00002EFC00002FFC0000AA
-:10F0C00030FC000031FC000032FC000033FC00008A
-:10F0D00034FC000035FC000036FC000037FC00006A
-:10F0E00038FC000039FC00003AFC00003BFC00004A
-:10F0F0003CFC00003DFC00003EFC00003FFC00002A
-:10F1000040FC000041FC000042FC000043FC000009
-:10F1100044FC000045FC000046FC000047FC0000E9
-:10F1200048FC000049FC00004AFC00004BFC0000C9
-:10F130004CFC00004DFC00004EFC00004FFC0000A9
-:10F1400050FC000051FC000052FC000053FC000089
-:10F1500054FC000055FC000056FC000057FC000069
-:10F1600058FC000059FC00005AFC00005BFC000049
-:10F170005CFC00005DFC00005EFC00005FFC000029
-:10F1800060FC000061FC000062FC000063FC000009
-:10F1900064FC000065FC000066FC000067FC0000E9
-:10F1A00068FC000069FC00006AFC00006BFC0000C9
-:10F1B0006CFC00006DFC00006EFC00006FFC0000A9
-:10F1C00070FC000071FC000072FC000073FC000089
-:10F1D00074FC000075FC000076FC000077FC000069
-:10F1E00078FC000079FC00007AFC00007BFC000049
-:10F1F0007CFC00007DFC00007EFC00007FFC000029
-:10F2000080FC000081FC000082FC000083FC000008
-:10F2100084FC000085FC000086FC000087FC0000E8
-:10F2200088FC000089FC00008AFC00008BFC0000C8
-:10F230008CFC00008DFC00008EFC00008FFC0000A8
-:10F2400090FC000091FC000092FC000093FC000088
-:10F2500094FC000095FC000096FC000097FC000068
-:10F2600098FC000099FC00009AFC00009BFC000048
-:10F270009CFC00009DFC00009EFC00009FFC000028
-:10F28000A0FC0000A1FC0000A2FC0000A3FC000008
-:10F29000A4FC0000A5FC0000A6FC0000A7FC0000E8
-:10F2A000A8FC0000A9FC0000AAFC0000ABFC0000C8
-:10F2B000ACFC0000ADFC0000AEFC0000AFFC0000A8
-:10F2C000B0FC0000B1FC0000B2FC0000B3FC000088
-:10F2D000B4FC0000B5FC0000B6FC0000B7FC000068
-:10F2E000B8FC0000B9FC0000BAFC0000BBFC000048
-:10F2F000BCFC0000BDFC0000BEFC0000BFFC000028
-:10F30000C0FC0000C1FC0000C2FC0000C3FC000007
-:10F31000C4FC0000C5FC0000C6FC0000C7FC0000E7
-:10F32000C8FC0000C9FC0000CAFC0000CBFC0000C7
-:10F33000CCFC0000CDFC0000CEFC0000CFFC0000A7
-:10F34000D0FC0000D1FC0000D2FC0000D3FC000087
-:10F35000D4FC0000D5FC0000D6FC0000D7FC000067
-:10F36000D8FC0000D9FC0000DAFC0000DBFC000047
-:10F37000DCFC0000DDFC0000DEFC0000DFFC000027
-:10F38000E0FC0000E1FC0000E2FC0000E3FC000007
-:10F39000E4FC0000E5FC0000E6FC0000E7FC0000E7
-:10F3A000E8FC0000E9FC0000EAFC0000EBFC0000C7
-:10F3B000ECFC0000EDFC0000EEFC0000EFFC0000A7
-:10F3C000F0FC0000F1FC0000F2FC0000F3FC000087
-:10F3D000F4FC0000F5FC0000F6FC0000F7FC000067
-:10F3E000F8FC0000F9FC0000FAFC0000FBFC000047
-:10F3F000FCFC0000FDFC0000FEFC0000FFFC000027
-:10F4000000FD000001FD000002FD000003FD000002
-:10F4100004FD000005FD000006FD000007FD0000E2
-:10F4200008FD000009FD00000AFD00000BFD0000C2
-:10F430000CFD00000DFD00000EFD00000FFD0000A2
-:10F4400010FD000011FD000012FD000013FD000082
-:10F4500014FD000015FD000016FD000017FD000062
-:10F4600018FD000019FD00001AFD00001BFD000042
-:10F470001CFD00001DFD00001EFD00001FFD000022
-:10F4800020FD000021FD000022FD000023FD000002
-:10F4900024FD000025FD000026FD000027FD0000E2
-:10F4A00028FD000029FD00002AFD00002BFD0000C2
-:10F4B0002CFD00002DFD00002EFD00002FFD0000A2
-:10F4C00030FD000031FD000032FD000033FD000082
-:10F4D00034FD000035FD000036FD000037FD000062
-:10F4E00038FD000039FD00003AFD00003BFD000042
-:10F4F0003CFD00003DFD00003EFD00003FFD000022
-:10F5000040FD000041FD000042FD000043FD000001
-:10F5100044FD000045FD000046FD000047FD0000E1
-:10F5200048FD000049FD00004AFD00004BFD0000C1
-:10F530004CFD00004DFD00004EFD00004FFD0000A1
-:10F5400050FD000051FD000052FD000053FD000081
-:10F5500054FD000055FD000056FD000057FD000061
-:10F5600058FD000059FD00005AFD00005BFD000041
-:10F570005CFD00005DFD00005EFD00005FFD000021
-:10F5800060FD000061FD000062FD000063FD000001
-:10F5900064FD000065FD000066FD000067FD0000E1
-:10F5A00068FD000069FD00006AFD00006BFD0000C1
-:10F5B0006CFD00006DFD00006EFD00006FFD0000A1
-:10F5C00070FD000071FD000072FD000073FD000081
-:10F5D00074FD000075FD000076FD000077FD000061
-:10F5E00078FD000079FD00007AFD00007BFD000041
-:10F5F0007CFD00007DFD00007EFD00007FFD000021
-:10F6000080FD000081FD000082FD000083FD000000
-:10F6100084FD000085FD000086FD000087FD0000E0
-:10F6200088FD000089FD00008AFD00008BFD0000C0
-:10F630008CFD00008DFD00008EFD00008FFD0000A0
-:10F6400090FD000091FD000092FD000093FD000080
-:10F6500094FD000095FD000096FD000097FD000060
-:10F6600098FD000099FD00009AFD00009BFD000040
-:10F670009CFD00009DFD00009EFD00009FFD000020
-:10F68000A0FD0000A1FD0000A2FD0000A3FD000000
-:10F69000A4FD0000A5FD0000A6FD0000A7FD0000E0
-:10F6A000A8FD0000A9FD0000AAFD0000ABFD0000C0
-:10F6B000ACFD0000ADFD0000AEFD0000AFFD0000A0
-:10F6C000B0FD0000B1FD0000B2FD0000B3FD000080
-:10F6D000B4FD0000B5FD0000B6FD0000B7FD000060
-:10F6E000B8FD0000B9FD0000BAFD0000BBFD000040
-:10F6F000BCFD0000BDFD0000BEFD0000BFFD000020
-:10F70000C0FD0000C1FD0000C2FD0000C3FD0000FF
-:10F71000C4FD0000C5FD0000C6FD0000C7FD0000DF
-:10F72000C8FD0000C9FD0000CAFD0000CBFD0000BF
-:10F73000CCFD0000CDFD0000CEFD0000CFFD00009F
-:10F74000D0FD0000D1FD0000D2FD0000D3FD00007F
-:10F75000D4FD0000D5FD0000D6FD0000D7FD00005F
-:10F76000D8FD0000D9FD0000DAFD0000DBFD00003F
-:10F77000DCFD0000DDFD0000DEFD0000DFFD00001F
-:10F78000E0FD0000E1FD0000E2FD0000E3FD0000FF
-:10F79000E4FD0000E5FD0000E6FD0000E7FD0000DF
-:10F7A000E8FD0000E9FD0000EAFD0000EBFD0000BF
-:10F7B000ECFD0000EDFD0000EEFD0000EFFD00009F
-:10F7C000F0FD0000F1FD0000F2FD0000F3FD00007F
-:10F7D000F4FD0000F5FD0000F6FD0000F7FD00005F
-:10F7E000F8FD0000F9FD0000FAFD0000FBFD00003F
-:10F7F000FCFD0000FDFD0000FEFD0000FFFD00001F
-:10F8000000FE000001FE000002FE000003FE0000FA
-:10F8100004FE000005FE000006FE000007FE0000DA
-:10F8200008FE000009FE00000AFE00000BFE0000BA
-:10F830000CFE00000DFE00000EFE00000FFE00009A
-:10F8400010FE000011FE000012FE000013FE00007A
-:10F8500014FE000015FE000016FE000017FE00005A
-:10F8600018FE000019FE00001AFE00001BFE00003A
-:10F870001CFE00001DFE00001EFE00001FFE00001A
-:10F8800020FE000021FE000022FE000023FE0000FA
-:10F8900024FE000025FE000026FE000027FE0000DA
-:10F8A00028FE000029FE00002AFE00002BFE0000BA
-:10F8B0002CFE00002DFE00002EFE00002FFE00009A
-:10F8C00030FE000031FE000032FE000033FE00007A
-:10F8D00034FE000035FE000036FE000037FE00005A
-:10F8E00038FE000039FE00003AFE00003BFE00003A
-:10F8F0003CFE00003DFE00003EFE00003FFE00001A
-:10F9000040FE000041FE000042FE000043FE0000F9
-:10F9100044FE000045FE000046FE000047FE0000D9
-:10F9200048FE000049FE00004AFE00004BFE0000B9
-:10F930004CFE00004DFE00004EFE00004FFE000099
-:10F9400050FE000051FE000052FE000053FE000079
-:10F9500054FE000055FE000056FE000057FE000059
-:10F9600058FE000059FE00005AFE00005BFE000039
-:10F970005CFE00005DFE00005EFE00005FFE000019
-:10F9800060FE000061FE000062FE000063FE0000F9
-:10F9900064FE000065FE000066FE000067FE0000D9
-:10F9A00068FE000069FE00006AFE00006BFE0000B9
-:10F9B0006CFE00006DFE00006EFE00006FFE000099
-:10F9C00070FE000071FE000072FE000073FE000079
-:10F9D00074FE000075FE000076FE000077FE000059
-:10F9E00078FE000079FE00007AFE00007BFE000039
-:10F9F0007CFE00007DFE00007EFE00007FFE000019
-:10FA000080FE000081FE000082FE000083FE0000F8
-:10FA100084FE000085FE000086FE000087FE0000D8
-:10FA200088FE000089FE00008AFE00008BFE0000B8
-:10FA30008CFE00008DFE00008EFE00008FFE000098
-:10FA400090FE000091FE000092FE000093FE000078
-:10FA500094FE000095FE000096FE000097FE000058
-:10FA600098FE000099FE00009AFE00009BFE000038
-:10FA70009CFE00009DFE00009EFE00009FFE000018
-:10FA8000A0FE0000A1FE0000A2FE0000A3FE0000F8
-:10FA9000A4FE0000A5FE0000A6FE0000A7FE0000D8
-:10FAA000A8FE0000A9FE0000AAFE0000ABFE0000B8
-:10FAB000ACFE0000ADFE0000AEFE0000AFFE000098
-:10FAC000B0FE0000B1FE0000B2FE0000B3FE000078
-:10FAD000B4FE0000B5FE0000B6FE0000B7FE000058
-:10FAE000B8FE0000B9FE0000BAFE0000BBFE000038
-:10FAF000BCFE0000BDFE0000BEFE0000BFFE000018
-:10FB0000C0FE0000C1FE0000C2FE0000C3FE0000F7
-:10FB1000C4FE0000C5FE0000C6FE0000C7FE0000D7
-:10FB2000C8FE0000C9FE0000CAFE0000CBFE0000B7
-:10FB3000CCFE0000CDFE0000CEFE0000CFFE000097
-:10FB4000D0FE0000D1FE0000D2FE0000D3FE000077
-:10FB5000D4FE0000D5FE0000D6FE0000D7FE000057
-:10FB6000D8FE0000D9FE0000DAFE0000DBFE000037
-:10FB7000DCFE0000DDFE0000DEFE0000DFFE000017
-:10FB8000E0FE0000E1FE0000E2FE0000E3FE0000F7
-:10FB9000E4FE0000E5FE0000E6FE0000E7FE0000D7
-:10FBA000E8FE0000E9FE0000EAFE0000EBFE0000B7
-:10FBB000ECFE0000EDFE0000EEFE0000EFFE000097
-:10FBC000F0FE0000F1FE0000F2FE0000F3FE000077
-:10FBD000F4FE0000F5FE0000F6FE0000F7FE000057
-:10FBE000F8FE0000F9FE0000FAFE0000FBFE000037
-:10FBF000FCFE0000FDFE0000FEFE0000FFFE000017
-:10FC000000FF000001FF000002FF000003FF0000F2
-:10FC100004FF000005FF000006FF000007FF0000D2
-:10FC200008FF000009FF00000AFF00000BFF0000B2
-:10FC30000CFF00000DFF00000EFF00000FFF000092
-:10FC400010FF000011FF000012FF000013FF000072
-:10FC500014FF000015FF000016FF000017FF000052
-:10FC600018FF000019FF00001AFF00001BFF000032
-:10FC70001CFF00001DFF00001EFF00001FFF000012
-:10FC800020FF000021FF000022FF000023FF0000F2
-:10FC900024FF000025FF000026FF000027FF0000D2
-:10FCA00028FF000029FF00002AFF00002BFF0000B2
-:10FCB0002CFF00002DFF00002EFF00002FFF000092
-:10FCC00030FF000031FF000032FF000033FF000072
-:10FCD00034FF000035FF000036FF000037FF000052
-:10FCE00038FF000039FF00003AFF00003BFF000032
-:10FCF0003CFF00003DFF00003EFF00003FFF000012
-:10FD000040FF000041FF000042FF000043FF0000F1
-:10FD100044FF000045FF000046FF000047FF0000D1
-:10FD200048FF000049FF00004AFF00004BFF0000B1
-:10FD30004CFF00004DFF00004EFF00004FFF000091
-:10FD400050FF000051FF000052FF000053FF000071
-:10FD500054FF000055FF000056FF000057FF000051
-:10FD600058FF000059FF00005AFF00005BFF000031
-:10FD70005CFF00005DFF00005EFF00005FFF000011
-:10FD800060FF000061FF000062FF000063FF0000F1
-:10FD900064FF000065FF000066FF000067FF0000D1
-:10FDA00068FF000069FF00006AFF00006BFF0000B1
-:10FDB0006CFF00006DFF00006EFF00006FFF000091
-:10FDC00070FF000071FF000072FF000073FF000071
-:10FDD00074FF000075FF000076FF000077FF000051
-:10FDE00078FF000079FF00007AFF00007BFF000031
-:10FDF0007CFF00007DFF00007EFF00007FFF000011
-:10FE000080FF000081FF000082FF000083FF0000F0
-:10FE100084FF000085FF000086FF000087FF0000D0
-:10FE200088FF000089FF00008AFF00008BFF0000B0
-:10FE30008CFF00008DFF00008EFF00008FFF000090
-:10FE400090FF000091FF000092FF000093FF000070
-:10FE500094FF000095FF000096FF000097FF000050
-:10FE600098FF000099FF00009AFF00009BFF000030
-:10FE70009CFF00009DFF00009EFF00009FFF000010
-:10FE8000A0FF0000A1FF0000A2FF0000A3FF0000F0
-:10FE9000A4FF0000A5FF0000A6FF0000A7FF0000D0
-:10FEA000A8FF0000A9FF0000AAFF0000ABFF0000B0
-:10FEB000ACFF0000ADFF0000AEFF0000AFFF000090
-:10FEC000B0FF0000B1FF0000B2FF0000B3FF000070
-:10FED000B4FF0000B5FF0000B6FF0000B7FF000050
-:10FEE000B8FF0000B9FF0000BAFF0000BBFF000030
-:10FEF000BCFF0000BDFF0000BEFF0000BFFF000010
-:10FF0000C0FF0000C1FF0000C2FF0000C3FF0000EF
-:10FF1000C4FF0000C5FF0000C6FF0000C7FF0000CF
-:10FF2000C8FF0000C9FF0000CAFF0000CBFF0000AF
-:10FF3000CCFF0000CDFF0000CEFF0000CFFF00008F
-:10FF4000D0FF0000D1FF0000D2FF0000D3FF00006F
-:10FF5000D4FF0000D5FF0000D6FF0000D7FF00004F
-:10FF6000D8FF0000D9FF0000DAFF0000DBFF00002F
-:10FF7000DCFF0000DDFF0000DEFF0000DFFF00000F
-:10FF8000E0FF0000E1FF0000E2FF0000E3FF0000EF
-:10FF9000E4FF0000E5FF0000E6FF0000E7FF0000CF
-:10FFA000E8FF0000E9FF0000EAFF0000EBFF0000AF
-:10FFB000ECFF0000EDFF0000EEFF0000EFFF00008F
-:10FFC000F0FF0000F1FF0000F2FF0000F3FF00006F
-:10FFD000F4FF0000F5FF0000F6FF0000F7FF00004F
-:10FFE000F8FF0000F9FF0000FAFF0000FBFF00002F
-:10FFF000FCFF0000FDFF0000FEFF0000FFFF00000F
-:020000024000BC
-:1000000000000100010001000200010003000100E6
-:1000100004000100050001000600010007000100C6
-:1000200008000100090001000A0001000B000100A6
-:100030000C0001000D0001000E0001000F00010086
-:100040001000010011000100120001001300010066
-:100050001400010015000100160001001700010046
-:1000600018000100190001001A0001001B00010026
-:100070001C0001001D0001001E0001001F00010006
-:1000800020000100210001002200010023000100E6
-:1000900024000100250001002600010027000100C6
-:1000A00028000100290001002A0001002B000100A6
-:1000B0002C0001002D0001002E0001002F00010086
-:1000C0003000010031000100320001003300010066
-:1000D0003400010035000100360001003700010046
-:1000E00038000100390001003A0001003B00010026
-:1000F0003C0001003D0001003E0001003F00010006
-:1001000040000100410001004200010043000100E5
-:1001100044000100450001004600010047000100C5
-:1001200048000100490001004A0001004B000100A5
-:100130004C0001004D0001004E0001004F00010085
-:100140005000010051000100520001005300010065
-:100150005400010055000100560001005700010045
-:1001600058000100590001005A0001005B00010025
-:100170005C0001005D0001005E0001005F00010005
-:1001800060000100610001006200010063000100E5
-:1001900064000100650001006600010067000100C5
-:1001A00068000100690001006A0001006B000100A5
-:1001B0006C0001006D0001006E0001006F00010085
-:1001C0007000010071000100720001007300010065
-:1001D0007400010075000100760001007700010045
-:1001E00078000100790001007A0001007B00010025
-:1001F0007C0001007D0001007E0001007F00010005
-:1002000080000100810001008200010083000100E4
-:1002100084000100850001008600010087000100C4
-:1002200088000100890001008A0001008B000100A4
-:100230008C0001008D0001008E0001008F00010084
-:100240009000010091000100920001009300010064
-:100250009400010095000100960001009700010044
-:1002600098000100990001009A0001009B00010024
-:100270009C0001009D0001009E0001009F00010004
-:10028000A0000100A1000100A2000100A3000100E4
-:10029000A4000100A5000100A6000100A7000100C4
-:1002A000A8000100A9000100AA000100AB000100A4
-:1002B000AC000100AD000100AE000100AF00010084
-:1002C000B0000100B1000100B2000100B300010064
-:1002D000B4000100B5000100B6000100B700010044
-:1002E000B8000100B9000100BA000100BB00010024
-:1002F000BC000100BD000100BE000100BF00010004
-:10030000C0000100C1000100C2000100C3000100E3
-:10031000C4000100C5000100C6000100C7000100C3
-:10032000C8000100C9000100CA000100CB000100A3
-:10033000CC000100CD000100CE000100CF00010083
-:10034000D0000100D1000100D2000100D300010063
-:10035000D4000100D5000100D6000100D700010043
-:10036000D8000100D9000100DA000100DB00010023
-:10037000DC000100DD000100DE000100DF00010003
-:10038000E0000100E1000100E2000100E3000100E3
-:10039000E4000100E5000100E6000100E7000100C3
-:1003A000E8000100E9000100EA000100EB000100A3
-:1003B000EC000100ED000100EE000100EF00010083
-:1003C000F0000100F1000100F2000100F300010063
-:1003D000F4000100F5000100F6000100F700010043
-:1003E000F8000100F9000100FA000100FB00010023
-:1003F000FC000100FD000100FE000100FF00010003
-:1004000000010100010101000201010003010100DE
-:1004100004010100050101000601010007010100BE
-:1004200008010100090101000A0101000B0101009E
-:100430000C0101000D0101000E0101000F0101007E
-:10044000100101001101010012010100130101005E
-:10045000140101001501010016010100170101003E
-:1004600018010100190101001A0101001B0101001E
-:100470001C0101001D0101001E0101001F010100FE
-:1004800020010100210101002201010023010100DE
-:1004900024010100250101002601010027010100BE
-:1004A00028010100290101002A0101002B0101009E
-:1004B0002C0101002D0101002E0101002F0101007E
-:1004C000300101003101010032010100330101005E
-:1004D000340101003501010036010100370101003E
-:1004E00038010100390101003A0101003B0101001E
-:1004F0003C0101003D0101003E0101003F010100FE
-:1005000040010100410101004201010043010100DD
-:1005100044010100450101004601010047010100BD
-:1005200048010100490101004A0101004B0101009D
-:100530004C0101004D0101004E0101004F0101007D
-:10054000500101005101010052010100530101005D
-:10055000540101005501010056010100570101003D
-:1005600058010100590101005A0101005B0101001D
-:100570005C0101005D0101005E0101005F010100FD
-:1005800060010100610101006201010063010100DD
-:1005900064010100650101006601010067010100BD
-:1005A00068010100690101006A0101006B0101009D
-:1005B0006C0101006D0101006E0101006F0101007D
-:1005C000700101007101010072010100730101005D
-:1005D000740101007501010076010100770101003D
-:1005E00078010100790101007A0101007B0101001D
-:1005F0007C0101007D0101007E0101007F010100FD
-:1006000080010100810101008201010083010100DC
-:1006100084010100850101008601010087010100BC
-:1006200088010100890101008A0101008B0101009C
-:100630008C0101008D0101008E0101008F0101007C
-:10064000900101009101010092010100930101005C
-:10065000940101009501010096010100970101003C
-:1006600098010100990101009A0101009B0101001C
-:100670009C0101009D0101009E0101009F010100FC
-:10068000A0010100A1010100A2010100A3010100DC
-:10069000A4010100A5010100A6010100A7010100BC
-:1006A000A8010100A9010100AA010100AB0101009C
-:1006B000AC010100AD010100AE010100AF0101007C
-:1006C000B0010100B1010100B2010100B30101005C
-:1006D000B4010100B5010100B6010100B70101003C
-:1006E000B8010100B9010100BA010100BB0101001C
-:1006F000BC010100BD010100BE010100BF010100FC
-:10070000C0010100C1010100C2010100C3010100DB
-:10071000C4010100C5010100C6010100C7010100BB
-:10072000C8010100C9010100CA010100CB0101009B
-:10073000CC010100CD010100CE010100CF0101007B
-:10074000D0010100D1010100D2010100D30101005B
-:10075000D4010100D5010100D6010100D70101003B
-:10076000D8010100D9010100DA010100DB0101001B
-:10077000DC010100DD010100DE010100DF010100FB
-:10078000E0010100E1010100E2010100E3010100DB
-:10079000E4010100E5010100E6010100E7010100BB
-:1007A000E8010100E9010100EA010100EB0101009B
-:1007B000EC010100ED010100EE010100EF0101007B
-:1007C000F0010100F1010100F2010100F30101005B
-:1007D000F4010100F5010100F6010100F70101003B
-:1007E000F8010100F9010100FA010100FB0101001B
-:1007F000FC010100FD010100FE010100FF010100FB
-:1008000000020100010201000202010003020100D6
-:1008100004020100050201000602010007020100B6
-:1008200008020100090201000A0201000B02010096
-:100830000C0201000D0201000E0201000F02010076
-:100840001002010011020100120201001302010056
-:100850001402010015020100160201001702010036
-:1008600018020100190201001A0201001B02010016
-:100870001C0201001D0201001E0201001F020100F6
-:1008800020020100210201002202010023020100D6
-:1008900024020100250201002602010027020100B6
-:1008A00028020100290201002A0201002B02010096
-:1008B0002C0201002D0201002E0201002F02010076
-:1008C0003002010031020100320201003302010056
-:1008D0003402010035020100360201003702010036
-:1008E00038020100390201003A0201003B02010016
-:1008F0003C0201003D0201003E0201003F020100F6
-:1009000040020100410201004202010043020100D5
-:1009100044020100450201004602010047020100B5
-:1009200048020100490201004A0201004B02010095
-:100930004C0201004D0201004E0201004F02010075
-:100940005002010051020100520201005302010055
-:100950005402010055020100560201005702010035
-:1009600058020100590201005A0201005B02010015
-:100970005C0201005D0201005E0201005F020100F5
-:1009800060020100610201006202010063020100D5
-:1009900064020100650201006602010067020100B5
-:1009A00068020100690201006A0201006B02010095
-:1009B0006C0201006D0201006E0201006F02010075
-:1009C0007002010071020100720201007302010055
-:1009D0007402010075020100760201007702010035
-:1009E00078020100790201007A0201007B02010015
-:1009F0007C0201007D0201007E0201007F020100F5
-:100A000080020100810201008202010083020100D4
-:100A100084020100850201008602010087020100B4
-:100A200088020100890201008A0201008B02010094
-:100A30008C0201008D0201008E0201008F02010074
-:100A40009002010091020100920201009302010054
-:100A50009402010095020100960201009702010034
-:100A600098020100990201009A0201009B02010014
-:100A70009C0201009D0201009E0201009F020100F4
-:100A8000A0020100A1020100A2020100A3020100D4
-:100A9000A4020100A5020100A6020100A7020100B4
-:100AA000A8020100A9020100AA020100AB02010094
-:100AB000AC020100AD020100AE020100AF02010074
-:100AC000B0020100B1020100B2020100B302010054
-:100AD000B4020100B5020100B6020100B702010034
-:100AE000B8020100B9020100BA020100BB02010014
-:100AF000BC020100BD020100BE020100BF020100F4
-:100B0000C0020100C1020100C2020100C3020100D3
-:100B1000C4020100C5020100C6020100C7020100B3
-:100B2000C8020100C9020100CA020100CB02010093
-:100B3000CC020100CD020100CE020100CF02010073
-:100B4000D0020100D1020100D2020100D302010053
-:100B5000D4020100D5020100D6020100D702010033
-:100B6000D8020100D9020100DA020100DB02010013
-:100B7000DC020100DD020100DE020100DF020100F3
-:100B8000E0020100E1020100E2020100E3020100D3
-:100B9000E4020100E5020100E6020100E7020100B3
-:100BA000E8020100E9020100EA020100EB02010093
-:100BB000EC020100ED020100EE020100EF02010073
-:100BC000F0020100F1020100F2020100F302010053
-:100BD000F4020100F5020100F6020100F702010033
-:100BE000F8020100F9020100FA020100FB02010013
-:100BF000FC020100FD020100FE020100FF020100F3
-:100C000000030100010301000203010003030100CE
-:100C100004030100050301000603010007030100AE
-:100C200008030100090301000A0301000B0301008E
-:100C30000C0301000D0301000E0301000F0301006E
-:100C4000100301001103010012030100130301004E
-:100C5000140301001503010016030100170301002E
-:100C600018030100190301001A0301001B0301000E
-:100C70001C0301001D0301001E0301001F030100EE
-:100C800020030100210301002203010023030100CE
-:100C900024030100250301002603010027030100AE
-:100CA00028030100290301002A0301002B0301008E
-:100CB0002C0301002D0301002E0301002F0301006E
-:100CC000300301003103010032030100330301004E
-:100CD000340301003503010036030100370301002E
-:100CE00038030100390301003A0301003B0301000E
-:100CF0003C0301003D0301003E0301003F030100EE
-:100D000040030100410301004203010043030100CD
-:100D100044030100450301004603010047030100AD
-:100D200048030100490301004A0301004B0301008D
-:100D30004C0301004D0301004E0301004F0301006D
-:100D4000500301005103010052030100530301004D
-:100D5000540301005503010056030100570301002D
-:100D600058030100590301005A0301005B0301000D
-:100D70005C0301005D0301005E0301005F030100ED
-:100D800060030100610301006203010063030100CD
-:100D900064030100650301006603010067030100AD
-:100DA00068030100690301006A0301006B0301008D
-:100DB0006C0301006D0301006E0301006F0301006D
-:100DC000700301007103010072030100730301004D
-:100DD000740301007503010076030100770301002D
-:100DE00078030100790301007A0301007B0301000D
-:100DF0007C0301007D0301007E0301007F030100ED
-:100E000080030100810301008203010083030100CC
-:100E100084030100850301008603010087030100AC
-:100E200088030100890301008A0301008B0301008C
-:100E30008C0301008D0301008E0301008F0301006C
-:100E4000900301009103010092030100930301004C
-:100E5000940301009503010096030100970301002C
-:100E600098030100990301009A0301009B0301000C
-:100E70009C0301009D0301009E0301009F030100EC
-:100E8000A0030100A1030100A2030100A3030100CC
-:100E9000A4030100A5030100A6030100A7030100AC
-:100EA000A8030100A9030100AA030100AB0301008C
-:100EB000AC030100AD030100AE030100AF0301006C
-:100EC000B0030100B1030100B2030100B30301004C
-:100ED000B4030100B5030100B6030100B70301002C
-:100EE000B8030100B9030100BA030100BB0301000C
-:100EF000BC030100BD030100BE030100BF030100EC
-:100F0000C0030100C1030100C2030100C3030100CB
-:100F1000C4030100C5030100C6030100C7030100AB
-:100F2000C8030100C9030100CA030100CB0301008B
-:100F3000CC030100CD030100CE030100CF0301006B
-:100F4000D0030100D1030100D2030100D30301004B
-:100F5000D4030100D5030100D6030100D70301002B
-:100F6000D8030100D9030100DA030100DB0301000B
-:100F7000DC030100DD030100DE030100DF030100EB
-:100F8000E0030100E1030100E2030100E3030100CB
-:100F9000E4030100E5030100E6030100E7030100AB
-:100FA000E8030100E9030100EA030100EB0301008B
-:100FB000EC030100ED030100EE030100EF0301006B
-:100FC000F0030100F1030100F2030100F30301004B
-:100FD000F4030100F5030100F6030100F70301002B
-:100FE000F8030100F9030100FA030100FB0301000B
-:100FF000FC030100FD030100FE030100FF030100EB
-:1010000000040100010401000204010003040100C6
-:1010100004040100050401000604010007040100A6
-:1010200008040100090401000A0401000B04010086
-:101030000C0401000D0401000E0401000F04010066
-:101040001004010011040100120401001304010046
-:101050001404010015040100160401001704010026
-:1010600018040100190401001A0401001B04010006
-:101070001C0401001D0401001E0401001F040100E6
-:1010800020040100210401002204010023040100C6
-:1010900024040100250401002604010027040100A6
-:1010A00028040100290401002A0401002B04010086
-:1010B0002C0401002D0401002E0401002F04010066
-:1010C0003004010031040100320401003304010046
-:1010D0003404010035040100360401003704010026
-:1010E00038040100390401003A0401003B04010006
-:1010F0003C0401003D0401003E0401003F040100E6
-:1011000040040100410401004204010043040100C5
-:1011100044040100450401004604010047040100A5
-:1011200048040100490401004A0401004B04010085
-:101130004C0401004D0401004E0401004F04010065
-:101140005004010051040100520401005304010045
-:101150005404010055040100560401005704010025
-:1011600058040100590401005A0401005B04010005
-:101170005C0401005D0401005E0401005F040100E5
-:1011800060040100610401006204010063040100C5
-:1011900064040100650401006604010067040100A5
-:1011A00068040100690401006A0401006B04010085
-:1011B0006C0401006D0401006E0401006F04010065
-:1011C0007004010071040100720401007304010045
-:1011D0007404010075040100760401007704010025
-:1011E00078040100790401007A0401007B04010005
-:1011F0007C0401007D0401007E0401007F040100E5
-:1012000080040100810401008204010083040100C4
-:1012100084040100850401008604010087040100A4
-:1012200088040100890401008A0401008B04010084
-:101230008C0401008D0401008E0401008F04010064
-:101240009004010091040100920401009304010044
-:101250009404010095040100960401009704010024
-:1012600098040100990401009A0401009B04010004
-:101270009C0401009D0401009E0401009F040100E4
-:10128000A0040100A1040100A2040100A3040100C4
-:10129000A4040100A5040100A6040100A7040100A4
-:1012A000A8040100A9040100AA040100AB04010084
-:1012B000AC040100AD040100AE040100AF04010064
-:1012C000B0040100B1040100B2040100B304010044
-:1012D000B4040100B5040100B6040100B704010024
-:1012E000B8040100B9040100BA040100BB04010004
-:1012F000BC040100BD040100BE040100BF040100E4
-:10130000C0040100C1040100C2040100C3040100C3
-:10131000C4040100C5040100C6040100C7040100A3
-:10132000C8040100C9040100CA040100CB04010083
-:10133000CC040100CD040100CE040100CF04010063
-:10134000D0040100D1040100D2040100D304010043
-:10135000D4040100D5040100D6040100D704010023
-:10136000D8040100D9040100DA040100DB04010003
-:10137000DC040100DD040100DE040100DF040100E3
-:10138000E0040100E1040100E2040100E3040100C3
-:10139000E4040100E5040100E6040100E7040100A3
-:1013A000E8040100E9040100EA040100EB04010083
-:1013B000EC040100ED040100EE040100EF04010063
-:1013C000F0040100F1040100F2040100F304010043
-:1013D000F4040100F5040100F6040100F704010023
-:1013E000F8040100F9040100FA040100FB04010003
-:1013F000FC040100FD040100FE040100FF040100E3
-:1014000000050100010501000205010003050100BE
-:10141000040501000505010006050100070501009E
-:1014200008050100090501000A0501000B0501007E
-:101430000C0501000D0501000E0501000F0501005E
-:10144000100501001105010012050100130501003E
-:10145000140501001505010016050100170501001E
-:1014600018050100190501001A0501001B050100FE
-:101470001C0501001D0501001E0501001F050100DE
-:1014800020050100210501002205010023050100BE
-:10149000240501002505010026050100270501009E
-:1014A00028050100290501002A0501002B0501007E
-:1014B0002C0501002D0501002E0501002F0501005E
-:1014C000300501003105010032050100330501003E
-:1014D000340501003505010036050100370501001E
-:1014E00038050100390501003A0501003B050100FE
-:1014F0003C0501003D0501003E0501003F050100DE
-:1015000040050100410501004205010043050100BD
-:10151000440501004505010046050100470501009D
-:1015200048050100490501004A0501004B0501007D
-:101530004C0501004D0501004E0501004F0501005D
-:10154000500501005105010052050100530501003D
-:10155000540501005505010056050100570501001D
-:1015600058050100590501005A0501005B050100FD
-:101570005C0501005D0501005E0501005F050100DD
-:1015800060050100610501006205010063050100BD
-:10159000640501006505010066050100670501009D
-:1015A00068050100690501006A0501006B0501007D
-:1015B0006C0501006D0501006E0501006F0501005D
-:1015C000700501007105010072050100730501003D
-:1015D000740501007505010076050100770501001D
-:1015E00078050100790501007A0501007B050100FD
-:1015F0007C0501007D0501007E0501007F050100DD
-:1016000080050100810501008205010083050100BC
-:10161000840501008505010086050100870501009C
-:1016200088050100890501008A0501008B0501007C
-:101630008C0501008D0501008E0501008F0501005C
-:10164000900501009105010092050100930501003C
-:10165000940501009505010096050100970501001C
-:1016600098050100990501009A0501009B050100FC
-:101670009C0501009D0501009E0501009F050100DC
-:10168000A0050100A1050100A2050100A3050100BC
-:10169000A4050100A5050100A6050100A70501009C
-:1016A000A8050100A9050100AA050100AB0501007C
-:1016B000AC050100AD050100AE050100AF0501005C
-:1016C000B0050100B1050100B2050100B30501003C
-:1016D000B4050100B5050100B6050100B70501001C
-:1016E000B8050100B9050100BA050100BB050100FC
-:1016F000BC050100BD050100BE050100BF050100DC
-:10170000C0050100C1050100C2050100C3050100BB
-:10171000C4050100C5050100C6050100C70501009B
-:10172000C8050100C9050100CA050100CB0501007B
-:10173000CC050100CD050100CE050100CF0501005B
-:10174000D0050100D1050100D2050100D30501003B
-:10175000D4050100D5050100D6050100D70501001B
-:10176000D8050100D9050100DA050100DB050100FB
-:10177000DC050100DD050100DE050100DF050100DB
-:10178000E0050100E1050100E2050100E3050100BB
-:10179000E4050100E5050100E6050100E70501009B
-:1017A000E8050100E9050100EA050100EB0501007B
-:1017B000EC050100ED050100EE050100EF0501005B
-:1017C000F0050100F1050100F2050100F30501003B
-:1017D000F4050100F5050100F6050100F70501001B
-:1017E000F8050100F9050100FA050100FB050100FB
-:1017F000FC050100FD050100FE050100FF050100DB
-:1018000000060100010601000206010003060100B6
-:101810000406010005060100060601000706010096
-:1018200008060100090601000A0601000B06010076
-:101830000C0601000D0601000E0601000F06010056
-:101840001006010011060100120601001306010036
-:101850001406010015060100160601001706010016
-:1018600018060100190601001A0601001B060100F6
-:101870001C0601001D0601001E0601001F060100D6
-:1018800020060100210601002206010023060100B6
-:101890002406010025060100260601002706010096
-:1018A00028060100290601002A0601002B06010076
-:1018B0002C0601002D0601002E0601002F06010056
-:1018C0003006010031060100320601003306010036
-:1018D0003406010035060100360601003706010016
-:1018E00038060100390601003A0601003B060100F6
-:1018F0003C0601003D0601003E0601003F060100D6
-:1019000040060100410601004206010043060100B5
-:101910004406010045060100460601004706010095
-:1019200048060100490601004A0601004B06010075
-:101930004C0601004D0601004E0601004F06010055
-:101940005006010051060100520601005306010035
-:101950005406010055060100560601005706010015
-:1019600058060100590601005A0601005B060100F5
-:101970005C0601005D0601005E0601005F060100D5
-:1019800060060100610601006206010063060100B5
-:101990006406010065060100660601006706010095
-:1019A00068060100690601006A0601006B06010075
-:1019B0006C0601006D0601006E0601006F06010055
-:1019C0007006010071060100720601007306010035
-:1019D0007406010075060100760601007706010015
-:1019E00078060100790601007A0601007B060100F5
-:1019F0007C0601007D0601007E0601007F060100D5
-:101A000080060100810601008206010083060100B4
-:101A10008406010085060100860601008706010094
-:101A200088060100890601008A0601008B06010074
-:101A30008C0601008D0601008E0601008F06010054
-:101A40009006010091060100920601009306010034
-:101A50009406010095060100960601009706010014
-:101A600098060100990601009A0601009B060100F4
-:101A70009C0601009D0601009E0601009F060100D4
-:101A8000A0060100A1060100A2060100A3060100B4
-:101A9000A4060100A5060100A6060100A706010094
-:101AA000A8060100A9060100AA060100AB06010074
-:101AB000AC060100AD060100AE060100AF06010054
-:101AC000B0060100B1060100B2060100B306010034
-:101AD000B4060100B5060100B6060100B706010014
-:101AE000B8060100B9060100BA060100BB060100F4
-:101AF000BC060100BD060100BE060100BF060100D4
-:101B0000C0060100C1060100C2060100C3060100B3
-:101B1000C4060100C5060100C6060100C706010093
-:101B2000C8060100C9060100CA060100CB06010073
-:101B3000CC060100CD060100CE060100CF06010053
-:101B4000D0060100D1060100D2060100D306010033
-:101B5000D4060100D5060100D6060100D706010013
-:101B6000D8060100D9060100DA060100DB060100F3
-:101B7000DC060100DD060100DE060100DF060100D3
-:101B8000E0060100E1060100E2060100E3060100B3
-:101B9000E4060100E5060100E6060100E706010093
-:101BA000E8060100E9060100EA060100EB06010073
-:101BB000EC060100ED060100EE060100EF06010053
-:101BC000F0060100F1060100F2060100F306010033
-:101BD000F4060100F5060100F6060100F706010013
-:101BE000F8060100F9060100FA060100FB060100F3
-:101BF000FC060100FD060100FE060100FF060100D3
-:101C000000070100010701000207010003070100AE
-:101C1000040701000507010006070100070701008E
-:101C200008070100090701000A0701000B0701006E
-:101C30000C0701000D0701000E0701000F0701004E
-:101C4000100701001107010012070100130701002E
-:101C5000140701001507010016070100170701000E
-:101C600018070100190701001A0701001B070100EE
-:101C70001C0701001D0701001E0701001F070100CE
-:101C800020070100210701002207010023070100AE
-:101C9000240701002507010026070100270701008E
-:101CA00028070100290701002A0701002B0701006E
-:101CB0002C0701002D0701002E0701002F0701004E
-:101CC000300701003107010032070100330701002E
-:101CD000340701003507010036070100370701000E
-:101CE00038070100390701003A0701003B070100EE
-:101CF0003C0701003D0701003E0701003F070100CE
-:101D000040070100410701004207010043070100AD
-:101D1000440701004507010046070100470701008D
-:101D200048070100490701004A0701004B0701006D
-:101D30004C0701004D0701004E0701004F0701004D
-:101D4000500701005107010052070100530701002D
-:101D5000540701005507010056070100570701000D
-:101D600058070100590701005A0701005B070100ED
-:101D70005C0701005D0701005E0701005F070100CD
-:101D800060070100610701006207010063070100AD
-:101D9000640701006507010066070100670701008D
-:101DA00068070100690701006A0701006B0701006D
-:101DB0006C0701006D0701006E0701006F0701004D
-:101DC000700701007107010072070100730701002D
-:101DD000740701007507010076070100770701000D
-:101DE00078070100790701007A0701007B070100ED
-:101DF0007C0701007D0701007E0701007F070100CD
-:101E000080070100810701008207010083070100AC
-:101E1000840701008507010086070100870701008C
-:101E200088070100890701008A0701008B0701006C
-:101E30008C0701008D0701008E0701008F0701004C
-:101E4000900701009107010092070100930701002C
-:101E5000940701009507010096070100970701000C
-:101E600098070100990701009A0701009B070100EC
-:101E70009C0701009D0701009E0701009F070100CC
-:101E8000A0070100A1070100A2070100A3070100AC
-:101E9000A4070100A5070100A6070100A70701008C
-:101EA000A8070100A9070100AA070100AB0701006C
-:101EB000AC070100AD070100AE070100AF0701004C
-:101EC000B0070100B1070100B2070100B30701002C
-:101ED000B4070100B5070100B6070100B70701000C
-:101EE000B8070100B9070100BA070100BB070100EC
-:101EF000BC070100BD070100BE070100BF070100CC
-:101F0000C0070100C1070100C2070100C3070100AB
-:101F1000C4070100C5070100C6070100C70701008B
-:101F2000C8070100C9070100CA070100CB0701006B
-:101F3000CC070100CD070100CE070100CF0701004B
-:101F4000D0070100D1070100D2070100D30701002B
-:101F5000D4070100D5070100D6070100D70701000B
-:101F6000D8070100D9070100DA070100DB070100EB
-:101F7000DC070100DD070100DE070100DF070100CB
-:101F8000E0070100E1070100E2070100E3070100AB
-:101F9000E4070100E5070100E6070100E70701008B
-:101FA000E8070100E9070100EA070100EB0701006B
-:101FB000EC070100ED070100EE070100EF0701004B
-:101FC000F0070100F1070100F2070100F30701002B
-:101FD000F4070100F5070100F6070100F70701000B
-:101FE000F8070100F9070100FA070100FB070100EB
-:101FF000FC070100FD070100FE070100FF070100CB
-:1020000000080100010801000208010003080100A6
-:102010000408010005080100060801000708010086
-:1020200008080100090801000A0801000B08010066
-:102030000C0801000D0801000E0801000F08010046
-:102040001008010011080100120801001308010026
-:102050001408010015080100160801001708010006
-:1020600018080100190801001A0801001B080100E6
-:102070001C0801001D0801001E0801001F080100C6
-:1020800020080100210801002208010023080100A6
-:102090002408010025080100260801002708010086
-:1020A00028080100290801002A0801002B08010066
-:1020B0002C0801002D0801002E0801002F08010046
-:1020C0003008010031080100320801003308010026
-:1020D0003408010035080100360801003708010006
-:1020E00038080100390801003A0801003B080100E6
-:1020F0003C0801003D0801003E0801003F080100C6
-:1021000040080100410801004208010043080100A5
-:102110004408010045080100460801004708010085
-:1021200048080100490801004A0801004B08010065
-:102130004C0801004D0801004E0801004F08010045
-:102140005008010051080100520801005308010025
-:102150005408010055080100560801005708010005
-:1021600058080100590801005A0801005B080100E5
-:102170005C0801005D0801005E0801005F080100C5
-:1021800060080100610801006208010063080100A5
-:102190006408010065080100660801006708010085
-:1021A00068080100690801006A0801006B08010065
-:1021B0006C0801006D0801006E0801006F08010045
-:1021C0007008010071080100720801007308010025
-:1021D0007408010075080100760801007708010005
-:1021E00078080100790801007A0801007B080100E5
-:1021F0007C0801007D0801007E0801007F080100C5
-:1022000080080100810801008208010083080100A4
-:102210008408010085080100860801008708010084
-:1022200088080100890801008A0801008B08010064
-:102230008C0801008D0801008E0801008F08010044
-:102240009008010091080100920801009308010024
-:102250009408010095080100960801009708010004
-:1022600098080100990801009A0801009B080100E4
-:102270009C0801009D0801009E0801009F080100C4
-:10228000A0080100A1080100A2080100A3080100A4
-:10229000A4080100A5080100A6080100A708010084
-:1022A000A8080100A9080100AA080100AB08010064
-:1022B000AC080100AD080100AE080100AF08010044
-:1022C000B0080100B1080100B2080100B308010024
-:1022D000B4080100B5080100B6080100B708010004
-:1022E000B8080100B9080100BA080100BB080100E4
-:1022F000BC080100BD080100BE080100BF080100C4
-:10230000C0080100C1080100C2080100C3080100A3
-:10231000C4080100C5080100C6080100C708010083
-:10232000C8080100C9080100CA080100CB08010063
-:10233000CC080100CD080100CE080100CF08010043
-:10234000D0080100D1080100D2080100D308010023
-:10235000D4080100D5080100D6080100D708010003
-:10236000D8080100D9080100DA080100DB080100E3
-:10237000DC080100DD080100DE080100DF080100C3
-:10238000E0080100E1080100E2080100E3080100A3
-:10239000E4080100E5080100E6080100E708010083
-:1023A000E8080100E9080100EA080100EB08010063
-:1023B000EC080100ED080100EE080100EF08010043
-:1023C000F0080100F1080100F2080100F308010023
-:1023D000F4080100F5080100F6080100F708010003
-:1023E000F8080100F9080100FA080100FB080100E3
-:1023F000FC080100FD080100FE080100FF080100C3
-:10240000000901000109010002090100030901009E
-:10241000040901000509010006090100070901007E
-:1024200008090100090901000A0901000B0901005E
-:102430000C0901000D0901000E0901000F0901003E
-:10244000100901001109010012090100130901001E
-:1024500014090100150901001609010017090100FE
-:1024600018090100190901001A0901001B090100DE
-:102470001C0901001D0901001E0901001F090100BE
-:10248000200901002109010022090100230901009E
-:10249000240901002509010026090100270901007E
-:1024A00028090100290901002A0901002B0901005E
-:1024B0002C0901002D0901002E0901002F0901003E
-:1024C000300901003109010032090100330901001E
-:1024D00034090100350901003609010037090100FE
-:1024E00038090100390901003A0901003B090100DE
-:1024F0003C0901003D0901003E0901003F090100BE
-:10250000400901004109010042090100430901009D
-:10251000440901004509010046090100470901007D
-:1025200048090100490901004A0901004B0901005D
-:102530004C0901004D0901004E0901004F0901003D
-:10254000500901005109010052090100530901001D
-:1025500054090100550901005609010057090100FD
-:1025600058090100590901005A0901005B090100DD
-:102570005C0901005D0901005E0901005F090100BD
-:10258000600901006109010062090100630901009D
-:10259000640901006509010066090100670901007D
-:1025A00068090100690901006A0901006B0901005D
-:1025B0006C0901006D0901006E0901006F0901003D
-:1025C000700901007109010072090100730901001D
-:1025D00074090100750901007609010077090100FD
-:1025E00078090100790901007A0901007B090100DD
-:1025F0007C0901007D0901007E0901007F090100BD
-:10260000800901008109010082090100830901009C
-:10261000840901008509010086090100870901007C
-:1026200088090100890901008A0901008B0901005C
-:102630008C0901008D0901008E0901008F0901003C
-:10264000900901009109010092090100930901001C
-:1026500094090100950901009609010097090100FC
-:1026600098090100990901009A0901009B090100DC
-:102670009C0901009D0901009E0901009F090100BC
-:10268000A0090100A1090100A2090100A30901009C
-:10269000A4090100A5090100A6090100A70901007C
-:1026A000A8090100A9090100AA090100AB0901005C
-:1026B000AC090100AD090100AE090100AF0901003C
-:1026C000B0090100B1090100B2090100B30901001C
-:1026D000B4090100B5090100B6090100B7090100FC
-:1026E000B8090100B9090100BA090100BB090100DC
-:1026F000BC090100BD090100BE090100BF090100BC
-:10270000C0090100C1090100C2090100C30901009B
-:10271000C4090100C5090100C6090100C70901007B
-:10272000C8090100C9090100CA090100CB0901005B
-:10273000CC090100CD090100CE090100CF0901003B
-:10274000D0090100D1090100D2090100D30901001B
-:10275000D4090100D5090100D6090100D7090100FB
-:10276000D8090100D9090100DA090100DB090100DB
-:10277000DC090100DD090100DE090100DF090100BB
-:10278000E0090100E1090100E2090100E30901009B
-:10279000E4090100E5090100E6090100E70901007B
-:1027A000E8090100E9090100EA090100EB0901005B
-:1027B000EC090100ED090100EE090100EF0901003B
-:1027C000F0090100F1090100F2090100F30901001B
-:1027D000F4090100F5090100F6090100F7090100FB
-:1027E000F8090100F9090100FA090100FB090100DB
-:1027F000FC090100FD090100FE090100FF090100BB
-:10280000000A0100010A0100020A0100030A010096
-:10281000040A0100050A0100060A0100070A010076
-:10282000080A0100090A01000A0A01000B0A010056
-:102830000C0A01000D0A01000E0A01000F0A010036
-:10284000100A0100110A0100120A0100130A010016
-:10285000140A0100150A0100160A0100170A0100F6
-:10286000180A0100190A01001A0A01001B0A0100D6
-:102870001C0A01001D0A01001E0A01001F0A0100B6
-:10288000200A0100210A0100220A0100230A010096
-:10289000240A0100250A0100260A0100270A010076
-:1028A000280A0100290A01002A0A01002B0A010056
-:1028B0002C0A01002D0A01002E0A01002F0A010036
-:1028C000300A0100310A0100320A0100330A010016
-:1028D000340A0100350A0100360A0100370A0100F6
-:1028E000380A0100390A01003A0A01003B0A0100D6
-:1028F0003C0A01003D0A01003E0A01003F0A0100B6
-:10290000400A0100410A0100420A0100430A010095
-:10291000440A0100450A0100460A0100470A010075
-:10292000480A0100490A01004A0A01004B0A010055
-:102930004C0A01004D0A01004E0A01004F0A010035
-:10294000500A0100510A0100520A0100530A010015
-:10295000540A0100550A0100560A0100570A0100F5
-:10296000580A0100590A01005A0A01005B0A0100D5
-:102970005C0A01005D0A01005E0A01005F0A0100B5
-:10298000600A0100610A0100620A0100630A010095
-:10299000640A0100650A0100660A0100670A010075
-:1029A000680A0100690A01006A0A01006B0A010055
-:1029B0006C0A01006D0A01006E0A01006F0A010035
-:1029C000700A0100710A0100720A0100730A010015
-:1029D000740A0100750A0100760A0100770A0100F5
-:1029E000780A0100790A01007A0A01007B0A0100D5
-:1029F0007C0A01007D0A01007E0A01007F0A0100B5
-:102A0000800A0100810A0100820A0100830A010094
-:102A1000840A0100850A0100860A0100870A010074
-:102A2000880A0100890A01008A0A01008B0A010054
-:102A30008C0A01008D0A01008E0A01008F0A010034
-:102A4000900A0100910A0100920A0100930A010014
-:102A5000940A0100950A0100960A0100970A0100F4
-:102A6000980A0100990A01009A0A01009B0A0100D4
-:102A70009C0A01009D0A01009E0A01009F0A0100B4
-:102A8000A00A0100A10A0100A20A0100A30A010094
-:102A9000A40A0100A50A0100A60A0100A70A010074
-:102AA000A80A0100A90A0100AA0A0100AB0A010054
-:102AB000AC0A0100AD0A0100AE0A0100AF0A010034
-:102AC000B00A0100B10A0100B20A0100B30A010014
-:102AD000B40A0100B50A0100B60A0100B70A0100F4
-:102AE000B80A0100B90A0100BA0A0100BB0A0100D4
-:102AF000BC0A0100BD0A0100BE0A0100BF0A0100B4
-:102B0000C00A0100C10A0100C20A0100C30A010093
-:102B1000C40A0100C50A0100C60A0100C70A010073
-:102B2000C80A0100C90A0100CA0A0100CB0A010053
-:102B3000CC0A0100CD0A0100CE0A0100CF0A010033
-:102B4000D00A0100D10A0100D20A0100D30A010013
-:102B5000D40A0100D50A0100D60A0100D70A0100F3
-:102B6000D80A0100D90A0100DA0A0100DB0A0100D3
-:102B7000DC0A0100DD0A0100DE0A0100DF0A0100B3
-:102B8000E00A0100E10A0100E20A0100E30A010093
-:102B9000E40A0100E50A0100E60A0100E70A010073
-:102BA000E80A0100E90A0100EA0A0100EB0A010053
-:102BB000EC0A0100ED0A0100EE0A0100EF0A010033
-:102BC000F00A0100F10A0100F20A0100F30A010013
-:102BD000F40A0100F50A0100F60A0100F70A0100F3
-:102BE000F80A0100F90A0100FA0A0100FB0A0100D3
-:102BF000FC0A0100FD0A0100FE0A0100FF0A0100B3
-:102C0000000B0100010B0100020B0100030B01008E
-:102C1000040B0100050B0100060B0100070B01006E
-:102C2000080B0100090B01000A0B01000B0B01004E
-:102C30000C0B01000D0B01000E0B01000F0B01002E
-:102C4000100B0100110B0100120B0100130B01000E
-:102C5000140B0100150B0100160B0100170B0100EE
-:102C6000180B0100190B01001A0B01001B0B0100CE
-:102C70001C0B01001D0B01001E0B01001F0B0100AE
-:102C8000200B0100210B0100220B0100230B01008E
-:102C9000240B0100250B0100260B0100270B01006E
-:102CA000280B0100290B01002A0B01002B0B01004E
-:102CB0002C0B01002D0B01002E0B01002F0B01002E
-:102CC000300B0100310B0100320B0100330B01000E
-:102CD000340B0100350B0100360B0100370B0100EE
-:102CE000380B0100390B01003A0B01003B0B0100CE
-:102CF0003C0B01003D0B01003E0B01003F0B0100AE
-:102D0000400B0100410B0100420B0100430B01008D
-:102D1000440B0100450B0100460B0100470B01006D
-:102D2000480B0100490B01004A0B01004B0B01004D
-:102D30004C0B01004D0B01004E0B01004F0B01002D
-:102D4000500B0100510B0100520B0100530B01000D
-:102D5000540B0100550B0100560B0100570B0100ED
-:102D6000580B0100590B01005A0B01005B0B0100CD
-:102D70005C0B01005D0B01005E0B01005F0B0100AD
-:102D8000600B0100610B0100620B0100630B01008D
-:102D9000640B0100650B0100660B0100670B01006D
-:102DA000680B0100690B01006A0B01006B0B01004D
-:102DB0006C0B01006D0B01006E0B01006F0B01002D
-:102DC000700B0100710B0100720B0100730B01000D
-:102DD000740B0100750B0100760B0100770B0100ED
-:102DE000780B0100790B01007A0B01007B0B0100CD
-:102DF0007C0B01007D0B01007E0B01007F0B0100AD
-:102E0000800B0100810B0100820B0100830B01008C
-:102E1000840B0100850B0100860B0100870B01006C
-:102E2000880B0100890B01008A0B01008B0B01004C
-:102E30008C0B01008D0B01008E0B01008F0B01002C
-:102E4000900B0100910B0100920B0100930B01000C
-:102E5000940B0100950B0100960B0100970B0100EC
-:102E6000980B0100990B01009A0B01009B0B0100CC
-:102E70009C0B01009D0B01009E0B01009F0B0100AC
-:102E8000A00B0100A10B0100A20B0100A30B01008C
-:102E9000A40B0100A50B0100A60B0100A70B01006C
-:102EA000A80B0100A90B0100AA0B0100AB0B01004C
-:102EB000AC0B0100AD0B0100AE0B0100AF0B01002C
-:102EC000B00B0100B10B0100B20B0100B30B01000C
-:102ED000B40B0100B50B0100B60B0100B70B0100EC
-:102EE000B80B0100B90B0100BA0B0100BB0B0100CC
-:102EF000BC0B0100BD0B0100BE0B0100BF0B0100AC
-:102F0000C00B0100C10B0100C20B0100C30B01008B
-:102F1000C40B0100C50B0100C60B0100C70B01006B
-:102F2000C80B0100C90B0100CA0B0100CB0B01004B
-:102F3000CC0B0100CD0B0100CE0B0100CF0B01002B
-:102F4000D00B0100D10B0100D20B0100D30B01000B
-:102F5000D40B0100D50B0100D60B0100D70B0100EB
-:102F6000D80B0100D90B0100DA0B0100DB0B0100CB
-:102F7000DC0B0100DD0B0100DE0B0100DF0B0100AB
-:102F8000E00B0100E10B0100E20B0100E30B01008B
-:102F9000E40B0100E50B0100E60B0100E70B01006B
-:102FA000E80B0100E90B0100EA0B0100EB0B01004B
-:102FB000EC0B0100ED0B0100EE0B0100EF0B01002B
-:102FC000F00B0100F10B0100F20B0100F30B01000B
-:102FD000F40B0100F50B0100F60B0100F70B0100EB
-:102FE000F80B0100F90B0100FA0B0100FB0B0100CB
-:102FF000FC0B0100FD0B0100FE0B0100FF0B0100AB
-:10300000000C0100010C0100020C0100030C010086
-:10301000040C0100050C0100060C0100070C010066
-:10302000080C0100090C01000A0C01000B0C010046
-:103030000C0C01000D0C01000E0C01000F0C010026
-:10304000100C0100110C0100120C0100130C010006
-:10305000140C0100150C0100160C0100170C0100E6
-:10306000180C0100190C01001A0C01001B0C0100C6
-:103070001C0C01001D0C01001E0C01001F0C0100A6
-:10308000200C0100210C0100220C0100230C010086
-:10309000240C0100250C0100260C0100270C010066
-:1030A000280C0100290C01002A0C01002B0C010046
-:1030B0002C0C01002D0C01002E0C01002F0C010026
-:1030C000300C0100310C0100320C0100330C010006
-:1030D000340C0100350C0100360C0100370C0100E6
-:1030E000380C0100390C01003A0C01003B0C0100C6
-:1030F0003C0C01003D0C01003E0C01003F0C0100A6
-:10310000400C0100410C0100420C0100430C010085
-:10311000440C0100450C0100460C0100470C010065
-:10312000480C0100490C01004A0C01004B0C010045
-:103130004C0C01004D0C01004E0C01004F0C010025
-:10314000500C0100510C0100520C0100530C010005
-:10315000540C0100550C0100560C0100570C0100E5
-:10316000580C0100590C01005A0C01005B0C0100C5
-:103170005C0C01005D0C01005E0C01005F0C0100A5
-:10318000600C0100610C0100620C0100630C010085
-:10319000640C0100650C0100660C0100670C010065
-:1031A000680C0100690C01006A0C01006B0C010045
-:1031B0006C0C01006D0C01006E0C01006F0C010025
-:1031C000700C0100710C0100720C0100730C010005
-:1031D000740C0100750C0100760C0100770C0100E5
-:1031E000780C0100790C01007A0C01007B0C0100C5
-:1031F0007C0C01007D0C01007E0C01007F0C0100A5
-:10320000800C0100810C0100820C0100830C010084
-:10321000840C0100850C0100860C0100870C010064
-:10322000880C0100890C01008A0C01008B0C010044
-:103230008C0C01008D0C01008E0C01008F0C010024
-:10324000900C0100910C0100920C0100930C010004
-:10325000940C0100950C0100960C0100970C0100E4
-:10326000980C0100990C01009A0C01009B0C0100C4
-:103270009C0C01009D0C01009E0C01009F0C0100A4
-:10328000A00C0100A10C0100A20C0100A30C010084
-:10329000A40C0100A50C0100A60C0100A70C010064
-:1032A000A80C0100A90C0100AA0C0100AB0C010044
-:1032B000AC0C0100AD0C0100AE0C0100AF0C010024
-:1032C000B00C0100B10C0100B20C0100B30C010004
-:1032D000B40C0100B50C0100B60C0100B70C0100E4
-:1032E000B80C0100B90C0100BA0C0100BB0C0100C4
-:1032F000BC0C0100BD0C0100BE0C0100BF0C0100A4
-:10330000C00C0100C10C0100C20C0100C30C010083
-:10331000C40C0100C50C0100C60C0100C70C010063
-:10332000C80C0100C90C0100CA0C0100CB0C010043
-:10333000CC0C0100CD0C0100CE0C0100CF0C010023
-:10334000D00C0100D10C0100D20C0100D30C010003
-:10335000D40C0100D50C0100D60C0100D70C0100E3
-:10336000D80C0100D90C0100DA0C0100DB0C0100C3
-:10337000DC0C0100DD0C0100DE0C0100DF0C0100A3
-:10338000E00C0100E10C0100E20C0100E30C010083
-:10339000E40C0100E50C0100E60C0100E70C010063
-:1033A000E80C0100E90C0100EA0C0100EB0C010043
-:1033B000EC0C0100ED0C0100EE0C0100EF0C010023
-:1033C000F00C0100F10C0100F20C0100F30C010003
-:1033D000F40C0100F50C0100F60C0100F70C0100E3
-:1033E000F80C0100F90C0100FA0C0100FB0C0100C3
-:1033F000FC0C0100FD0C0100FE0C0100FF0C0100A3
-:10340000000D0100010D0100020D0100030D01007E
-:10341000040D0100050D0100060D0100070D01005E
-:10342000080D0100090D01000A0D01000B0D01003E
-:103430000C0D01000D0D01000E0D01000F0D01001E
-:10344000100D0100110D0100120D0100130D0100FE
-:10345000140D0100150D0100160D0100170D0100DE
-:10346000180D0100190D01001A0D01001B0D0100BE
-:103470001C0D01001D0D01001E0D01001F0D01009E
-:10348000200D0100210D0100220D0100230D01007E
-:10349000240D0100250D0100260D0100270D01005E
-:1034A000280D0100290D01002A0D01002B0D01003E
-:1034B0002C0D01002D0D01002E0D01002F0D01001E
-:1034C000300D0100310D0100320D0100330D0100FE
-:1034D000340D0100350D0100360D0100370D0100DE
-:1034E000380D0100390D01003A0D01003B0D0100BE
-:1034F0003C0D01003D0D01003E0D01003F0D01009E
-:10350000400D0100410D0100420D0100430D01007D
-:10351000440D0100450D0100460D0100470D01005D
-:10352000480D0100490D01004A0D01004B0D01003D
-:103530004C0D01004D0D01004E0D01004F0D01001D
-:10354000500D0100510D0100520D0100530D0100FD
-:10355000540D0100550D0100560D0100570D0100DD
-:10356000580D0100590D01005A0D01005B0D0100BD
-:103570005C0D01005D0D01005E0D01005F0D01009D
-:10358000600D0100610D0100620D0100630D01007D
-:10359000640D0100650D0100660D0100670D01005D
-:1035A000680D0100690D01006A0D01006B0D01003D
-:1035B0006C0D01006D0D01006E0D01006F0D01001D
-:1035C000700D0100710D0100720D0100730D0100FD
-:1035D000740D0100750D0100760D0100770D0100DD
-:1035E000780D0100790D01007A0D01007B0D0100BD
-:1035F0007C0D01007D0D01007E0D01007F0D01009D
-:10360000800D0100810D0100820D0100830D01007C
-:10361000840D0100850D0100860D0100870D01005C
-:10362000880D0100890D01008A0D01008B0D01003C
-:103630008C0D01008D0D01008E0D01008F0D01001C
-:10364000900D0100910D0100920D0100930D0100FC
-:10365000940D0100950D0100960D0100970D0100DC
-:10366000980D0100990D01009A0D01009B0D0100BC
-:103670009C0D01009D0D01009E0D01009F0D01009C
-:10368000A00D0100A10D0100A20D0100A30D01007C
-:10369000A40D0100A50D0100A60D0100A70D01005C
-:1036A000A80D0100A90D0100AA0D0100AB0D01003C
-:1036B000AC0D0100AD0D0100AE0D0100AF0D01001C
-:1036C000B00D0100B10D0100B20D0100B30D0100FC
-:1036D000B40D0100B50D0100B60D0100B70D0100DC
-:1036E000B80D0100B90D0100BA0D0100BB0D0100BC
-:1036F000BC0D0100BD0D0100BE0D0100BF0D01009C
-:10370000C00D0100C10D0100C20D0100C30D01007B
-:10371000C40D0100C50D0100C60D0100C70D01005B
-:10372000C80D0100C90D0100CA0D0100CB0D01003B
-:10373000CC0D0100CD0D0100CE0D0100CF0D01001B
-:10374000D00D0100D10D0100D20D0100D30D0100FB
-:10375000D40D0100D50D0100D60D0100D70D0100DB
-:10376000D80D0100D90D0100DA0D0100DB0D0100BB
-:10377000DC0D0100DD0D0100DE0D0100DF0D01009B
-:10378000E00D0100E10D0100E20D0100E30D01007B
-:10379000E40D0100E50D0100E60D0100E70D01005B
-:1037A000E80D0100E90D0100EA0D0100EB0D01003B
-:1037B000EC0D0100ED0D0100EE0D0100EF0D01001B
-:1037C000F00D0100F10D0100F20D0100F30D0100FB
-:1037D000F40D0100F50D0100F60D0100F70D0100DB
-:1037E000F80D0100F90D0100FA0D0100FB0D0100BB
-:1037F000FC0D0100FD0D0100FE0D0100FF0D01009B
-:10380000000E0100010E0100020E0100030E010076
-:10381000040E0100050E0100060E0100070E010056
-:10382000080E0100090E01000A0E01000B0E010036
-:103830000C0E01000D0E01000E0E01000F0E010016
-:10384000100E0100110E0100120E0100130E0100F6
-:10385000140E0100150E0100160E0100170E0100D6
-:10386000180E0100190E01001A0E01001B0E0100B6
-:103870001C0E01001D0E01001E0E01001F0E010096
-:10388000200E0100210E0100220E0100230E010076
-:10389000240E0100250E0100260E0100270E010056
-:1038A000280E0100290E01002A0E01002B0E010036
-:1038B0002C0E01002D0E01002E0E01002F0E010016
-:1038C000300E0100310E0100320E0100330E0100F6
-:1038D000340E0100350E0100360E0100370E0100D6
-:1038E000380E0100390E01003A0E01003B0E0100B6
-:1038F0003C0E01003D0E01003E0E01003F0E010096
-:10390000400E0100410E0100420E0100430E010075
-:10391000440E0100450E0100460E0100470E010055
-:10392000480E0100490E01004A0E01004B0E010035
-:103930004C0E01004D0E01004E0E01004F0E010015
-:10394000500E0100510E0100520E0100530E0100F5
-:10395000540E0100550E0100560E0100570E0100D5
-:10396000580E0100590E01005A0E01005B0E0100B5
-:103970005C0E01005D0E01005E0E01005F0E010095
-:10398000600E0100610E0100620E0100630E010075
-:10399000640E0100650E0100660E0100670E010055
-:1039A000680E0100690E01006A0E01006B0E010035
-:1039B0006C0E01006D0E01006E0E01006F0E010015
-:1039C000700E0100710E0100720E0100730E0100F5
-:1039D000740E0100750E0100760E0100770E0100D5
-:1039E000780E0100790E01007A0E01007B0E0100B5
-:1039F0007C0E01007D0E01007E0E01007F0E010095
-:103A0000800E0100810E0100820E0100830E010074
-:103A1000840E0100850E0100860E0100870E010054
-:103A2000880E0100890E01008A0E01008B0E010034
-:103A30008C0E01008D0E01008E0E01008F0E010014
-:103A4000900E0100910E0100920E0100930E0100F4
-:103A5000940E0100950E0100960E0100970E0100D4
-:103A6000980E0100990E01009A0E01009B0E0100B4
-:103A70009C0E01009D0E01009E0E01009F0E010094
-:103A8000A00E0100A10E0100A20E0100A30E010074
-:103A9000A40E0100A50E0100A60E0100A70E010054
-:103AA000A80E0100A90E0100AA0E0100AB0E010034
-:103AB000AC0E0100AD0E0100AE0E0100AF0E010014
-:103AC000B00E0100B10E0100B20E0100B30E0100F4
-:103AD000B40E0100B50E0100B60E0100B70E0100D4
-:103AE000B80E0100B90E0100BA0E0100BB0E0100B4
-:103AF000BC0E0100BD0E0100BE0E0100BF0E010094
-:103B0000C00E0100C10E0100C20E0100C30E010073
-:103B1000C40E0100C50E0100C60E0100C70E010053
-:103B2000C80E0100C90E0100CA0E0100CB0E010033
-:103B3000CC0E0100CD0E0100CE0E0100CF0E010013
-:103B4000D00E0100D10E0100D20E0100D30E0100F3
-:103B5000D40E0100D50E0100D60E0100D70E0100D3
-:103B6000D80E0100D90E0100DA0E0100DB0E0100B3
-:103B7000DC0E0100DD0E0100DE0E0100DF0E010093
-:103B8000E00E0100E10E0100E20E0100E30E010073
-:103B9000E40E0100E50E0100E60E0100E70E010053
-:103BA000E80E0100E90E0100EA0E0100EB0E010033
-:103BB000EC0E0100ED0E0100EE0E0100EF0E010013
-:103BC000F00E0100F10E0100F20E0100F30E0100F3
-:103BD000F40E0100F50E0100F60E0100F70E0100D3
-:103BE000F80E0100F90E0100FA0E0100FB0E0100B3
-:103BF000FC0E0100FD0E0100FE0E0100FF0E010093
-:103C0000000F0100010F0100020F0100030F01006E
-:103C1000040F0100050F0100060F0100070F01004E
-:103C2000080F0100090F01000A0F01000B0F01002E
-:103C30000C0F01000D0F01000E0F01000F0F01000E
-:103C4000100F0100110F0100120F0100130F0100EE
-:103C5000140F0100150F0100160F0100170F0100CE
-:103C6000180F0100190F01001A0F01001B0F0100AE
-:103C70001C0F01001D0F01001E0F01001F0F01008E
-:103C8000200F0100210F0100220F0100230F01006E
-:103C9000240F0100250F0100260F0100270F01004E
-:103CA000280F0100290F01002A0F01002B0F01002E
-:103CB0002C0F01002D0F01002E0F01002F0F01000E
-:103CC000300F0100310F0100320F0100330F0100EE
-:103CD000340F0100350F0100360F0100370F0100CE
-:103CE000380F0100390F01003A0F01003B0F0100AE
-:103CF0003C0F01003D0F01003E0F01003F0F01008E
-:103D0000400F0100410F0100420F0100430F01006D
-:103D1000440F0100450F0100460F0100470F01004D
-:103D2000480F0100490F01004A0F01004B0F01002D
-:103D30004C0F01004D0F01004E0F01004F0F01000D
-:103D4000500F0100510F0100520F0100530F0100ED
-:103D5000540F0100550F0100560F0100570F0100CD
-:103D6000580F0100590F01005A0F01005B0F0100AD
-:103D70005C0F01005D0F01005E0F01005F0F01008D
-:103D8000600F0100610F0100620F0100630F01006D
-:103D9000640F0100650F0100660F0100670F01004D
-:103DA000680F0100690F01006A0F01006B0F01002D
-:103DB0006C0F01006D0F01006E0F01006F0F01000D
-:103DC000700F0100710F0100720F0100730F0100ED
-:103DD000740F0100750F0100760F0100770F0100CD
-:103DE000780F0100790F01007A0F01007B0F0100AD
-:103DF0007C0F01007D0F01007E0F01007F0F01008D
-:103E0000800F0100810F0100820F0100830F01006C
-:103E1000840F0100850F0100860F0100870F01004C
-:103E2000880F0100890F01008A0F01008B0F01002C
-:103E30008C0F01008D0F01008E0F01008F0F01000C
-:103E4000900F0100910F0100920F0100930F0100EC
-:103E5000940F0100950F0100960F0100970F0100CC
-:103E6000980F0100990F01009A0F01009B0F0100AC
-:103E70009C0F01009D0F01009E0F01009F0F01008C
-:103E8000A00F0100A10F0100A20F0100A30F01006C
-:103E9000A40F0100A50F0100A60F0100A70F01004C
-:103EA000A80F0100A90F0100AA0F0100AB0F01002C
-:103EB000AC0F0100AD0F0100AE0F0100AF0F01000C
-:103EC000B00F0100B10F0100B20F0100B30F0100EC
-:103ED000B40F0100B50F0100B60F0100B70F0100CC
-:103EE000B80F0100B90F0100BA0F0100BB0F0100AC
-:103EF000BC0F0100BD0F0100BE0F0100BF0F01008C
-:103F0000C00F0100C10F0100C20F0100C30F01006B
-:103F1000C40F0100C50F0100C60F0100C70F01004B
-:103F2000C80F0100C90F0100CA0F0100CB0F01002B
-:103F3000CC0F0100CD0F0100CE0F0100CF0F01000B
-:103F4000D00F0100D10F0100D20F0100D30F0100EB
-:103F5000D40F0100D50F0100D60F0100D70F0100CB
-:103F6000D80F0100D90F0100DA0F0100DB0F0100AB
-:103F7000DC0F0100DD0F0100DE0F0100DF0F01008B
-:103F8000E00F0100E10F0100E20F0100E30F01006B
-:103F9000E40F0100E50F0100E60F0100E70F01004B
-:103FA000E80F0100E90F0100EA0F0100EB0F01002B
-:103FB000EC0F0100ED0F0100EE0F0100EF0F01000B
-:103FC000F00F0100F10F0100F20F0100F30F0100EB
-:103FD000F40F0100F50F0100F60F0100F70F0100CB
-:103FE000F80F0100F90F0100FA0F0100FB0F0100AB
-:103FF000FC0F0100FD0F0100FE0F0100FF0F01008B
-:104000000010010001100100021001000310010066
-:104010000410010005100100061001000710010046
-:1040200008100100091001000A1001000B10010026
-:104030000C1001000D1001000E1001000F10010006
-:1040400010100100111001001210010013100100E6
-:1040500014100100151001001610010017100100C6
-:1040600018100100191001001A1001001B100100A6
-:104070001C1001001D1001001E1001001F10010086
-:104080002010010021100100221001002310010066
-:104090002410010025100100261001002710010046
-:1040A00028100100291001002A1001002B10010026
-:1040B0002C1001002D1001002E1001002F10010006
-:1040C00030100100311001003210010033100100E6
-:1040D00034100100351001003610010037100100C6
-:1040E00038100100391001003A1001003B100100A6
-:1040F0003C1001003D1001003E1001003F10010086
-:104100004010010041100100421001004310010065
-:104110004410010045100100461001004710010045
-:1041200048100100491001004A1001004B10010025
-:104130004C1001004D1001004E1001004F10010005
-:1041400050100100511001005210010053100100E5
-:1041500054100100551001005610010057100100C5
-:1041600058100100591001005A1001005B100100A5
-:104170005C1001005D1001005E1001005F10010085
-:104180006010010061100100621001006310010065
-:104190006410010065100100661001006710010045
-:1041A00068100100691001006A1001006B10010025
-:1041B0006C1001006D1001006E1001006F10010005
-:1041C00070100100711001007210010073100100E5
-:1041D00074100100751001007610010077100100C5
-:1041E00078100100791001007A1001007B100100A5
-:1041F0007C1001007D1001007E1001007F10010085
-:104200008010010081100100821001008310010064
-:104210008410010085100100861001008710010044
-:1042200088100100891001008A1001008B10010024
-:104230008C1001008D1001008E1001008F10010004
-:1042400090100100911001009210010093100100E4
-:1042500094100100951001009610010097100100C4
-:1042600098100100991001009A1001009B100100A4
-:104270009C1001009D1001009E1001009F10010084
-:10428000A0100100A1100100A2100100A310010064
-:10429000A4100100A5100100A6100100A710010044
-:1042A000A8100100A9100100AA100100AB10010024
-:1042B000AC100100AD100100AE100100AF10010004
-:1042C000B0100100B1100100B2100100B3100100E4
-:1042D000B4100100B5100100B6100100B7100100C4
-:1042E000B8100100B9100100BA100100BB100100A4
-:1042F000BC100100BD100100BE100100BF10010084
-:10430000C0100100C1100100C2100100C310010063
-:10431000C4100100C5100100C6100100C710010043
-:10432000C8100100C9100100CA100100CB10010023
-:10433000CC100100CD100100CE100100CF10010003
-:10434000D0100100D1100100D2100100D3100100E3
-:10435000D4100100D5100100D6100100D7100100C3
-:10436000D8100100D9100100DA100100DB100100A3
-:10437000DC100100DD100100DE100100DF10010083
-:10438000E0100100E1100100E2100100E310010063
-:10439000E4100100E5100100E6100100E710010043
-:1043A000E8100100E9100100EA100100EB10010023
-:1043B000EC100100ED100100EE100100EF10010003
-:1043C000F0100100F1100100F2100100F3100100E3
-:1043D000F4100100F5100100F6100100F7100100C3
-:1043E000F8100100F9100100FA100100FB100100A3
-:1043F000FC100100FD100100FE100100FF10010083
-:10440000001101000111010002110100031101005E
-:10441000041101000511010006110100071101003E
-:1044200008110100091101000A1101000B1101001E
-:104430000C1101000D1101000E1101000F110100FE
-:1044400010110100111101001211010013110100DE
-:1044500014110100151101001611010017110100BE
-:1044600018110100191101001A1101001B1101009E
-:104470001C1101001D1101001E1101001F1101007E
-:10448000201101002111010022110100231101005E
-:10449000241101002511010026110100271101003E
-:1044A00028110100291101002A1101002B1101001E
-:1044B0002C1101002D1101002E1101002F110100FE
-:1044C00030110100311101003211010033110100DE
-:1044D00034110100351101003611010037110100BE
-:1044E00038110100391101003A1101003B1101009E
-:1044F0003C1101003D1101003E1101003F1101007E
-:10450000401101004111010042110100431101005D
-:10451000441101004511010046110100471101003D
-:1045200048110100491101004A1101004B1101001D
-:104530004C1101004D1101004E1101004F110100FD
-:1045400050110100511101005211010053110100DD
-:1045500054110100551101005611010057110100BD
-:1045600058110100591101005A1101005B1101009D
-:104570005C1101005D1101005E1101005F1101007D
-:10458000601101006111010062110100631101005D
-:10459000641101006511010066110100671101003D
-:1045A00068110100691101006A1101006B1101001D
-:1045B0006C1101006D1101006E1101006F110100FD
-:1045C00070110100711101007211010073110100DD
-:1045D00074110100751101007611010077110100BD
-:1045E00078110100791101007A1101007B1101009D
-:1045F0007C1101007D1101007E1101007F1101007D
-:10460000801101008111010082110100831101005C
-:10461000841101008511010086110100871101003C
-:1046200088110100891101008A1101008B1101001C
-:104630008C1101008D1101008E1101008F110100FC
-:1046400090110100911101009211010093110100DC
-:1046500094110100951101009611010097110100BC
-:1046600098110100991101009A1101009B1101009C
-:104670009C1101009D1101009E1101009F1101007C
-:10468000A0110100A1110100A2110100A31101005C
-:10469000A4110100A5110100A6110100A71101003C
-:1046A000A8110100A9110100AA110100AB1101001C
-:1046B000AC110100AD110100AE110100AF110100FC
-:1046C000B0110100B1110100B2110100B3110100DC
-:1046D000B4110100B5110100B6110100B7110100BC
-:1046E000B8110100B9110100BA110100BB1101009C
-:1046F000BC110100BD110100BE110100BF1101007C
-:10470000C0110100C1110100C2110100C31101005B
-:10471000C4110100C5110100C6110100C71101003B
-:10472000C8110100C9110100CA110100CB1101001B
-:10473000CC110100CD110100CE110100CF110100FB
-:10474000D0110100D1110100D2110100D3110100DB
-:10475000D4110100D5110100D6110100D7110100BB
-:10476000D8110100D9110100DA110100DB1101009B
-:10477000DC110100DD110100DE110100DF1101007B
-:10478000E0110100E1110100E2110100E31101005B
-:10479000E4110100E5110100E6110100E71101003B
-:1047A000E8110100E9110100EA110100EB1101001B
-:1047B000EC110100ED110100EE110100EF110100FB
-:1047C000F0110100F1110100F2110100F3110100DB
-:1047D000F4110100F5110100F6110100F7110100BB
-:1047E000F8110100F9110100FA110100FB1101009B
-:1047F000FC110100FD110100FE110100FF1101007B
-:104800000012010001120100021201000312010056
-:104810000412010005120100061201000712010036
-:1048200008120100091201000A1201000B12010016
-:104830000C1201000D1201000E1201000F120100F6
-:1048400010120100111201001212010013120100D6
-:1048500014120100151201001612010017120100B6
-:1048600018120100191201001A1201001B12010096
-:104870001C1201001D1201001E1201001F12010076
-:104880002012010021120100221201002312010056
-:104890002412010025120100261201002712010036
-:1048A00028120100291201002A1201002B12010016
-:1048B0002C1201002D1201002E1201002F120100F6
-:1048C00030120100311201003212010033120100D6
-:1048D00034120100351201003612010037120100B6
-:1048E00038120100391201003A1201003B12010096
-:1048F0003C1201003D1201003E1201003F12010076
-:104900004012010041120100421201004312010055
-:104910004412010045120100461201004712010035
-:1049200048120100491201004A1201004B12010015
-:104930004C1201004D1201004E1201004F120100F5
-:1049400050120100511201005212010053120100D5
-:1049500054120100551201005612010057120100B5
-:1049600058120100591201005A1201005B12010095
-:104970005C1201005D1201005E1201005F12010075
-:104980006012010061120100621201006312010055
-:104990006412010065120100661201006712010035
-:1049A00068120100691201006A1201006B12010015
-:1049B0006C1201006D1201006E1201006F120100F5
-:1049C00070120100711201007212010073120100D5
-:1049D00074120100751201007612010077120100B5
-:1049E00078120100791201007A1201007B12010095
-:1049F0007C1201007D1201007E1201007F12010075
-:104A00008012010081120100821201008312010054
-:104A10008412010085120100861201008712010034
-:104A200088120100891201008A1201008B12010014
-:104A30008C1201008D1201008E1201008F120100F4
-:104A400090120100911201009212010093120100D4
-:104A500094120100951201009612010097120100B4
-:104A600098120100991201009A1201009B12010094
-:104A70009C1201009D1201009E1201009F12010074
-:104A8000A0120100A1120100A2120100A312010054
-:104A9000A4120100A5120100A6120100A712010034
-:104AA000A8120100A9120100AA120100AB12010014
-:104AB000AC120100AD120100AE120100AF120100F4
-:104AC000B0120100B1120100B2120100B3120100D4
-:104AD000B4120100B5120100B6120100B7120100B4
-:104AE000B8120100B9120100BA120100BB12010094
-:104AF000BC120100BD120100BE120100BF12010074
-:104B0000C0120100C1120100C2120100C312010053
-:104B1000C4120100C5120100C6120100C712010033
-:104B2000C8120100C9120100CA120100CB12010013
-:104B3000CC120100CD120100CE120100CF120100F3
-:104B4000D0120100D1120100D2120100D3120100D3
-:104B5000D4120100D5120100D6120100D7120100B3
-:104B6000D8120100D9120100DA120100DB12010093
-:104B7000DC120100DD120100DE120100DF12010073
-:104B8000E0120100E1120100E2120100E312010053
-:104B9000E4120100E5120100E6120100E712010033
-:104BA000E8120100E9120100EA120100EB12010013
-:104BB000EC120100ED120100EE120100EF120100F3
-:104BC000F0120100F1120100F2120100F3120100D3
-:104BD000F4120100F5120100F6120100F7120100B3
-:104BE000F8120100F9120100FA120100FB12010093
-:104BF000FC120100FD120100FE120100FF12010073
-:104C0000001301000113010002130100031301004E
-:104C1000041301000513010006130100071301002E
-:104C200008130100091301000A1301000B1301000E
-:104C30000C1301000D1301000E1301000F130100EE
-:104C400010130100111301001213010013130100CE
-:104C500014130100151301001613010017130100AE
-:104C600018130100191301001A1301001B1301008E
-:104C70001C1301001D1301001E1301001F1301006E
-:104C8000201301002113010022130100231301004E
-:104C9000241301002513010026130100271301002E
-:104CA00028130100291301002A1301002B1301000E
-:104CB0002C1301002D1301002E1301002F130100EE
-:104CC00030130100311301003213010033130100CE
-:104CD00034130100351301003613010037130100AE
-:104CE00038130100391301003A1301003B1301008E
-:104CF0003C1301003D1301003E1301003F1301006E
-:104D0000401301004113010042130100431301004D
-:104D1000441301004513010046130100471301002D
-:104D200048130100491301004A1301004B1301000D
-:104D30004C1301004D1301004E1301004F130100ED
-:104D400050130100511301005213010053130100CD
-:104D500054130100551301005613010057130100AD
-:104D600058130100591301005A1301005B1301008D
-:104D70005C1301005D1301005E1301005F1301006D
-:104D8000601301006113010062130100631301004D
-:104D9000641301006513010066130100671301002D
-:104DA00068130100691301006A1301006B1301000D
-:104DB0006C1301006D1301006E1301006F130100ED
-:104DC00070130100711301007213010073130100CD
-:104DD00074130100751301007613010077130100AD
-:104DE00078130100791301007A1301007B1301008D
-:104DF0007C1301007D1301007E1301007F1301006D
-:104E0000801301008113010082130100831301004C
-:104E1000841301008513010086130100871301002C
-:104E200088130100891301008A1301008B1301000C
-:104E30008C1301008D1301008E1301008F130100EC
-:104E400090130100911301009213010093130100CC
-:104E500094130100951301009613010097130100AC
-:104E600098130100991301009A1301009B1301008C
-:104E70009C1301009D1301009E1301009F1301006C
-:104E8000A0130100A1130100A2130100A31301004C
-:104E9000A4130100A5130100A6130100A71301002C
-:104EA000A8130100A9130100AA130100AB1301000C
-:104EB000AC130100AD130100AE130100AF130100EC
-:104EC000B0130100B1130100B2130100B3130100CC
-:104ED000B4130100B5130100B6130100B7130100AC
-:104EE000B8130100B9130100BA130100BB1301008C
-:104EF000BC130100BD130100BE130100BF1301006C
-:104F0000C0130100C1130100C2130100C31301004B
-:104F1000C4130100C5130100C6130100C71301002B
-:104F2000C8130100C9130100CA130100CB1301000B
-:104F3000CC130100CD130100CE130100CF130100EB
-:104F4000D0130100D1130100D2130100D3130100CB
-:104F5000D4130100D5130100D6130100D7130100AB
-:104F6000D8130100D9130100DA130100DB1301008B
-:104F7000DC130100DD130100DE130100DF1301006B
-:104F8000E0130100E1130100E2130100E31301004B
-:104F9000E4130100E5130100E6130100E71301002B
-:104FA000E8130100E9130100EA130100EB1301000B
-:104FB000EC130100ED130100EE130100EF130100EB
-:104FC000F0130100F1130100F2130100F3130100CB
-:104FD000F4130100F5130100F6130100F7130100AB
-:104FE000F8130100F9130100FA130100FB1301008B
-:104FF000FC130100FD130100FE130100FF1301006B
-:105000000014010001140100021401000314010046
-:105010000414010005140100061401000714010026
-:1050200008140100091401000A1401000B14010006
-:105030000C1401000D1401000E1401000F140100E6
-:1050400010140100111401001214010013140100C6
-:1050500014140100151401001614010017140100A6
-:1050600018140100191401001A1401001B14010086
-:105070001C1401001D1401001E1401001F14010066
-:105080002014010021140100221401002314010046
-:105090002414010025140100261401002714010026
-:1050A00028140100291401002A1401002B14010006
-:1050B0002C1401002D1401002E1401002F140100E6
-:1050C00030140100311401003214010033140100C6
-:1050D00034140100351401003614010037140100A6
-:1050E00038140100391401003A1401003B14010086
-:1050F0003C1401003D1401003E1401003F14010066
-:105100004014010041140100421401004314010045
-:105110004414010045140100461401004714010025
-:1051200048140100491401004A1401004B14010005
-:105130004C1401004D1401004E1401004F140100E5
-:1051400050140100511401005214010053140100C5
-:1051500054140100551401005614010057140100A5
-:1051600058140100591401005A1401005B14010085
-:105170005C1401005D1401005E1401005F14010065
-:105180006014010061140100621401006314010045
-:105190006414010065140100661401006714010025
-:1051A00068140100691401006A1401006B14010005
-:1051B0006C1401006D1401006E1401006F140100E5
-:1051C00070140100711401007214010073140100C5
-:1051D00074140100751401007614010077140100A5
-:1051E00078140100791401007A1401007B14010085
-:1051F0007C1401007D1401007E1401007F14010065
-:105200008014010081140100821401008314010044
-:105210008414010085140100861401008714010024
-:1052200088140100891401008A1401008B14010004
-:105230008C1401008D1401008E1401008F140100E4
-:1052400090140100911401009214010093140100C4
-:1052500094140100951401009614010097140100A4
-:1052600098140100991401009A1401009B14010084
-:105270009C1401009D1401009E1401009F14010064
-:10528000A0140100A1140100A2140100A314010044
-:10529000A4140100A5140100A6140100A714010024
-:1052A000A8140100A9140100AA140100AB14010004
-:1052B000AC140100AD140100AE140100AF140100E4
-:1052C000B0140100B1140100B2140100B3140100C4
-:1052D000B4140100B5140100B6140100B7140100A4
-:1052E000B8140100B9140100BA140100BB14010084
-:1052F000BC140100BD140100BE140100BF14010064
-:10530000C0140100C1140100C2140100C314010043
-:10531000C4140100C5140100C6140100C714010023
-:10532000C8140100C9140100CA140100CB14010003
-:10533000CC140100CD140100CE140100CF140100E3
-:10534000D0140100D1140100D2140100D3140100C3
-:10535000D4140100D5140100D6140100D7140100A3
-:10536000D8140100D9140100DA140100DB14010083
-:10537000DC140100DD140100DE140100DF14010063
-:10538000E0140100E1140100E2140100E314010043
-:10539000E4140100E5140100E6140100E714010023
-:1053A000E8140100E9140100EA140100EB14010003
-:1053B000EC140100ED140100EE140100EF140100E3
-:1053C000F0140100F1140100F2140100F3140100C3
-:1053D000F4140100F5140100F6140100F7140100A3
-:1053E000F8140100F9140100FA140100FB14010083
-:1053F000FC140100FD140100FE140100FF14010063
-:10540000001501000115010002150100031501003E
-:10541000041501000515010006150100071501001E
-:1054200008150100091501000A1501000B150100FE
-:105430000C1501000D1501000E1501000F150100DE
-:1054400010150100111501001215010013150100BE
-:10545000141501001515010016150100171501009E
-:1054600018150100191501001A1501001B1501007E
-:105470001C1501001D1501001E1501001F1501005E
-:10548000201501002115010022150100231501003E
-:10549000241501002515010026150100271501001E
-:1054A00028150100291501002A1501002B150100FE
-:1054B0002C1501002D1501002E1501002F150100DE
-:1054C00030150100311501003215010033150100BE
-:1054D000341501003515010036150100371501009E
-:1054E00038150100391501003A1501003B1501007E
-:1054F0003C1501003D1501003E1501003F1501005E
-:10550000401501004115010042150100431501003D
-:10551000441501004515010046150100471501001D
-:1055200048150100491501004A1501004B150100FD
-:105530004C1501004D1501004E1501004F150100DD
-:1055400050150100511501005215010053150100BD
-:10555000541501005515010056150100571501009D
-:1055600058150100591501005A1501005B1501007D
-:105570005C1501005D1501005E1501005F1501005D
-:10558000601501006115010062150100631501003D
-:10559000641501006515010066150100671501001D
-:1055A00068150100691501006A1501006B150100FD
-:1055B0006C1501006D1501006E1501006F150100DD
-:1055C00070150100711501007215010073150100BD
-:1055D000741501007515010076150100771501009D
-:1055E00078150100791501007A1501007B1501007D
-:1055F0007C1501007D1501007E1501007F1501005D
-:10560000801501008115010082150100831501003C
-:10561000841501008515010086150100871501001C
-:1056200088150100891501008A1501008B150100FC
-:105630008C1501008D1501008E1501008F150100DC
-:1056400090150100911501009215010093150100BC
-:10565000941501009515010096150100971501009C
-:1056600098150100991501009A1501009B1501007C
-:105670009C1501009D1501009E1501009F1501005C
-:10568000A0150100A1150100A2150100A31501003C
-:10569000A4150100A5150100A6150100A71501001C
-:1056A000A8150100A9150100AA150100AB150100FC
-:1056B000AC150100AD150100AE150100AF150100DC
-:1056C000B0150100B1150100B2150100B3150100BC
-:1056D000B4150100B5150100B6150100B71501009C
-:1056E000B8150100B9150100BA150100BB1501007C
-:1056F000BC150100BD150100BE150100BF1501005C
-:10570000C0150100C1150100C2150100C31501003B
-:10571000C4150100C5150100C6150100C71501001B
-:10572000C8150100C9150100CA150100CB150100FB
-:10573000CC150100CD150100CE150100CF150100DB
-:10574000D0150100D1150100D2150100D3150100BB
-:10575000D4150100D5150100D6150100D71501009B
-:10576000D8150100D9150100DA150100DB1501007B
-:10577000DC150100DD150100DE150100DF1501005B
-:10578000E0150100E1150100E2150100E31501003B
-:10579000E4150100E5150100E6150100E71501001B
-:1057A000E8150100E9150100EA150100EB150100FB
-:1057B000EC150100ED150100EE150100EF150100DB
-:1057C000F0150100F1150100F2150100F3150100BB
-:1057D000F4150100F5150100F6150100F71501009B
-:1057E000F8150100F9150100FA150100FB1501007B
-:1057F000FC150100FD150100FE150100FF1501005B
-:105800000016010001160100021601000316010036
-:105810000416010005160100061601000716010016
-:1058200008160100091601000A1601000B160100F6
-:105830000C1601000D1601000E1601000F160100D6
-:1058400010160100111601001216010013160100B6
-:105850001416010015160100161601001716010096
-:1058600018160100191601001A1601001B16010076
-:105870001C1601001D1601001E1601001F16010056
-:105880002016010021160100221601002316010036
-:105890002416010025160100261601002716010016
-:1058A00028160100291601002A1601002B160100F6
-:1058B0002C1601002D1601002E1601002F160100D6
-:1058C00030160100311601003216010033160100B6
-:1058D0003416010035160100361601003716010096
-:1058E00038160100391601003A1601003B16010076
-:1058F0003C1601003D1601003E1601003F16010056
-:105900004016010041160100421601004316010035
-:105910004416010045160100461601004716010015
-:1059200048160100491601004A1601004B160100F5
-:105930004C1601004D1601004E1601004F160100D5
-:1059400050160100511601005216010053160100B5
-:105950005416010055160100561601005716010095
-:1059600058160100591601005A1601005B16010075
-:105970005C1601005D1601005E1601005F16010055
-:105980006016010061160100621601006316010035
-:105990006416010065160100661601006716010015
-:1059A00068160100691601006A1601006B160100F5
-:1059B0006C1601006D1601006E1601006F160100D5
-:1059C00070160100711601007216010073160100B5
-:1059D0007416010075160100761601007716010095
-:1059E00078160100791601007A1601007B16010075
-:1059F0007C1601007D1601007E1601007F16010055
-:105A00008016010081160100821601008316010034
-:105A10008416010085160100861601008716010014
-:105A200088160100891601008A1601008B160100F4
-:105A30008C1601008D1601008E1601008F160100D4
-:105A400090160100911601009216010093160100B4
-:105A50009416010095160100961601009716010094
-:105A600098160100991601009A1601009B16010074
-:105A70009C1601009D1601009E1601009F16010054
-:105A8000A0160100A1160100A2160100A316010034
-:105A9000A4160100A5160100A6160100A716010014
-:105AA000A8160100A9160100AA160100AB160100F4
-:105AB000AC160100AD160100AE160100AF160100D4
-:105AC000B0160100B1160100B2160100B3160100B4
-:105AD000B4160100B5160100B6160100B716010094
-:105AE000B8160100B9160100BA160100BB16010074
-:105AF000BC160100BD160100BE160100BF16010054
-:105B0000C0160100C1160100C2160100C316010033
-:105B1000C4160100C5160100C6160100C716010013
-:105B2000C8160100C9160100CA160100CB160100F3
-:105B3000CC160100CD160100CE160100CF160100D3
-:105B4000D0160100D1160100D2160100D3160100B3
-:105B5000D4160100D5160100D6160100D716010093
-:105B6000D8160100D9160100DA160100DB16010073
-:105B7000DC160100DD160100DE160100DF16010053
-:105B8000E0160100E1160100E2160100E316010033
-:105B9000E4160100E5160100E6160100E716010013
-:105BA000E8160100E9160100EA160100EB160100F3
-:105BB000EC160100ED160100EE160100EF160100D3
-:105BC000F0160100F1160100F2160100F3160100B3
-:105BD000F4160100F5160100F6160100F716010093
-:105BE000F8160100F9160100FA160100FB16010073
-:105BF000FC160100FD160100FE160100FF16010053
-:105C0000001701000117010002170100031701002E
-:105C1000041701000517010006170100071701000E
-:105C200008170100091701000A1701000B170100EE
-:105C30000C1701000D1701000E1701000F170100CE
-:105C400010170100111701001217010013170100AE
-:105C5000141701001517010016170100171701008E
-:105C600018170100191701001A1701001B1701006E
-:105C70001C1701001D1701001E1701001F1701004E
-:105C8000201701002117010022170100231701002E
-:105C9000241701002517010026170100271701000E
-:105CA00028170100291701002A1701002B170100EE
-:105CB0002C1701002D1701002E1701002F170100CE
-:105CC00030170100311701003217010033170100AE
-:105CD000341701003517010036170100371701008E
-:105CE00038170100391701003A1701003B1701006E
-:105CF0003C1701003D1701003E1701003F1701004E
-:105D0000401701004117010042170100431701002D
-:105D1000441701004517010046170100471701000D
-:105D200048170100491701004A1701004B170100ED
-:105D30004C1701004D1701004E1701004F170100CD
-:105D400050170100511701005217010053170100AD
-:105D5000541701005517010056170100571701008D
-:105D600058170100591701005A1701005B1701006D
-:105D70005C1701005D1701005E1701005F1701004D
-:105D8000601701006117010062170100631701002D
-:105D9000641701006517010066170100671701000D
-:105DA00068170100691701006A1701006B170100ED
-:105DB0006C1701006D1701006E1701006F170100CD
-:105DC00070170100711701007217010073170100AD
-:105DD000741701007517010076170100771701008D
-:105DE00078170100791701007A1701007B1701006D
-:105DF0007C1701007D1701007E1701007F1701004D
-:105E0000801701008117010082170100831701002C
-:105E1000841701008517010086170100871701000C
-:105E200088170100891701008A1701008B170100EC
-:105E30008C1701008D1701008E1701008F170100CC
-:105E400090170100911701009217010093170100AC
-:105E5000941701009517010096170100971701008C
-:105E600098170100991701009A1701009B1701006C
-:105E70009C1701009D1701009E1701009F1701004C
-:105E8000A0170100A1170100A2170100A31701002C
-:105E9000A4170100A5170100A6170100A71701000C
-:105EA000A8170100A9170100AA170100AB170100EC
-:105EB000AC170100AD170100AE170100AF170100CC
-:105EC000B0170100B1170100B2170100B3170100AC
-:105ED000B4170100B5170100B6170100B71701008C
-:105EE000B8170100B9170100BA170100BB1701006C
-:105EF000BC170100BD170100BE170100BF1701004C
-:105F0000C0170100C1170100C2170100C31701002B
-:105F1000C4170100C5170100C6170100C71701000B
-:105F2000C8170100C9170100CA170100CB170100EB
-:105F3000CC170100CD170100CE170100CF170100CB
-:105F4000D0170100D1170100D2170100D3170100AB
-:105F5000D4170100D5170100D6170100D71701008B
-:105F6000D8170100D9170100DA170100DB1701006B
-:105F7000DC170100DD170100DE170100DF1701004B
-:105F8000E0170100E1170100E2170100E31701002B
-:105F9000E4170100E5170100E6170100E71701000B
-:105FA000E8170100E9170100EA170100EB170100EB
-:105FB000EC170100ED170100EE170100EF170100CB
-:105FC000F0170100F1170100F2170100F3170100AB
-:105FD000F4170100F5170100F6170100F71701008B
-:105FE000F8170100F9170100FA170100FB1701006B
-:105FF000FC170100FD170100FE170100FF1701004B
-:106000000018010001180100021801000318010026
-:106010000418010005180100061801000718010006
-:1060200008180100091801000A1801000B180100E6
-:106030000C1801000D1801000E1801000F180100C6
-:1060400010180100111801001218010013180100A6
-:106050001418010015180100161801001718010086
-:1060600018180100191801001A1801001B18010066
-:106070001C1801001D1801001E1801001F18010046
-:106080002018010021180100221801002318010026
-:106090002418010025180100261801002718010006
-:1060A00028180100291801002A1801002B180100E6
-:1060B0002C1801002D1801002E1801002F180100C6
-:1060C00030180100311801003218010033180100A6
-:1060D0003418010035180100361801003718010086
-:1060E00038180100391801003A1801003B18010066
-:1060F0003C1801003D1801003E1801003F18010046
-:106100004018010041180100421801004318010025
-:106110004418010045180100461801004718010005
-:1061200048180100491801004A1801004B180100E5
-:106130004C1801004D1801004E1801004F180100C5
-:1061400050180100511801005218010053180100A5
-:106150005418010055180100561801005718010085
-:1061600058180100591801005A1801005B18010065
-:106170005C1801005D1801005E1801005F18010045
-:106180006018010061180100621801006318010025
-:106190006418010065180100661801006718010005
-:1061A00068180100691801006A1801006B180100E5
-:1061B0006C1801006D1801006E1801006F180100C5
-:1061C00070180100711801007218010073180100A5
-:1061D0007418010075180100761801007718010085
-:1061E00078180100791801007A1801007B18010065
-:1061F0007C1801007D1801007E1801007F18010045
-:106200008018010081180100821801008318010024
-:106210008418010085180100861801008718010004
-:1062200088180100891801008A1801008B180100E4
-:106230008C1801008D1801008E1801008F180100C4
-:1062400090180100911801009218010093180100A4
-:106250009418010095180100961801009718010084
-:1062600098180100991801009A1801009B18010064
-:106270009C1801009D1801009E1801009F18010044
-:10628000A0180100A1180100A2180100A318010024
-:10629000A4180100A5180100A6180100A718010004
-:1062A000A8180100A9180100AA180100AB180100E4
-:1062B000AC180100AD180100AE180100AF180100C4
-:1062C000B0180100B1180100B2180100B3180100A4
-:1062D000B4180100B5180100B6180100B718010084
-:1062E000B8180100B9180100BA180100BB18010064
-:1062F000BC180100BD180100BE180100BF18010044
-:10630000C0180100C1180100C2180100C318010023
-:10631000C4180100C5180100C6180100C718010003
-:10632000C8180100C9180100CA180100CB180100E3
-:10633000CC180100CD180100CE180100CF180100C3
-:10634000D0180100D1180100D2180100D3180100A3
-:10635000D4180100D5180100D6180100D718010083
-:10636000D8180100D9180100DA180100DB18010063
-:10637000DC180100DD180100DE180100DF18010043
-:10638000E0180100E1180100E2180100E318010023
-:10639000E4180100E5180100E6180100E718010003
-:1063A000E8180100E9180100EA180100EB180100E3
-:1063B000EC180100ED180100EE180100EF180100C3
-:1063C000F0180100F1180100F2180100F3180100A3
-:1063D000F4180100F5180100F6180100F718010083
-:1063E000F8180100F9180100FA180100FB18010063
-:1063F000FC180100FD180100FE180100FF18010043
-:10640000001901000119010002190100031901001E
-:1064100004190100051901000619010007190100FE
-:1064200008190100091901000A1901000B190100DE
-:106430000C1901000D1901000E1901000F190100BE
-:10644000101901001119010012190100131901009E
-:10645000141901001519010016190100171901007E
-:1064600018190100191901001A1901001B1901005E
-:106470001C1901001D1901001E1901001F1901003E
-:10648000201901002119010022190100231901001E
-:1064900024190100251901002619010027190100FE
-:1064A00028190100291901002A1901002B190100DE
-:1064B0002C1901002D1901002E1901002F190100BE
-:1064C000301901003119010032190100331901009E
-:1064D000341901003519010036190100371901007E
-:1064E00038190100391901003A1901003B1901005E
-:1064F0003C1901003D1901003E1901003F1901003E
-:10650000401901004119010042190100431901001D
-:1065100044190100451901004619010047190100FD
-:1065200048190100491901004A1901004B190100DD
-:106530004C1901004D1901004E1901004F190100BD
-:10654000501901005119010052190100531901009D
-:10655000541901005519010056190100571901007D
-:1065600058190100591901005A1901005B1901005D
-:106570005C1901005D1901005E1901005F1901003D
-:10658000601901006119010062190100631901001D
-:1065900064190100651901006619010067190100FD
-:1065A00068190100691901006A1901006B190100DD
-:1065B0006C1901006D1901006E1901006F190100BD
-:1065C000701901007119010072190100731901009D
-:1065D000741901007519010076190100771901007D
-:1065E00078190100791901007A1901007B1901005D
-:1065F0007C1901007D1901007E1901007F1901003D
-:10660000801901008119010082190100831901001C
-:1066100084190100851901008619010087190100FC
-:1066200088190100891901008A1901008B190100DC
-:106630008C1901008D1901008E1901008F190100BC
-:10664000901901009119010092190100931901009C
-:10665000941901009519010096190100971901007C
-:1066600098190100991901009A1901009B1901005C
-:106670009C1901009D1901009E1901009F1901003C
-:10668000A0190100A1190100A2190100A31901001C
-:10669000A4190100A5190100A6190100A7190100FC
-:1066A000A8190100A9190100AA190100AB190100DC
-:1066B000AC190100AD190100AE190100AF190100BC
-:1066C000B0190100B1190100B2190100B31901009C
-:1066D000B4190100B5190100B6190100B71901007C
-:1066E000B8190100B9190100BA190100BB1901005C
-:1066F000BC190100BD190100BE190100BF1901003C
-:10670000C0190100C1190100C2190100C31901001B
-:10671000C4190100C5190100C6190100C7190100FB
-:10672000C8190100C9190100CA190100CB190100DB
-:10673000CC190100CD190100CE190100CF190100BB
-:10674000D0190100D1190100D2190100D31901009B
-:10675000D4190100D5190100D6190100D71901007B
-:10676000D8190100D9190100DA190100DB1901005B
-:10677000DC190100DD190100DE190100DF1901003B
-:10678000E0190100E1190100E2190100E31901001B
-:10679000E4190100E5190100E6190100E7190100FB
-:1067A000E8190100E9190100EA190100EB190100DB
-:1067B000EC190100ED190100EE190100EF190100BB
-:1067C000F0190100F1190100F2190100F31901009B
-:1067D000F4190100F5190100F6190100F71901007B
-:1067E000F8190100F9190100FA190100FB1901005B
-:1067F000FC190100FD190100FE190100FF1901003B
-:10680000001A0100011A0100021A0100031A010016
-:10681000041A0100051A0100061A0100071A0100F6
-:10682000081A0100091A01000A1A01000B1A0100D6
-:106830000C1A01000D1A01000E1A01000F1A0100B6
-:10684000101A0100111A0100121A0100131A010096
-:10685000141A0100151A0100161A0100171A010076
-:10686000181A0100191A01001A1A01001B1A010056
-:106870001C1A01001D1A01001E1A01001F1A010036
-:10688000201A0100211A0100221A0100231A010016
-:10689000241A0100251A0100261A0100271A0100F6
-:1068A000281A0100291A01002A1A01002B1A0100D6
-:1068B0002C1A01002D1A01002E1A01002F1A0100B6
-:1068C000301A0100311A0100321A0100331A010096
-:1068D000341A0100351A0100361A0100371A010076
-:1068E000381A0100391A01003A1A01003B1A010056
-:1068F0003C1A01003D1A01003E1A01003F1A010036
-:10690000401A0100411A0100421A0100431A010015
-:10691000441A0100451A0100461A0100471A0100F5
-:10692000481A0100491A01004A1A01004B1A0100D5
-:106930004C1A01004D1A01004E1A01004F1A0100B5
-:10694000501A0100511A0100521A0100531A010095
-:10695000541A0100551A0100561A0100571A010075
-:10696000581A0100591A01005A1A01005B1A010055
-:106970005C1A01005D1A01005E1A01005F1A010035
-:10698000601A0100611A0100621A0100631A010015
-:10699000641A0100651A0100661A0100671A0100F5
-:1069A000681A0100691A01006A1A01006B1A0100D5
-:1069B0006C1A01006D1A01006E1A01006F1A0100B5
-:1069C000701A0100711A0100721A0100731A010095
-:1069D000741A0100751A0100761A0100771A010075
-:1069E000781A0100791A01007A1A01007B1A010055
-:1069F0007C1A01007D1A01007E1A01007F1A010035
-:106A0000801A0100811A0100821A0100831A010014
-:106A1000841A0100851A0100861A0100871A0100F4
-:106A2000881A0100891A01008A1A01008B1A0100D4
-:106A30008C1A01008D1A01008E1A01008F1A0100B4
-:106A4000901A0100911A0100921A0100931A010094
-:106A5000941A0100951A0100961A0100971A010074
-:106A6000981A0100991A01009A1A01009B1A010054
-:106A70009C1A01009D1A01009E1A01009F1A010034
-:106A8000A01A0100A11A0100A21A0100A31A010014
-:106A9000A41A0100A51A0100A61A0100A71A0100F4
-:106AA000A81A0100A91A0100AA1A0100AB1A0100D4
-:106AB000AC1A0100AD1A0100AE1A0100AF1A0100B4
-:106AC000B01A0100B11A0100B21A0100B31A010094
-:106AD000B41A0100B51A0100B61A0100B71A010074
-:106AE000B81A0100B91A0100BA1A0100BB1A010054
-:106AF000BC1A0100BD1A0100BE1A0100BF1A010034
-:106B0000C01A0100C11A0100C21A0100C31A010013
-:106B1000C41A0100C51A0100C61A0100C71A0100F3
-:106B2000C81A0100C91A0100CA1A0100CB1A0100D3
-:106B3000CC1A0100CD1A0100CE1A0100CF1A0100B3
-:106B4000D01A0100D11A0100D21A0100D31A010093
-:106B5000D41A0100D51A0100D61A0100D71A010073
-:106B6000D81A0100D91A0100DA1A0100DB1A010053
-:106B7000DC1A0100DD1A0100DE1A0100DF1A010033
-:106B8000E01A0100E11A0100E21A0100E31A010013
-:106B9000E41A0100E51A0100E61A0100E71A0100F3
-:106BA000E81A0100E91A0100EA1A0100EB1A0100D3
-:106BB000EC1A0100ED1A0100EE1A0100EF1A0100B3
-:106BC000F01A0100F11A0100F21A0100F31A010093
-:106BD000F41A0100F51A0100F61A0100F71A010073
-:106BE000F81A0100F91A0100FA1A0100FB1A010053
-:106BF000FC1A0100FD1A0100FE1A0100FF1A010033
-:106C0000001B0100011B0100021B0100031B01000E
-:106C1000041B0100051B0100061B0100071B0100EE
-:106C2000081B0100091B01000A1B01000B1B0100CE
-:106C30000C1B01000D1B01000E1B01000F1B0100AE
-:106C4000101B0100111B0100121B0100131B01008E
-:106C5000141B0100151B0100161B0100171B01006E
-:106C6000181B0100191B01001A1B01001B1B01004E
-:106C70001C1B01001D1B01001E1B01001F1B01002E
-:106C8000201B0100211B0100221B0100231B01000E
-:106C9000241B0100251B0100261B0100271B0100EE
-:106CA000281B0100291B01002A1B01002B1B0100CE
-:106CB0002C1B01002D1B01002E1B01002F1B0100AE
-:106CC000301B0100311B0100321B0100331B01008E
-:106CD000341B0100351B0100361B0100371B01006E
-:106CE000381B0100391B01003A1B01003B1B01004E
-:106CF0003C1B01003D1B01003E1B01003F1B01002E
-:106D0000401B0100411B0100421B0100431B01000D
-:106D1000441B0100451B0100461B0100471B0100ED
-:106D2000481B0100491B01004A1B01004B1B0100CD
-:106D30004C1B01004D1B01004E1B01004F1B0100AD
-:106D4000501B0100511B0100521B0100531B01008D
-:106D5000541B0100551B0100561B0100571B01006D
-:106D6000581B0100591B01005A1B01005B1B01004D
-:106D70005C1B01005D1B01005E1B01005F1B01002D
-:106D8000601B0100611B0100621B0100631B01000D
-:106D9000641B0100651B0100661B0100671B0100ED
-:106DA000681B0100691B01006A1B01006B1B0100CD
-:106DB0006C1B01006D1B01006E1B01006F1B0100AD
-:106DC000701B0100711B0100721B0100731B01008D
-:106DD000741B0100751B0100761B0100771B01006D
-:106DE000781B0100791B01007A1B01007B1B01004D
-:106DF0007C1B01007D1B01007E1B01007F1B01002D
-:106E0000801B0100811B0100821B0100831B01000C
-:106E1000841B0100851B0100861B0100871B0100EC
-:106E2000881B0100891B01008A1B01008B1B0100CC
-:106E30008C1B01008D1B01008E1B01008F1B0100AC
-:106E4000901B0100911B0100921B0100931B01008C
-:106E5000941B0100951B0100961B0100971B01006C
-:106E6000981B0100991B01009A1B01009B1B01004C
-:106E70009C1B01009D1B01009E1B01009F1B01002C
-:106E8000A01B0100A11B0100A21B0100A31B01000C
-:106E9000A41B0100A51B0100A61B0100A71B0100EC
-:106EA000A81B0100A91B0100AA1B0100AB1B0100CC
-:106EB000AC1B0100AD1B0100AE1B0100AF1B0100AC
-:106EC000B01B0100B11B0100B21B0100B31B01008C
-:106ED000B41B0100B51B0100B61B0100B71B01006C
-:106EE000B81B0100B91B0100BA1B0100BB1B01004C
-:106EF000BC1B0100BD1B0100BE1B0100BF1B01002C
-:106F0000C01B0100C11B0100C21B0100C31B01000B
-:106F1000C41B0100C51B0100C61B0100C71B0100EB
-:106F2000C81B0100C91B0100CA1B0100CB1B0100CB
-:106F3000CC1B0100CD1B0100CE1B0100CF1B0100AB
-:106F4000D01B0100D11B0100D21B0100D31B01008B
-:106F5000D41B0100D51B0100D61B0100D71B01006B
-:106F6000D81B0100D91B0100DA1B0100DB1B01004B
-:106F7000DC1B0100DD1B0100DE1B0100DF1B01002B
-:106F8000E01B0100E11B0100E21B0100E31B01000B
-:106F9000E41B0100E51B0100E61B0100E71B0100EB
-:106FA000E81B0100E91B0100EA1B0100EB1B0100CB
-:106FB000EC1B0100ED1B0100EE1B0100EF1B0100AB
-:106FC000F01B0100F11B0100F21B0100F31B01008B
-:106FD000F41B0100F51B0100F61B0100F71B01006B
-:106FE000F81B0100F91B0100FA1B0100FB1B01004B
-:106FF000FC1B0100FD1B0100FE1B0100FF1B01002B
-:10700000001C0100011C0100021C0100031C010006
-:10701000041C0100051C0100061C0100071C0100E6
-:10702000081C0100091C01000A1C01000B1C0100C6
-:107030000C1C01000D1C01000E1C01000F1C0100A6
-:10704000101C0100111C0100121C0100131C010086
-:10705000141C0100151C0100161C0100171C010066
-:10706000181C0100191C01001A1C01001B1C010046
-:107070001C1C01001D1C01001E1C01001F1C010026
-:10708000201C0100211C0100221C0100231C010006
-:10709000241C0100251C0100261C0100271C0100E6
-:1070A000281C0100291C01002A1C01002B1C0100C6
-:1070B0002C1C01002D1C01002E1C01002F1C0100A6
-:1070C000301C0100311C0100321C0100331C010086
-:1070D000341C0100351C0100361C0100371C010066
-:1070E000381C0100391C01003A1C01003B1C010046
-:1070F0003C1C01003D1C01003E1C01003F1C010026
-:10710000401C0100411C0100421C0100431C010005
-:10711000441C0100451C0100461C0100471C0100E5
-:10712000481C0100491C01004A1C01004B1C0100C5
-:107130004C1C01004D1C01004E1C01004F1C0100A5
-:10714000501C0100511C0100521C0100531C010085
-:10715000541C0100551C0100561C0100571C010065
-:10716000581C0100591C01005A1C01005B1C010045
-:107170005C1C01005D1C01005E1C01005F1C010025
-:10718000601C0100611C0100621C0100631C010005
-:10719000641C0100651C0100661C0100671C0100E5
-:1071A000681C0100691C01006A1C01006B1C0100C5
-:1071B0006C1C01006D1C01006E1C01006F1C0100A5
-:1071C000701C0100711C0100721C0100731C010085
-:1071D000741C0100751C0100761C0100771C010065
-:1071E000781C0100791C01007A1C01007B1C010045
-:1071F0007C1C01007D1C01007E1C01007F1C010025
-:10720000801C0100811C0100821C0100831C010004
-:10721000841C0100851C0100861C0100871C0100E4
-:10722000881C0100891C01008A1C01008B1C0100C4
-:107230008C1C01008D1C01008E1C01008F1C0100A4
-:10724000901C0100911C0100921C0100931C010084
-:10725000941C0100951C0100961C0100971C010064
-:10726000981C0100991C01009A1C01009B1C010044
-:107270009C1C01009D1C01009E1C01009F1C010024
-:10728000A01C0100A11C0100A21C0100A31C010004
-:10729000A41C0100A51C0100A61C0100A71C0100E4
-:1072A000A81C0100A91C0100AA1C0100AB1C0100C4
-:1072B000AC1C0100AD1C0100AE1C0100AF1C0100A4
-:1072C000B01C0100B11C0100B21C0100B31C010084
-:1072D000B41C0100B51C0100B61C0100B71C010064
-:1072E000B81C0100B91C0100BA1C0100BB1C010044
-:1072F000BC1C0100BD1C0100BE1C0100BF1C010024
-:10730000C01C0100C11C0100C21C0100C31C010003
-:10731000C41C0100C51C0100C61C0100C71C0100E3
-:10732000C81C0100C91C0100CA1C0100CB1C0100C3
-:10733000CC1C0100CD1C0100CE1C0100CF1C0100A3
-:10734000D01C0100D11C0100D21C0100D31C010083
-:10735000D41C0100D51C0100D61C0100D71C010063
-:10736000D81C0100D91C0100DA1C0100DB1C010043
-:10737000DC1C0100DD1C0100DE1C0100DF1C010023
-:10738000E01C0100E11C0100E21C0100E31C010003
-:10739000E41C0100E51C0100E61C0100E71C0100E3
-:1073A000E81C0100E91C0100EA1C0100EB1C0100C3
-:1073B000EC1C0100ED1C0100EE1C0100EF1C0100A3
-:1073C000F01C0100F11C0100F21C0100F31C010083
-:1073D000F41C0100F51C0100F61C0100F71C010063
-:1073E000F81C0100F91C0100FA1C0100FB1C010043
-:1073F000FC1C0100FD1C0100FE1C0100FF1C010023
-:10740000001D0100011D0100021D0100031D0100FE
-:10741000041D0100051D0100061D0100071D0100DE
-:10742000081D0100091D01000A1D01000B1D0100BE
-:107430000C1D01000D1D01000E1D01000F1D01009E
-:10744000101D0100111D0100121D0100131D01007E
-:10745000141D0100151D0100161D0100171D01005E
-:10746000181D0100191D01001A1D01001B1D01003E
-:107470001C1D01001D1D01001E1D01001F1D01001E
-:10748000201D0100211D0100221D0100231D0100FE
-:10749000241D0100251D0100261D0100271D0100DE
-:1074A000281D0100291D01002A1D01002B1D0100BE
-:1074B0002C1D01002D1D01002E1D01002F1D01009E
-:1074C000301D0100311D0100321D0100331D01007E
-:1074D000341D0100351D0100361D0100371D01005E
-:1074E000381D0100391D01003A1D01003B1D01003E
-:1074F0003C1D01003D1D01003E1D01003F1D01001E
-:10750000401D0100411D0100421D0100431D0100FD
-:10751000441D0100451D0100461D0100471D0100DD
-:10752000481D0100491D01004A1D01004B1D0100BD
-:107530004C1D01004D1D01004E1D01004F1D01009D
-:10754000501D0100511D0100521D0100531D01007D
-:10755000541D0100551D0100561D0100571D01005D
-:10756000581D0100591D01005A1D01005B1D01003D
-:107570005C1D01005D1D01005E1D01005F1D01001D
-:10758000601D0100611D0100621D0100631D0100FD
-:10759000641D0100651D0100661D0100671D0100DD
-:1075A000681D0100691D01006A1D01006B1D0100BD
-:1075B0006C1D01006D1D01006E1D01006F1D01009D
-:1075C000701D0100711D0100721D0100731D01007D
-:1075D000741D0100751D0100761D0100771D01005D
-:1075E000781D0100791D01007A1D01007B1D01003D
-:1075F0007C1D01007D1D01007E1D01007F1D01001D
-:10760000801D0100811D0100821D0100831D0100FC
-:10761000841D0100851D0100861D0100871D0100DC
-:10762000881D0100891D01008A1D01008B1D0100BC
-:107630008C1D01008D1D01008E1D01008F1D01009C
-:10764000901D0100911D0100921D0100931D01007C
-:10765000941D0100951D0100961D0100971D01005C
-:10766000981D0100991D01009A1D01009B1D01003C
-:107670009C1D01009D1D01009E1D01009F1D01001C
-:10768000A01D0100A11D0100A21D0100A31D0100FC
-:10769000A41D0100A51D0100A61D0100A71D0100DC
-:1076A000A81D0100A91D0100AA1D0100AB1D0100BC
-:1076B000AC1D0100AD1D0100AE1D0100AF1D01009C
-:1076C000B01D0100B11D0100B21D0100B31D01007C
-:1076D000B41D0100B51D0100B61D0100B71D01005C
-:1076E000B81D0100B91D0100BA1D0100BB1D01003C
-:1076F000BC1D0100BD1D0100BE1D0100BF1D01001C
-:10770000C01D0100C11D0100C21D0100C31D0100FB
-:10771000C41D0100C51D0100C61D0100C71D0100DB
-:10772000C81D0100C91D0100CA1D0100CB1D0100BB
-:10773000CC1D0100CD1D0100CE1D0100CF1D01009B
-:10774000D01D0100D11D0100D21D0100D31D01007B
-:10775000D41D0100D51D0100D61D0100D71D01005B
-:10776000D81D0100D91D0100DA1D0100DB1D01003B
-:10777000DC1D0100DD1D0100DE1D0100DF1D01001B
-:10778000E01D0100E11D0100E21D0100E31D0100FB
-:10779000E41D0100E51D0100E61D0100E71D0100DB
-:1077A000E81D0100E91D0100EA1D0100EB1D0100BB
-:1077B000EC1D0100ED1D0100EE1D0100EF1D01009B
-:1077C000F01D0100F11D0100F21D0100F31D01007B
-:1077D000F41D0100F51D0100F61D0100F71D01005B
-:1077E000F81D0100F91D0100FA1D0100FB1D01003B
-:1077F000FC1D0100FD1D0100FE1D0100FF1D01001B
-:10780000001E0100011E0100021E0100031E0100F6
-:10781000041E0100051E0100061E0100071E0100D6
-:10782000081E0100091E01000A1E01000B1E0100B6
-:107830000C1E01000D1E01000E1E01000F1E010096
-:10784000101E0100111E0100121E0100131E010076
-:10785000141E0100151E0100161E0100171E010056
-:10786000181E0100191E01001A1E01001B1E010036
-:107870001C1E01001D1E01001E1E01001F1E010016
-:10788000201E0100211E0100221E0100231E0100F6
-:10789000241E0100251E0100261E0100271E0100D6
-:1078A000281E0100291E01002A1E01002B1E0100B6
-:1078B0002C1E01002D1E01002E1E01002F1E010096
-:1078C000301E0100311E0100321E0100331E010076
-:1078D000341E0100351E0100361E0100371E010056
-:1078E000381E0100391E01003A1E01003B1E010036
-:1078F0003C1E01003D1E01003E1E01003F1E010016
-:10790000401E0100411E0100421E0100431E0100F5
-:10791000441E0100451E0100461E0100471E0100D5
-:10792000481E0100491E01004A1E01004B1E0100B5
-:107930004C1E01004D1E01004E1E01004F1E010095
-:10794000501E0100511E0100521E0100531E010075
-:10795000541E0100551E0100561E0100571E010055
-:10796000581E0100591E01005A1E01005B1E010035
-:107970005C1E01005D1E01005E1E01005F1E010015
-:10798000601E0100611E0100621E0100631E0100F5
-:10799000641E0100651E0100661E0100671E0100D5
-:1079A000681E0100691E01006A1E01006B1E0100B5
-:1079B0006C1E01006D1E01006E1E01006F1E010095
-:1079C000701E0100711E0100721E0100731E010075
-:1079D000741E0100751E0100761E0100771E010055
-:1079E000781E0100791E01007A1E01007B1E010035
-:1079F0007C1E01007D1E01007E1E01007F1E010015
-:107A0000801E0100811E0100821E0100831E0100F4
-:107A1000841E0100851E0100861E0100871E0100D4
-:107A2000881E0100891E01008A1E01008B1E0100B4
-:107A30008C1E01008D1E01008E1E01008F1E010094
-:107A4000901E0100911E0100921E0100931E010074
-:107A5000941E0100951E0100961E0100971E010054
-:107A6000981E0100991E01009A1E01009B1E010034
-:107A70009C1E01009D1E01009E1E01009F1E010014
-:107A8000A01E0100A11E0100A21E0100A31E0100F4
-:107A9000A41E0100A51E0100A61E0100A71E0100D4
-:107AA000A81E0100A91E0100AA1E0100AB1E0100B4
-:107AB000AC1E0100AD1E0100AE1E0100AF1E010094
-:107AC000B01E0100B11E0100B21E0100B31E010074
-:107AD000B41E0100B51E0100B61E0100B71E010054
-:107AE000B81E0100B91E0100BA1E0100BB1E010034
-:107AF000BC1E0100BD1E0100BE1E0100BF1E010014
-:107B0000C01E0100C11E0100C21E0100C31E0100F3
-:107B1000C41E0100C51E0100C61E0100C71E0100D3
-:107B2000C81E0100C91E0100CA1E0100CB1E0100B3
-:107B3000CC1E0100CD1E0100CE1E0100CF1E010093
-:107B4000D01E0100D11E0100D21E0100D31E010073
-:107B5000D41E0100D51E0100D61E0100D71E010053
-:107B6000D81E0100D91E0100DA1E0100DB1E010033
-:107B7000DC1E0100DD1E0100DE1E0100DF1E010013
-:107B8000E01E0100E11E0100E21E0100E31E0100F3
-:107B9000E41E0100E51E0100E61E0100E71E0100D3
-:107BA000E81E0100E91E0100EA1E0100EB1E0100B3
-:107BB000EC1E0100ED1E0100EE1E0100EF1E010093
-:107BC000F01E0100F11E0100F21E0100F31E010073
-:107BD000F41E0100F51E0100F61E0100F71E010053
-:107BE000F81E0100F91E0100FA1E0100FB1E010033
-:107BF000FC1E0100FD1E0100FE1E0100FF1E010013
-:107C0000001F0100011F0100021F0100031F0100EE
-:107C1000041F0100051F0100061F0100071F0100CE
-:107C2000081F0100091F01000A1F01000B1F0100AE
-:107C30000C1F01000D1F01000E1F01000F1F01008E
-:107C4000101F0100111F0100121F0100131F01006E
-:107C5000141F0100151F0100161F0100171F01004E
-:107C6000181F0100191F01001A1F01001B1F01002E
-:107C70001C1F01001D1F01001E1F01001F1F01000E
-:107C8000201F0100211F0100221F0100231F0100EE
-:107C9000241F0100251F0100261F0100271F0100CE
-:107CA000281F0100291F01002A1F01002B1F0100AE
-:107CB0002C1F01002D1F01002E1F01002F1F01008E
-:107CC000301F0100311F0100321F0100331F01006E
-:107CD000341F0100351F0100361F0100371F01004E
-:107CE000381F0100391F01003A1F01003B1F01002E
-:107CF0003C1F01003D1F01003E1F01003F1F01000E
-:107D0000401F0100411F0100421F0100431F0100ED
-:107D1000441F0100451F0100461F0100471F0100CD
-:107D2000481F0100491F01004A1F01004B1F0100AD
-:107D30004C1F01004D1F01004E1F01004F1F01008D
-:107D4000501F0100511F0100521F0100531F01006D
-:107D5000541F0100551F0100561F0100571F01004D
-:107D6000581F0100591F01005A1F01005B1F01002D
-:107D70005C1F01005D1F01005E1F01005F1F01000D
-:107D8000601F0100611F0100621F0100631F0100ED
-:107D9000641F0100651F0100661F0100671F0100CD
-:107DA000681F0100691F01006A1F01006B1F0100AD
-:107DB0006C1F01006D1F01006E1F01006F1F01008D
-:107DC000701F0100711F0100721F0100731F01006D
-:107DD000741F0100751F0100761F0100771F01004D
-:107DE000781F0100791F01007A1F01007B1F01002D
-:107DF0007C1F01007D1F01007E1F01007F1F01000D
-:107E0000801F0100811F0100821F0100831F0100EC
-:107E1000841F0100851F0100861F0100871F0100CC
-:107E2000881F0100891F01008A1F01008B1F0100AC
-:107E30008C1F01008D1F01008E1F01008F1F01008C
-:107E4000901F0100911F0100921F0100931F01006C
-:107E5000941F0100951F0100961F0100971F01004C
-:107E6000981F0100991F01009A1F01009B1F01002C
-:107E70009C1F01009D1F01009E1F01009F1F01000C
-:107E8000A01F0100A11F0100A21F0100A31F0100EC
-:107E9000A41F0100A51F0100A61F0100A71F0100CC
-:107EA000A81F0100A91F0100AA1F0100AB1F0100AC
-:107EB000AC1F0100AD1F0100AE1F0100AF1F01008C
-:107EC000B01F0100B11F0100B21F0100B31F01006C
-:107ED000B41F0100B51F0100B61F0100B71F01004C
-:107EE000B81F0100B91F0100BA1F0100BB1F01002C
-:107EF000BC1F0100BD1F0100BE1F0100BF1F01000C
-:107F0000C01F0100C11F0100C21F0100C31F0100EB
-:107F1000C41F0100C51F0100C61F0100C71F0100CB
-:107F2000C81F0100C91F0100CA1F0100CB1F0100AB
-:107F3000CC1F0100CD1F0100CE1F0100CF1F01008B
-:107F4000D01F0100D11F0100D21F0100D31F01006B
-:107F5000D41F0100D51F0100D61F0100D71F01004B
-:107F6000D81F0100D91F0100DA1F0100DB1F01002B
-:107F7000DC1F0100DD1F0100DE1F0100DF1F01000B
-:107F8000E01F0100E11F0100E21F0100E31F0100EB
-:107F9000E41F0100E51F0100E61F0100E71F0100CB
-:107FA000E81F0100E91F0100EA1F0100EB1F0100AB
-:107FB000EC1F0100ED1F0100EE1F0100EF1F01008B
-:107FC000F01F0100F11F0100F21F0100F31F01006B
-:107FD000F41F0100F51F0100F61F0100F71F01004B
-:107FE000F81F0100F91F0100FA1F0100FB1F01002B
-:107FF000FC1F0100FD1F0100FE1F0100FF1F01000B
-:1080000000200100012001000220010003200100E6
-:1080100004200100052001000620010007200100C6
-:1080200008200100092001000A2001000B200100A6
-:108030000C2001000D2001000E2001000F20010086
-:108040001020010011200100122001001320010066
-:108050001420010015200100162001001720010046
-:1080600018200100192001001A2001001B20010026
-:108070001C2001001D2001001E2001001F20010006
-:1080800020200100212001002220010023200100E6
-:1080900024200100252001002620010027200100C6
-:1080A00028200100292001002A2001002B200100A6
-:1080B0002C2001002D2001002E2001002F20010086
-:1080C0003020010031200100322001003320010066
-:1080D0003420010035200100362001003720010046
-:1080E00038200100392001003A2001003B20010026
-:1080F0003C2001003D2001003E2001003F20010006
-:1081000040200100412001004220010043200100E5
-:1081100044200100452001004620010047200100C5
-:1081200048200100492001004A2001004B200100A5
-:108130004C2001004D2001004E2001004F20010085
-:108140005020010051200100522001005320010065
-:108150005420010055200100562001005720010045
-:1081600058200100592001005A2001005B20010025
-:108170005C2001005D2001005E2001005F20010005
-:1081800060200100612001006220010063200100E5
-:1081900064200100652001006620010067200100C5
-:1081A00068200100692001006A2001006B200100A5
-:1081B0006C2001006D2001006E2001006F20010085
-:1081C0007020010071200100722001007320010065
-:1081D0007420010075200100762001007720010045
-:1081E00078200100792001007A2001007B20010025
-:1081F0007C2001007D2001007E2001007F20010005
-:1082000080200100812001008220010083200100E4
-:1082100084200100852001008620010087200100C4
-:1082200088200100892001008A2001008B200100A4
-:108230008C2001008D2001008E2001008F20010084
-:108240009020010091200100922001009320010064
-:108250009420010095200100962001009720010044
-:1082600098200100992001009A2001009B20010024
-:108270009C2001009D2001009E2001009F20010004
-:10828000A0200100A1200100A2200100A3200100E4
-:10829000A4200100A5200100A6200100A7200100C4
-:1082A000A8200100A9200100AA200100AB200100A4
-:1082B000AC200100AD200100AE200100AF20010084
-:1082C000B0200100B1200100B2200100B320010064
-:1082D000B4200100B5200100B6200100B720010044
-:1082E000B8200100B9200100BA200100BB20010024
-:1082F000BC200100BD200100BE200100BF20010004
-:10830000C0200100C1200100C2200100C3200100E3
-:10831000C4200100C5200100C6200100C7200100C3
-:10832000C8200100C9200100CA200100CB200100A3
-:10833000CC200100CD200100CE200100CF20010083
-:10834000D0200100D1200100D2200100D320010063
-:10835000D4200100D5200100D6200100D720010043
-:10836000D8200100D9200100DA200100DB20010023
-:10837000DC200100DD200100DE200100DF20010003
-:10838000E0200100E1200100E2200100E3200100E3
-:10839000E4200100E5200100E6200100E7200100C3
-:1083A000E8200100E9200100EA200100EB200100A3
-:1083B000EC200100ED200100EE200100EF20010083
-:1083C000F0200100F1200100F2200100F320010063
-:1083D000F4200100F5200100F6200100F720010043
-:1083E000F8200100F9200100FA200100FB20010023
-:1083F000FC200100FD200100FE200100FF20010003
-:1084000000210100012101000221010003210100DE
-:1084100004210100052101000621010007210100BE
-:1084200008210100092101000A2101000B2101009E
-:108430000C2101000D2101000E2101000F2101007E
-:10844000102101001121010012210100132101005E
-:10845000142101001521010016210100172101003E
-:1084600018210100192101001A2101001B2101001E
-:108470001C2101001D2101001E2101001F210100FE
-:1084800020210100212101002221010023210100DE
-:1084900024210100252101002621010027210100BE
-:1084A00028210100292101002A2101002B2101009E
-:1084B0002C2101002D2101002E2101002F2101007E
-:1084C000302101003121010032210100332101005E
-:1084D000342101003521010036210100372101003E
-:1084E00038210100392101003A2101003B2101001E
-:1084F0003C2101003D2101003E2101003F210100FE
-:1085000040210100412101004221010043210100DD
-:1085100044210100452101004621010047210100BD
-:1085200048210100492101004A2101004B2101009D
-:108530004C2101004D2101004E2101004F2101007D
-:10854000502101005121010052210100532101005D
-:10855000542101005521010056210100572101003D
-:1085600058210100592101005A2101005B2101001D
-:108570005C2101005D2101005E2101005F210100FD
-:1085800060210100612101006221010063210100DD
-:1085900064210100652101006621010067210100BD
-:1085A00068210100692101006A2101006B2101009D
-:1085B0006C2101006D2101006E2101006F2101007D
-:1085C000702101007121010072210100732101005D
-:1085D000742101007521010076210100772101003D
-:1085E00078210100792101007A2101007B2101001D
-:1085F0007C2101007D2101007E2101007F210100FD
-:1086000080210100812101008221010083210100DC
-:1086100084210100852101008621010087210100BC
-:1086200088210100892101008A2101008B2101009C
-:108630008C2101008D2101008E2101008F2101007C
-:10864000902101009121010092210100932101005C
-:10865000942101009521010096210100972101003C
-:1086600098210100992101009A2101009B2101001C
-:108670009C2101009D2101009E2101009F210100FC
-:10868000A0210100A1210100A2210100A3210100DC
-:10869000A4210100A5210100A6210100A7210100BC
-:1086A000A8210100A9210100AA210100AB2101009C
-:1086B000AC210100AD210100AE210100AF2101007C
-:1086C000B0210100B1210100B2210100B32101005C
-:1086D000B4210100B5210100B6210100B72101003C
-:1086E000B8210100B9210100BA210100BB2101001C
-:1086F000BC210100BD210100BE210100BF210100FC
-:10870000C0210100C1210100C2210100C3210100DB
-:10871000C4210100C5210100C6210100C7210100BB
-:10872000C8210100C9210100CA210100CB2101009B
-:10873000CC210100CD210100CE210100CF2101007B
-:10874000D0210100D1210100D2210100D32101005B
-:10875000D4210100D5210100D6210100D72101003B
-:10876000D8210100D9210100DA210100DB2101001B
-:10877000DC210100DD210100DE210100DF210100FB
-:10878000E0210100E1210100E2210100E3210100DB
-:10879000E4210100E5210100E6210100E7210100BB
-:1087A000E8210100E9210100EA210100EB2101009B
-:1087B000EC210100ED210100EE210100EF2101007B
-:1087C000F0210100F1210100F2210100F32101005B
-:1087D000F4210100F5210100F6210100F72101003B
-:1087E000F8210100F9210100FA210100FB2101001B
-:1087F000FC210100FD210100FE210100FF210100FB
-:1088000000220100012201000222010003220100D6
-:1088100004220100052201000622010007220100B6
-:1088200008220100092201000A2201000B22010096
-:108830000C2201000D2201000E2201000F22010076
-:108840001022010011220100122201001322010056
-:108850001422010015220100162201001722010036
-:1088600018220100192201001A2201001B22010016
-:108870001C2201001D2201001E2201001F220100F6
-:1088800020220100212201002222010023220100D6
-:1088900024220100252201002622010027220100B6
-:1088A00028220100292201002A2201002B22010096
-:1088B0002C2201002D2201002E2201002F22010076
-:1088C0003022010031220100322201003322010056
-:1088D0003422010035220100362201003722010036
-:1088E00038220100392201003A2201003B22010016
-:1088F0003C2201003D2201003E2201003F220100F6
-:1089000040220100412201004222010043220100D5
-:1089100044220100452201004622010047220100B5
-:1089200048220100492201004A2201004B22010095
-:108930004C2201004D2201004E2201004F22010075
-:108940005022010051220100522201005322010055
-:108950005422010055220100562201005722010035
-:1089600058220100592201005A2201005B22010015
-:108970005C2201005D2201005E2201005F220100F5
-:1089800060220100612201006222010063220100D5
-:1089900064220100652201006622010067220100B5
-:1089A00068220100692201006A2201006B22010095
-:1089B0006C2201006D2201006E2201006F22010075
-:1089C0007022010071220100722201007322010055
-:1089D0007422010075220100762201007722010035
-:1089E00078220100792201007A2201007B22010015
-:1089F0007C2201007D2201007E2201007F220100F5
-:108A000080220100812201008222010083220100D4
-:108A100084220100852201008622010087220100B4
-:108A200088220100892201008A2201008B22010094
-:108A30008C2201008D2201008E2201008F22010074
-:108A40009022010091220100922201009322010054
-:108A50009422010095220100962201009722010034
-:108A600098220100992201009A2201009B22010014
-:108A70009C2201009D2201009E2201009F220100F4
-:108A8000A0220100A1220100A2220100A3220100D4
-:108A9000A4220100A5220100A6220100A7220100B4
-:108AA000A8220100A9220100AA220100AB22010094
-:108AB000AC220100AD220100AE220100AF22010074
-:108AC000B0220100B1220100B2220100B322010054
-:108AD000B4220100B5220100B6220100B722010034
-:108AE000B8220100B9220100BA220100BB22010014
-:108AF000BC220100BD220100BE220100BF220100F4
-:108B0000C0220100C1220100C2220100C3220100D3
-:108B1000C4220100C5220100C6220100C7220100B3
-:108B2000C8220100C9220100CA220100CB22010093
-:108B3000CC220100CD220100CE220100CF22010073
-:108B4000D0220100D1220100D2220100D322010053
-:108B5000D4220100D5220100D6220100D722010033
-:108B6000D8220100D9220100DA220100DB22010013
-:108B7000DC220100DD220100DE220100DF220100F3
-:108B8000E0220100E1220100E2220100E3220100D3
-:108B9000E4220100E5220100E6220100E7220100B3
-:108BA000E8220100E9220100EA220100EB22010093
-:108BB000EC220100ED220100EE220100EF22010073
-:108BC000F0220100F1220100F2220100F322010053
-:108BD000F4220100F5220100F6220100F722010033
-:108BE000F8220100F9220100FA220100FB22010013
-:108BF000FC220100FD220100FE220100FF220100F3
-:108C000000230100012301000223010003230100CE
-:108C100004230100052301000623010007230100AE
-:108C200008230100092301000A2301000B2301008E
-:108C30000C2301000D2301000E2301000F2301006E
-:108C4000102301001123010012230100132301004E
-:108C5000142301001523010016230100172301002E
-:108C600018230100192301001A2301001B2301000E
-:108C70001C2301001D2301001E2301001F230100EE
-:108C800020230100212301002223010023230100CE
-:108C900024230100252301002623010027230100AE
-:108CA00028230100292301002A2301002B2301008E
-:108CB0002C2301002D2301002E2301002F2301006E
-:108CC000302301003123010032230100332301004E
-:108CD000342301003523010036230100372301002E
-:108CE00038230100392301003A2301003B2301000E
-:108CF0003C2301003D2301003E2301003F230100EE
-:108D000040230100412301004223010043230100CD
-:108D100044230100452301004623010047230100AD
-:108D200048230100492301004A2301004B2301008D
-:108D30004C2301004D2301004E2301004F2301006D
-:108D4000502301005123010052230100532301004D
-:108D5000542301005523010056230100572301002D
-:108D600058230100592301005A2301005B2301000D
-:108D70005C2301005D2301005E2301005F230100ED
-:108D800060230100612301006223010063230100CD
-:108D900064230100652301006623010067230100AD
-:108DA00068230100692301006A2301006B2301008D
-:108DB0006C2301006D2301006E2301006F2301006D
-:108DC000702301007123010072230100732301004D
-:108DD000742301007523010076230100772301002D
-:108DE00078230100792301007A2301007B2301000D
-:108DF0007C2301007D2301007E2301007F230100ED
-:108E000080230100812301008223010083230100CC
-:108E100084230100852301008623010087230100AC
-:108E200088230100892301008A2301008B2301008C
-:108E30008C2301008D2301008E2301008F2301006C
-:108E4000902301009123010092230100932301004C
-:108E5000942301009523010096230100972301002C
-:108E600098230100992301009A2301009B2301000C
-:108E70009C2301009D2301009E2301009F230100EC
-:108E8000A0230100A1230100A2230100A3230100CC
-:108E9000A4230100A5230100A6230100A7230100AC
-:108EA000A8230100A9230100AA230100AB2301008C
-:108EB000AC230100AD230100AE230100AF2301006C
-:108EC000B0230100B1230100B2230100B32301004C
-:108ED000B4230100B5230100B6230100B72301002C
-:108EE000B8230100B9230100BA230100BB2301000C
-:108EF000BC230100BD230100BE230100BF230100EC
-:108F0000C0230100C1230100C2230100C3230100CB
-:108F1000C4230100C5230100C6230100C7230100AB
-:108F2000C8230100C9230100CA230100CB2301008B
-:108F3000CC230100CD230100CE230100CF2301006B
-:108F4000D0230100D1230100D2230100D32301004B
-:108F5000D4230100D5230100D6230100D72301002B
-:108F6000D8230100D9230100DA230100DB2301000B
-:108F7000DC230100DD230100DE230100DF230100EB
-:108F8000E0230100E1230100E2230100E3230100CB
-:108F9000E4230100E5230100E6230100E7230100AB
-:108FA000E8230100E9230100EA230100EB2301008B
-:108FB000EC230100ED230100EE230100EF2301006B
-:108FC000F0230100F1230100F2230100F32301004B
-:108FD000F4230100F5230100F6230100F72301002B
-:108FE000F8230100F9230100FA230100FB2301000B
-:108FF000FC230100FD230100FE230100FF230100EB
-:1090000000240100012401000224010003240100C6
-:1090100004240100052401000624010007240100A6
-:1090200008240100092401000A2401000B24010086
-:109030000C2401000D2401000E2401000F24010066
-:109040001024010011240100122401001324010046
-:109050001424010015240100162401001724010026
-:1090600018240100192401001A2401001B24010006
-:109070001C2401001D2401001E2401001F240100E6
-:1090800020240100212401002224010023240100C6
-:1090900024240100252401002624010027240100A6
-:1090A00028240100292401002A2401002B24010086
-:1090B0002C2401002D2401002E2401002F24010066
-:1090C0003024010031240100322401003324010046
-:1090D0003424010035240100362401003724010026
-:1090E00038240100392401003A2401003B24010006
-:1090F0003C2401003D2401003E2401003F240100E6
-:1091000040240100412401004224010043240100C5
-:1091100044240100452401004624010047240100A5
-:1091200048240100492401004A2401004B24010085
-:109130004C2401004D2401004E2401004F24010065
-:109140005024010051240100522401005324010045
-:109150005424010055240100562401005724010025
-:1091600058240100592401005A2401005B24010005
-:109170005C2401005D2401005E2401005F240100E5
-:1091800060240100612401006224010063240100C5
-:1091900064240100652401006624010067240100A5
-:1091A00068240100692401006A2401006B24010085
-:1091B0006C2401006D2401006E2401006F24010065
-:1091C0007024010071240100722401007324010045
-:1091D0007424010075240100762401007724010025
-:1091E00078240100792401007A2401007B24010005
-:1091F0007C2401007D2401007E2401007F240100E5
-:1092000080240100812401008224010083240100C4
-:1092100084240100852401008624010087240100A4
-:1092200088240100892401008A2401008B24010084
-:109230008C2401008D2401008E2401008F24010064
-:109240009024010091240100922401009324010044
-:109250009424010095240100962401009724010024
-:1092600098240100992401009A2401009B24010004
-:109270009C2401009D2401009E2401009F240100E4
-:10928000A0240100A1240100A2240100A3240100C4
-:10929000A4240100A5240100A6240100A7240100A4
-:1092A000A8240100A9240100AA240100AB24010084
-:1092B000AC240100AD240100AE240100AF24010064
-:1092C000B0240100B1240100B2240100B324010044
-:1092D000B4240100B5240100B6240100B724010024
-:1092E000B8240100B9240100BA240100BB24010004
-:1092F000BC240100BD240100BE240100BF240100E4
-:10930000C0240100C1240100C2240100C3240100C3
-:10931000C4240100C5240100C6240100C7240100A3
-:10932000C8240100C9240100CA240100CB24010083
-:10933000CC240100CD240100CE240100CF24010063
-:10934000D0240100D1240100D2240100D324010043
-:10935000D4240100D5240100D6240100D724010023
-:10936000D8240100D9240100DA240100DB24010003
-:10937000DC240100DD240100DE240100DF240100E3
-:10938000E0240100E1240100E2240100E3240100C3
-:10939000E4240100E5240100E6240100E7240100A3
-:1093A000E8240100E9240100EA240100EB24010083
-:1093B000EC240100ED240100EE240100EF24010063
-:1093C000F0240100F1240100F2240100F324010043
-:1093D000F4240100F5240100F6240100F724010023
-:1093E000F8240100F9240100FA240100FB24010003
-:1093F000FC240100FD240100FE240100FF240100E3
-:1094000000250100012501000225010003250100BE
-:10941000042501000525010006250100072501009E
-:1094200008250100092501000A2501000B2501007E
-:109430000C2501000D2501000E2501000F2501005E
-:10944000102501001125010012250100132501003E
-:10945000142501001525010016250100172501001E
-:1094600018250100192501001A2501001B250100FE
-:109470001C2501001D2501001E2501001F250100DE
-:1094800020250100212501002225010023250100BE
-:10949000242501002525010026250100272501009E
-:1094A00028250100292501002A2501002B2501007E
-:1094B0002C2501002D2501002E2501002F2501005E
-:1094C000302501003125010032250100332501003E
-:1094D000342501003525010036250100372501001E
-:1094E00038250100392501003A2501003B250100FE
-:1094F0003C2501003D2501003E2501003F250100DE
-:1095000040250100412501004225010043250100BD
-:10951000442501004525010046250100472501009D
-:1095200048250100492501004A2501004B2501007D
-:109530004C2501004D2501004E2501004F2501005D
-:10954000502501005125010052250100532501003D
-:10955000542501005525010056250100572501001D
-:1095600058250100592501005A2501005B250100FD
-:109570005C2501005D2501005E2501005F250100DD
-:1095800060250100612501006225010063250100BD
-:10959000642501006525010066250100672501009D
-:1095A00068250100692501006A2501006B2501007D
-:1095B0006C2501006D2501006E2501006F2501005D
-:1095C000702501007125010072250100732501003D
-:1095D000742501007525010076250100772501001D
-:1095E00078250100792501007A2501007B250100FD
-:1095F0007C2501007D2501007E2501007F250100DD
-:1096000080250100812501008225010083250100BC
-:10961000842501008525010086250100872501009C
-:1096200088250100892501008A2501008B2501007C
-:109630008C2501008D2501008E2501008F2501005C
-:10964000902501009125010092250100932501003C
-:10965000942501009525010096250100972501001C
-:1096600098250100992501009A2501009B250100FC
-:109670009C2501009D2501009E2501009F250100DC
-:10968000A0250100A1250100A2250100A3250100BC
-:10969000A4250100A5250100A6250100A72501009C
-:1096A000A8250100A9250100AA250100AB2501007C
-:1096B000AC250100AD250100AE250100AF2501005C
-:1096C000B0250100B1250100B2250100B32501003C
-:1096D000B4250100B5250100B6250100B72501001C
-:1096E000B8250100B9250100BA250100BB250100FC
-:1096F000BC250100BD250100BE250100BF250100DC
-:10970000C0250100C1250100C2250100C3250100BB
-:10971000C4250100C5250100C6250100C72501009B
-:10972000C8250100C9250100CA250100CB2501007B
-:10973000CC250100CD250100CE250100CF2501005B
-:10974000D0250100D1250100D2250100D32501003B
-:10975000D4250100D5250100D6250100D72501001B
-:10976000D8250100D9250100DA250100DB250100FB
-:10977000DC250100DD250100DE250100DF250100DB
-:10978000E0250100E1250100E2250100E3250100BB
-:10979000E4250100E5250100E6250100E72501009B
-:1097A000E8250100E9250100EA250100EB2501007B
-:1097B000EC250100ED250100EE250100EF2501005B
-:1097C000F0250100F1250100F2250100F32501003B
-:1097D000F4250100F5250100F6250100F72501001B
-:1097E000F8250100F9250100FA250100FB250100FB
-:1097F000FC250100FD250100FE250100FF250100DB
-:1098000000260100012601000226010003260100B6
-:109810000426010005260100062601000726010096
-:1098200008260100092601000A2601000B26010076
-:109830000C2601000D2601000E2601000F26010056
-:109840001026010011260100122601001326010036
-:109850001426010015260100162601001726010016
-:1098600018260100192601001A2601001B260100F6
-:109870001C2601001D2601001E2601001F260100D6
-:1098800020260100212601002226010023260100B6
-:109890002426010025260100262601002726010096
-:1098A00028260100292601002A2601002B26010076
-:1098B0002C2601002D2601002E2601002F26010056
-:1098C0003026010031260100322601003326010036
-:1098D0003426010035260100362601003726010016
-:1098E00038260100392601003A2601003B260100F6
-:1098F0003C2601003D2601003E2601003F260100D6
-:1099000040260100412601004226010043260100B5
-:109910004426010045260100462601004726010095
-:1099200048260100492601004A2601004B26010075
-:109930004C2601004D2601004E2601004F26010055
-:109940005026010051260100522601005326010035
-:109950005426010055260100562601005726010015
-:1099600058260100592601005A2601005B260100F5
-:109970005C2601005D2601005E2601005F260100D5
-:1099800060260100612601006226010063260100B5
-:109990006426010065260100662601006726010095
-:1099A00068260100692601006A2601006B26010075
-:1099B0006C2601006D2601006E2601006F26010055
-:1099C0007026010071260100722601007326010035
-:1099D0007426010075260100762601007726010015
-:1099E00078260100792601007A2601007B260100F5
-:1099F0007C2601007D2601007E2601007F260100D5
-:109A000080260100812601008226010083260100B4
-:109A10008426010085260100862601008726010094
-:109A200088260100892601008A2601008B26010074
-:109A30008C2601008D2601008E2601008F26010054
-:109A40009026010091260100922601009326010034
-:109A50009426010095260100962601009726010014
-:109A600098260100992601009A2601009B260100F4
-:109A70009C2601009D2601009E2601009F260100D4
-:109A8000A0260100A1260100A2260100A3260100B4
-:109A9000A4260100A5260100A6260100A726010094
-:109AA000A8260100A9260100AA260100AB26010074
-:109AB000AC260100AD260100AE260100AF26010054
-:109AC000B0260100B1260100B2260100B326010034
-:109AD000B4260100B5260100B6260100B726010014
-:109AE000B8260100B9260100BA260100BB260100F4
-:109AF000BC260100BD260100BE260100BF260100D4
-:109B0000C0260100C1260100C2260100C3260100B3
-:109B1000C4260100C5260100C6260100C726010093
-:109B2000C8260100C9260100CA260100CB26010073
-:109B3000CC260100CD260100CE260100CF26010053
-:109B4000D0260100D1260100D2260100D326010033
-:109B5000D4260100D5260100D6260100D726010013
-:109B6000D8260100D9260100DA260100DB260100F3
-:109B7000DC260100DD260100DE260100DF260100D3
-:109B8000E0260100E1260100E2260100E3260100B3
-:109B9000E4260100E5260100E6260100E726010093
-:109BA000E8260100E9260100EA260100EB26010073
-:109BB000EC260100ED260100EE260100EF26010053
-:109BC000F0260100F1260100F2260100F326010033
-:109BD000F4260100F5260100F6260100F726010013
-:109BE000F8260100F9260100FA260100FB260100F3
-:109BF000FC260100FD260100FE260100FF260100D3
-:109C000000270100012701000227010003270100AE
-:109C1000042701000527010006270100072701008E
-:109C200008270100092701000A2701000B2701006E
-:109C30000C2701000D2701000E2701000F2701004E
-:109C4000102701001127010012270100132701002E
-:109C5000142701001527010016270100172701000E
-:109C600018270100192701001A2701001B270100EE
-:109C70001C2701001D2701001E2701001F270100CE
-:109C800020270100212701002227010023270100AE
-:109C9000242701002527010026270100272701008E
-:109CA00028270100292701002A2701002B2701006E
-:109CB0002C2701002D2701002E2701002F2701004E
-:109CC000302701003127010032270100332701002E
-:109CD000342701003527010036270100372701000E
-:109CE00038270100392701003A2701003B270100EE
-:109CF0003C2701003D2701003E2701003F270100CE
-:109D000040270100412701004227010043270100AD
-:109D1000442701004527010046270100472701008D
-:109D200048270100492701004A2701004B2701006D
-:109D30004C2701004D2701004E2701004F2701004D
-:109D4000502701005127010052270100532701002D
-:109D5000542701005527010056270100572701000D
-:109D600058270100592701005A2701005B270100ED
-:109D70005C2701005D2701005E2701005F270100CD
-:109D800060270100612701006227010063270100AD
-:109D9000642701006527010066270100672701008D
-:109DA00068270100692701006A2701006B2701006D
-:109DB0006C2701006D2701006E2701006F2701004D
-:109DC000702701007127010072270100732701002D
-:109DD000742701007527010076270100772701000D
-:109DE00078270100792701007A2701007B270100ED
-:109DF0007C2701007D2701007E2701007F270100CD
-:109E000080270100812701008227010083270100AC
-:109E1000842701008527010086270100872701008C
-:109E200088270100892701008A2701008B2701006C
-:109E30008C2701008D2701008E2701008F2701004C
-:109E4000902701009127010092270100932701002C
-:109E5000942701009527010096270100972701000C
-:109E600098270100992701009A2701009B270100EC
-:109E70009C2701009D2701009E2701009F270100CC
-:109E8000A0270100A1270100A2270100A3270100AC
-:109E9000A4270100A5270100A6270100A72701008C
-:109EA000A8270100A9270100AA270100AB2701006C
-:109EB000AC270100AD270100AE270100AF2701004C
-:109EC000B0270100B1270100B2270100B32701002C
-:109ED000B4270100B5270100B6270100B72701000C
-:109EE000B8270100B9270100BA270100BB270100EC
-:109EF000BC270100BD270100BE270100BF270100CC
-:109F0000C0270100C1270100C2270100C3270100AB
-:109F1000C4270100C5270100C6270100C72701008B
-:109F2000C8270100C9270100CA270100CB2701006B
-:109F3000CC270100CD270100CE270100CF2701004B
-:109F4000D0270100D1270100D2270100D32701002B
-:109F5000D4270100D5270100D6270100D72701000B
-:109F6000D8270100D9270100DA270100DB270100EB
-:109F7000DC270100DD270100DE270100DF270100CB
-:109F8000E0270100E1270100E2270100E3270100AB
-:109F9000E4270100E5270100E6270100E72701008B
-:109FA000E8270100E9270100EA270100EB2701006B
-:109FB000EC270100ED270100EE270100EF2701004B
-:109FC000F0270100F1270100F2270100F32701002B
-:109FD000F4270100F5270100F6270100F72701000B
-:109FE000F8270100F9270100FA270100FB270100EB
-:109FF000FC270100FD270100FE270100FF270100CB
-:10A0000000280100012801000228010003280100A6
-:10A010000428010005280100062801000728010086
-:10A0200008280100092801000A2801000B28010066
-:10A030000C2801000D2801000E2801000F28010046
-:10A040001028010011280100122801001328010026
-:10A050001428010015280100162801001728010006
-:10A0600018280100192801001A2801001B280100E6
-:10A070001C2801001D2801001E2801001F280100C6
-:10A0800020280100212801002228010023280100A6
-:10A090002428010025280100262801002728010086
-:10A0A00028280100292801002A2801002B28010066
-:10A0B0002C2801002D2801002E2801002F28010046
-:10A0C0003028010031280100322801003328010026
-:10A0D0003428010035280100362801003728010006
-:10A0E00038280100392801003A2801003B280100E6
-:10A0F0003C2801003D2801003E2801003F280100C6
-:10A1000040280100412801004228010043280100A5
-:10A110004428010045280100462801004728010085
-:10A1200048280100492801004A2801004B28010065
-:10A130004C2801004D2801004E2801004F28010045
-:10A140005028010051280100522801005328010025
-:10A150005428010055280100562801005728010005
-:10A1600058280100592801005A2801005B280100E5
-:10A170005C2801005D2801005E2801005F280100C5
-:10A1800060280100612801006228010063280100A5
-:10A190006428010065280100662801006728010085
-:10A1A00068280100692801006A2801006B28010065
-:10A1B0006C2801006D2801006E2801006F28010045
-:10A1C0007028010071280100722801007328010025
-:10A1D0007428010075280100762801007728010005
-:10A1E00078280100792801007A2801007B280100E5
-:10A1F0007C2801007D2801007E2801007F280100C5
-:10A2000080280100812801008228010083280100A4
-:10A210008428010085280100862801008728010084
-:10A2200088280100892801008A2801008B28010064
-:10A230008C2801008D2801008E2801008F28010044
-:10A240009028010091280100922801009328010024
-:10A250009428010095280100962801009728010004
-:10A2600098280100992801009A2801009B280100E4
-:10A270009C2801009D2801009E2801009F280100C4
-:10A28000A0280100A1280100A2280100A3280100A4
-:10A29000A4280100A5280100A6280100A728010084
-:10A2A000A8280100A9280100AA280100AB28010064
-:10A2B000AC280100AD280100AE280100AF28010044
-:10A2C000B0280100B1280100B2280100B328010024
-:10A2D000B4280100B5280100B6280100B728010004
-:10A2E000B8280100B9280100BA280100BB280100E4
-:10A2F000BC280100BD280100BE280100BF280100C4
-:10A30000C0280100C1280100C2280100C3280100A3
-:10A31000C4280100C5280100C6280100C728010083
-:10A32000C8280100C9280100CA280100CB28010063
-:10A33000CC280100CD280100CE280100CF28010043
-:10A34000D0280100D1280100D2280100D328010023
-:10A35000D4280100D5280100D6280100D728010003
-:10A36000D8280100D9280100DA280100DB280100E3
-:10A37000DC280100DD280100DE280100DF280100C3
-:10A38000E0280100E1280100E2280100E3280100A3
-:10A39000E4280100E5280100E6280100E728010083
-:10A3A000E8280100E9280100EA280100EB28010063
-:10A3B000EC280100ED280100EE280100EF28010043
-:10A3C000F0280100F1280100F2280100F328010023
-:10A3D000F4280100F5280100F6280100F728010003
-:10A3E000F8280100F9280100FA280100FB280100E3
-:10A3F000FC280100FD280100FE280100FF280100C3
-:10A40000002901000129010002290100032901009E
-:10A41000042901000529010006290100072901007E
-:10A4200008290100092901000A2901000B2901005E
-:10A430000C2901000D2901000E2901000F2901003E
-:10A44000102901001129010012290100132901001E
-:10A4500014290100152901001629010017290100FE
-:10A4600018290100192901001A2901001B290100DE
-:10A470001C2901001D2901001E2901001F290100BE
-:10A48000202901002129010022290100232901009E
-:10A49000242901002529010026290100272901007E
-:10A4A00028290100292901002A2901002B2901005E
-:10A4B0002C2901002D2901002E2901002F2901003E
-:10A4C000302901003129010032290100332901001E
-:10A4D00034290100352901003629010037290100FE
-:10A4E00038290100392901003A2901003B290100DE
-:10A4F0003C2901003D2901003E2901003F290100BE
-:10A50000402901004129010042290100432901009D
-:10A51000442901004529010046290100472901007D
-:10A5200048290100492901004A2901004B2901005D
-:10A530004C2901004D2901004E2901004F2901003D
-:10A54000502901005129010052290100532901001D
-:10A5500054290100552901005629010057290100FD
-:10A5600058290100592901005A2901005B290100DD
-:10A570005C2901005D2901005E2901005F290100BD
-:10A58000602901006129010062290100632901009D
-:10A59000642901006529010066290100672901007D
-:10A5A00068290100692901006A2901006B2901005D
-:10A5B0006C2901006D2901006E2901006F2901003D
-:10A5C000702901007129010072290100732901001D
-:10A5D00074290100752901007629010077290100FD
-:10A5E00078290100792901007A2901007B290100DD
-:10A5F0007C2901007D2901007E2901007F290100BD
-:10A60000802901008129010082290100832901009C
-:10A61000842901008529010086290100872901007C
-:10A6200088290100892901008A2901008B2901005C
-:10A630008C2901008D2901008E2901008F2901003C
-:10A64000902901009129010092290100932901001C
-:10A6500094290100952901009629010097290100FC
-:10A6600098290100992901009A2901009B290100DC
-:10A670009C2901009D2901009E2901009F290100BC
-:10A68000A0290100A1290100A2290100A32901009C
-:10A69000A4290100A5290100A6290100A72901007C
-:10A6A000A8290100A9290100AA290100AB2901005C
-:10A6B000AC290100AD290100AE290100AF2901003C
-:10A6C000B0290100B1290100B2290100B32901001C
-:10A6D000B4290100B5290100B6290100B7290100FC
-:10A6E000B8290100B9290100BA290100BB290100DC
-:10A6F000BC290100BD290100BE290100BF290100BC
-:10A70000C0290100C1290100C2290100C32901009B
-:10A71000C4290100C5290100C6290100C72901007B
-:10A72000C8290100C9290100CA290100CB2901005B
-:10A73000CC290100CD290100CE290100CF2901003B
-:10A74000D0290100D1290100D2290100D32901001B
-:10A75000D4290100D5290100D6290100D7290100FB
-:10A76000D8290100D9290100DA290100DB290100DB
-:10A77000DC290100DD290100DE290100DF290100BB
-:10A78000E0290100E1290100E2290100E32901009B
-:10A79000E4290100E5290100E6290100E72901007B
-:10A7A000E8290100E9290100EA290100EB2901005B
-:10A7B000EC290100ED290100EE290100EF2901003B
-:10A7C000F0290100F1290100F2290100F32901001B
-:10A7D000F4290100F5290100F6290100F7290100FB
-:10A7E000F8290100F9290100FA290100FB290100DB
-:10A7F000FC290100FD290100FE290100FF290100BB
-:10A80000002A0100012A0100022A0100032A010096
-:10A81000042A0100052A0100062A0100072A010076
-:10A82000082A0100092A01000A2A01000B2A010056
-:10A830000C2A01000D2A01000E2A01000F2A010036
-:10A84000102A0100112A0100122A0100132A010016
-:10A85000142A0100152A0100162A0100172A0100F6
-:10A86000182A0100192A01001A2A01001B2A0100D6
-:10A870001C2A01001D2A01001E2A01001F2A0100B6
-:10A88000202A0100212A0100222A0100232A010096
-:10A89000242A0100252A0100262A0100272A010076
-:10A8A000282A0100292A01002A2A01002B2A010056
-:10A8B0002C2A01002D2A01002E2A01002F2A010036
-:10A8C000302A0100312A0100322A0100332A010016
-:10A8D000342A0100352A0100362A0100372A0100F6
-:10A8E000382A0100392A01003A2A01003B2A0100D6
-:10A8F0003C2A01003D2A01003E2A01003F2A0100B6
-:10A90000402A0100412A0100422A0100432A010095
-:10A91000442A0100452A0100462A0100472A010075
-:10A92000482A0100492A01004A2A01004B2A010055
-:10A930004C2A01004D2A01004E2A01004F2A010035
-:10A94000502A0100512A0100522A0100532A010015
-:10A95000542A0100552A0100562A0100572A0100F5
-:10A96000582A0100592A01005A2A01005B2A0100D5
-:10A970005C2A01005D2A01005E2A01005F2A0100B5
-:10A98000602A0100612A0100622A0100632A010095
-:10A99000642A0100652A0100662A0100672A010075
-:10A9A000682A0100692A01006A2A01006B2A010055
-:10A9B0006C2A01006D2A01006E2A01006F2A010035
-:10A9C000702A0100712A0100722A0100732A010015
-:10A9D000742A0100752A0100762A0100772A0100F5
-:10A9E000782A0100792A01007A2A01007B2A0100D5
-:10A9F0007C2A01007D2A01007E2A01007F2A0100B5
-:10AA0000802A0100812A0100822A0100832A010094
-:10AA1000842A0100852A0100862A0100872A010074
-:10AA2000882A0100892A01008A2A01008B2A010054
-:10AA30008C2A01008D2A01008E2A01008F2A010034
-:10AA4000902A0100912A0100922A0100932A010014
-:10AA5000942A0100952A0100962A0100972A0100F4
-:10AA6000982A0100992A01009A2A01009B2A0100D4
-:10AA70009C2A01009D2A01009E2A01009F2A0100B4
-:10AA8000A02A0100A12A0100A22A0100A32A010094
-:10AA9000A42A0100A52A0100A62A0100A72A010074
-:10AAA000A82A0100A92A0100AA2A0100AB2A010054
-:10AAB000AC2A0100AD2A0100AE2A0100AF2A010034
-:10AAC000B02A0100B12A0100B22A0100B32A010014
-:10AAD000B42A0100B52A0100B62A0100B72A0100F4
-:10AAE000B82A0100B92A0100BA2A0100BB2A0100D4
-:10AAF000BC2A0100BD2A0100BE2A0100BF2A0100B4
-:10AB0000C02A0100C12A0100C22A0100C32A010093
-:10AB1000C42A0100C52A0100C62A0100C72A010073
-:10AB2000C82A0100C92A0100CA2A0100CB2A010053
-:10AB3000CC2A0100CD2A0100CE2A0100CF2A010033
-:10AB4000D02A0100D12A0100D22A0100D32A010013
-:10AB5000D42A0100D52A0100D62A0100D72A0100F3
-:10AB6000D82A0100D92A0100DA2A0100DB2A0100D3
-:10AB7000DC2A0100DD2A0100DE2A0100DF2A0100B3
-:10AB8000E02A0100E12A0100E22A0100E32A010093
-:10AB9000E42A0100E52A0100E62A0100E72A010073
-:10ABA000E82A0100E92A0100EA2A0100EB2A010053
-:10ABB000EC2A0100ED2A0100EE2A0100EF2A010033
-:10ABC000F02A0100F12A0100F22A0100F32A010013
-:10ABD000F42A0100F52A0100F62A0100F72A0100F3
-:10ABE000F82A0100F92A0100FA2A0100FB2A0100D3
-:10ABF000FC2A0100FD2A0100FE2A0100FF2A0100B3
-:10AC0000002B0100012B0100022B0100032B01008E
-:10AC1000042B0100052B0100062B0100072B01006E
-:10AC2000082B0100092B01000A2B01000B2B01004E
-:10AC30000C2B01000D2B01000E2B01000F2B01002E
-:10AC4000102B0100112B0100122B0100132B01000E
-:10AC5000142B0100152B0100162B0100172B0100EE
-:10AC6000182B0100192B01001A2B01001B2B0100CE
-:10AC70001C2B01001D2B01001E2B01001F2B0100AE
-:10AC8000202B0100212B0100222B0100232B01008E
-:10AC9000242B0100252B0100262B0100272B01006E
-:10ACA000282B0100292B01002A2B01002B2B01004E
-:10ACB0002C2B01002D2B01002E2B01002F2B01002E
-:10ACC000302B0100312B0100322B0100332B01000E
-:10ACD000342B0100352B0100362B0100372B0100EE
-:10ACE000382B0100392B01003A2B01003B2B0100CE
-:10ACF0003C2B01003D2B01003E2B01003F2B0100AE
-:10AD0000402B0100412B0100422B0100432B01008D
-:10AD1000442B0100452B0100462B0100472B01006D
-:10AD2000482B0100492B01004A2B01004B2B01004D
-:10AD30004C2B01004D2B01004E2B01004F2B01002D
-:10AD4000502B0100512B0100522B0100532B01000D
-:10AD5000542B0100552B0100562B0100572B0100ED
-:10AD6000582B0100592B01005A2B01005B2B0100CD
-:10AD70005C2B01005D2B01005E2B01005F2B0100AD
-:10AD8000602B0100612B0100622B0100632B01008D
-:10AD9000642B0100652B0100662B0100672B01006D
-:10ADA000682B0100692B01006A2B01006B2B01004D
-:10ADB0006C2B01006D2B01006E2B01006F2B01002D
-:10ADC000702B0100712B0100722B0100732B01000D
-:10ADD000742B0100752B0100762B0100772B0100ED
-:10ADE000782B0100792B01007A2B01007B2B0100CD
-:10ADF0007C2B01007D2B01007E2B01007F2B0100AD
-:10AE0000802B0100812B0100822B0100832B01008C
-:10AE1000842B0100852B0100862B0100872B01006C
-:10AE2000882B0100892B01008A2B01008B2B01004C
-:10AE30008C2B01008D2B01008E2B01008F2B01002C
-:10AE4000902B0100912B0100922B0100932B01000C
-:10AE5000942B0100952B0100962B0100972B0100EC
-:10AE6000982B0100992B01009A2B01009B2B0100CC
-:10AE70009C2B01009D2B01009E2B01009F2B0100AC
-:10AE8000A02B0100A12B0100A22B0100A32B01008C
-:10AE9000A42B0100A52B0100A62B0100A72B01006C
-:10AEA000A82B0100A92B0100AA2B0100AB2B01004C
-:10AEB000AC2B0100AD2B0100AE2B0100AF2B01002C
-:10AEC000B02B0100B12B0100B22B0100B32B01000C
-:10AED000B42B0100B52B0100B62B0100B72B0100EC
-:10AEE000B82B0100B92B0100BA2B0100BB2B0100CC
-:10AEF000BC2B0100BD2B0100BE2B0100BF2B0100AC
-:10AF0000C02B0100C12B0100C22B0100C32B01008B
-:10AF1000C42B0100C52B0100C62B0100C72B01006B
-:10AF2000C82B0100C92B0100CA2B0100CB2B01004B
-:10AF3000CC2B0100CD2B0100CE2B0100CF2B01002B
-:10AF4000D02B0100D12B0100D22B0100D32B01000B
-:10AF5000D42B0100D52B0100D62B0100D72B0100EB
-:10AF6000D82B0100D92B0100DA2B0100DB2B0100CB
-:10AF7000DC2B0100DD2B0100DE2B0100DF2B0100AB
-:10AF8000E02B0100E12B0100E22B0100E32B01008B
-:10AF9000E42B0100E52B0100E62B0100E72B01006B
-:10AFA000E82B0100E92B0100EA2B0100EB2B01004B
-:10AFB000EC2B0100ED2B0100EE2B0100EF2B01002B
-:10AFC000F02B0100F12B0100F22B0100F32B01000B
-:10AFD000F42B0100F52B0100F62B0100F72B0100EB
-:10AFE000F82B0100F92B0100FA2B0100FB2B0100CB
-:10AFF000FC2B0100FD2B0100FE2B0100FF2B0100AB
-:10B00000002C0100012C0100022C0100032C010086
-:10B01000042C0100052C0100062C0100072C010066
-:10B02000082C0100092C01000A2C01000B2C010046
-:10B030000C2C01000D2C01000E2C01000F2C010026
-:10B04000102C0100112C0100122C0100132C010006
-:10B05000142C0100152C0100162C0100172C0100E6
-:10B06000182C0100192C01001A2C01001B2C0100C6
-:10B070001C2C01001D2C01001E2C01001F2C0100A6
-:10B08000202C0100212C0100222C0100232C010086
-:10B09000242C0100252C0100262C0100272C010066
-:10B0A000282C0100292C01002A2C01002B2C010046
-:10B0B0002C2C01002D2C01002E2C01002F2C010026
-:10B0C000302C0100312C0100322C0100332C010006
-:10B0D000342C0100352C0100362C0100372C0100E6
-:10B0E000382C0100392C01003A2C01003B2C0100C6
-:10B0F0003C2C01003D2C01003E2C01003F2C0100A6
-:10B10000402C0100412C0100422C0100432C010085
-:10B11000442C0100452C0100462C0100472C010065
-:10B12000482C0100492C01004A2C01004B2C010045
-:10B130004C2C01004D2C01004E2C01004F2C010025
-:10B14000502C0100512C0100522C0100532C010005
-:10B15000542C0100552C0100562C0100572C0100E5
-:10B16000582C0100592C01005A2C01005B2C0100C5
-:10B170005C2C01005D2C01005E2C01005F2C0100A5
-:10B18000602C0100612C0100622C0100632C010085
-:10B19000642C0100652C0100662C0100672C010065
-:10B1A000682C0100692C01006A2C01006B2C010045
-:10B1B0006C2C01006D2C01006E2C01006F2C010025
-:10B1C000702C0100712C0100722C0100732C010005
-:10B1D000742C0100752C0100762C0100772C0100E5
-:10B1E000782C0100792C01007A2C01007B2C0100C5
-:10B1F0007C2C01007D2C01007E2C01007F2C0100A5
-:10B20000802C0100812C0100822C0100832C010084
-:10B21000842C0100852C0100862C0100872C010064
-:10B22000882C0100892C01008A2C01008B2C010044
-:10B230008C2C01008D2C01008E2C01008F2C010024
-:10B24000902C0100912C0100922C0100932C010004
-:10B25000942C0100952C0100962C0100972C0100E4
-:10B26000982C0100992C01009A2C01009B2C0100C4
-:10B270009C2C01009D2C01009E2C01009F2C0100A4
-:10B28000A02C0100A12C0100A22C0100A32C010084
-:10B29000A42C0100A52C0100A62C0100A72C010064
-:10B2A000A82C0100A92C0100AA2C0100AB2C010044
-:10B2B000AC2C0100AD2C0100AE2C0100AF2C010024
-:10B2C000B02C0100B12C0100B22C0100B32C010004
-:10B2D000B42C0100B52C0100B62C0100B72C0100E4
-:10B2E000B82C0100B92C0100BA2C0100BB2C0100C4
-:10B2F000BC2C0100BD2C0100BE2C0100BF2C0100A4
-:10B30000C02C0100C12C0100C22C0100C32C010083
-:10B31000C42C0100C52C0100C62C0100C72C010063
-:10B32000C82C0100C92C0100CA2C0100CB2C010043
-:10B33000CC2C0100CD2C0100CE2C0100CF2C010023
-:10B34000D02C0100D12C0100D22C0100D32C010003
-:10B35000D42C0100D52C0100D62C0100D72C0100E3
-:10B36000D82C0100D92C0100DA2C0100DB2C0100C3
-:10B37000DC2C0100DD2C0100DE2C0100DF2C0100A3
-:10B38000E02C0100E12C0100E22C0100E32C010083
-:10B39000E42C0100E52C0100E62C0100E72C010063
-:10B3A000E82C0100E92C0100EA2C0100EB2C010043
-:10B3B000EC2C0100ED2C0100EE2C0100EF2C010023
-:10B3C000F02C0100F12C0100F22C0100F32C010003
-:10B3D000F42C0100F52C0100F62C0100F72C0100E3
-:10B3E000F82C0100F92C0100FA2C0100FB2C0100C3
-:10B3F000FC2C0100FD2C0100FE2C0100FF2C0100A3
-:10B40000002D0100012D0100022D0100032D01007E
-:10B41000042D0100052D0100062D0100072D01005E
-:10B42000082D0100092D01000A2D01000B2D01003E
-:10B430000C2D01000D2D01000E2D01000F2D01001E
-:10B44000102D0100112D0100122D0100132D0100FE
-:10B45000142D0100152D0100162D0100172D0100DE
-:10B46000182D0100192D01001A2D01001B2D0100BE
-:10B470001C2D01001D2D01001E2D01001F2D01009E
-:10B48000202D0100212D0100222D0100232D01007E
-:10B49000242D0100252D0100262D0100272D01005E
-:10B4A000282D0100292D01002A2D01002B2D01003E
-:10B4B0002C2D01002D2D01002E2D01002F2D01001E
-:10B4C000302D0100312D0100322D0100332D0100FE
-:10B4D000342D0100352D0100362D0100372D0100DE
-:10B4E000382D0100392D01003A2D01003B2D0100BE
-:10B4F0003C2D01003D2D01003E2D01003F2D01009E
-:10B50000402D0100412D0100422D0100432D01007D
-:10B51000442D0100452D0100462D0100472D01005D
-:10B52000482D0100492D01004A2D01004B2D01003D
-:10B530004C2D01004D2D01004E2D01004F2D01001D
-:10B54000502D0100512D0100522D0100532D0100FD
-:10B55000542D0100552D0100562D0100572D0100DD
-:10B56000582D0100592D01005A2D01005B2D0100BD
-:10B570005C2D01005D2D01005E2D01005F2D01009D
-:10B58000602D0100612D0100622D0100632D01007D
-:10B59000642D0100652D0100662D0100672D01005D
-:10B5A000682D0100692D01006A2D01006B2D01003D
-:10B5B0006C2D01006D2D01006E2D01006F2D01001D
-:10B5C000702D0100712D0100722D0100732D0100FD
-:10B5D000742D0100752D0100762D0100772D0100DD
-:10B5E000782D0100792D01007A2D01007B2D0100BD
-:10B5F0007C2D01007D2D01007E2D01007F2D01009D
-:10B60000802D0100812D0100822D0100832D01007C
-:10B61000842D0100852D0100862D0100872D01005C
-:10B62000882D0100892D01008A2D01008B2D01003C
-:10B630008C2D01008D2D01008E2D01008F2D01001C
-:10B64000902D0100912D0100922D0100932D0100FC
-:10B65000942D0100952D0100962D0100972D0100DC
-:10B66000982D0100992D01009A2D01009B2D0100BC
-:10B670009C2D01009D2D01009E2D01009F2D01009C
-:10B68000A02D0100A12D0100A22D0100A32D01007C
-:10B69000A42D0100A52D0100A62D0100A72D01005C
-:10B6A000A82D0100A92D0100AA2D0100AB2D01003C
-:10B6B000AC2D0100AD2D0100AE2D0100AF2D01001C
-:10B6C000B02D0100B12D0100B22D0100B32D0100FC
-:10B6D000B42D0100B52D0100B62D0100B72D0100DC
-:10B6E000B82D0100B92D0100BA2D0100BB2D0100BC
-:10B6F000BC2D0100BD2D0100BE2D0100BF2D01009C
-:10B70000C02D0100C12D0100C22D0100C32D01007B
-:10B71000C42D0100C52D0100C62D0100C72D01005B
-:10B72000C82D0100C92D0100CA2D0100CB2D01003B
-:10B73000CC2D0100CD2D0100CE2D0100CF2D01001B
-:10B74000D02D0100D12D0100D22D0100D32D0100FB
-:10B75000D42D0100D52D0100D62D0100D72D0100DB
-:10B76000D82D0100D92D0100DA2D0100DB2D0100BB
-:10B77000DC2D0100DD2D0100DE2D0100DF2D01009B
-:10B78000E02D0100E12D0100E22D0100E32D01007B
-:10B79000E42D0100E52D0100E62D0100E72D01005B
-:10B7A000E82D0100E92D0100EA2D0100EB2D01003B
-:10B7B000EC2D0100ED2D0100EE2D0100EF2D01001B
-:10B7C000F02D0100F12D0100F22D0100F32D0100FB
-:10B7D000F42D0100F52D0100F62D0100F72D0100DB
-:10B7E000F82D0100F92D0100FA2D0100FB2D0100BB
-:10B7F000FC2D0100FD2D0100FE2D0100FF2D01009B
-:10B80000002E0100012E0100022E0100032E010076
-:10B81000042E0100052E0100062E0100072E010056
-:10B82000082E0100092E01000A2E01000B2E010036
-:10B830000C2E01000D2E01000E2E01000F2E010016
-:10B84000102E0100112E0100122E0100132E0100F6
-:10B85000142E0100152E0100162E0100172E0100D6
-:10B86000182E0100192E01001A2E01001B2E0100B6
-:10B870001C2E01001D2E01001E2E01001F2E010096
-:10B88000202E0100212E0100222E0100232E010076
-:10B89000242E0100252E0100262E0100272E010056
-:10B8A000282E0100292E01002A2E01002B2E010036
-:10B8B0002C2E01002D2E01002E2E01002F2E010016
-:10B8C000302E0100312E0100322E0100332E0100F6
-:10B8D000342E0100352E0100362E0100372E0100D6
-:10B8E000382E0100392E01003A2E01003B2E0100B6
-:10B8F0003C2E01003D2E01003E2E01003F2E010096
-:10B90000402E0100412E0100422E0100432E010075
-:10B91000442E0100452E0100462E0100472E010055
-:10B92000482E0100492E01004A2E01004B2E010035
-:10B930004C2E01004D2E01004E2E01004F2E010015
-:10B94000502E0100512E0100522E0100532E0100F5
-:10B95000542E0100552E0100562E0100572E0100D5
-:10B96000582E0100592E01005A2E01005B2E0100B5
-:10B970005C2E01005D2E01005E2E01005F2E010095
-:10B98000602E0100612E0100622E0100632E010075
-:10B99000642E0100652E0100662E0100672E010055
-:10B9A000682E0100692E01006A2E01006B2E010035
-:10B9B0006C2E01006D2E01006E2E01006F2E010015
-:10B9C000702E0100712E0100722E0100732E0100F5
-:10B9D000742E0100752E0100762E0100772E0100D5
-:10B9E000782E0100792E01007A2E01007B2E0100B5
-:10B9F0007C2E01007D2E01007E2E01007F2E010095
-:10BA0000802E0100812E0100822E0100832E010074
-:10BA1000842E0100852E0100862E0100872E010054
-:10BA2000882E0100892E01008A2E01008B2E010034
-:10BA30008C2E01008D2E01008E2E01008F2E010014
-:10BA4000902E0100912E0100922E0100932E0100F4
-:10BA5000942E0100952E0100962E0100972E0100D4
-:10BA6000982E0100992E01009A2E01009B2E0100B4
-:10BA70009C2E01009D2E01009E2E01009F2E010094
-:10BA8000A02E0100A12E0100A22E0100A32E010074
-:10BA9000A42E0100A52E0100A62E0100A72E010054
-:10BAA000A82E0100A92E0100AA2E0100AB2E010034
-:10BAB000AC2E0100AD2E0100AE2E0100AF2E010014
-:10BAC000B02E0100B12E0100B22E0100B32E0100F4
-:10BAD000B42E0100B52E0100B62E0100B72E0100D4
-:10BAE000B82E0100B92E0100BA2E0100BB2E0100B4
-:10BAF000BC2E0100BD2E0100BE2E0100BF2E010094
-:10BB0000C02E0100C12E0100C22E0100C32E010073
-:10BB1000C42E0100C52E0100C62E0100C72E010053
-:10BB2000C82E0100C92E0100CA2E0100CB2E010033
-:10BB3000CC2E0100CD2E0100CE2E0100CF2E010013
-:10BB4000D02E0100D12E0100D22E0100D32E0100F3
-:10BB5000D42E0100D52E0100D62E0100D72E0100D3
-:10BB6000D82E0100D92E0100DA2E0100DB2E0100B3
-:10BB7000DC2E0100DD2E0100DE2E0100DF2E010093
-:10BB8000E02E0100E12E0100E22E0100E32E010073
-:10BB9000E42E0100E52E0100E62E0100E72E010053
-:10BBA000E82E0100E92E0100EA2E0100EB2E010033
-:10BBB000EC2E0100ED2E0100EE2E0100EF2E010013
-:10BBC000F02E0100F12E0100F22E0100F32E0100F3
-:10BBD000F42E0100F52E0100F62E0100F72E0100D3
-:10BBE000F82E0100F92E0100FA2E0100FB2E0100B3
-:10BBF000FC2E0100FD2E0100FE2E0100FF2E010093
-:10BC0000002F0100012F0100022F0100032F01006E
-:10BC1000042F0100052F0100062F0100072F01004E
-:10BC2000082F0100092F01000A2F01000B2F01002E
-:10BC30000C2F01000D2F01000E2F01000F2F01000E
-:10BC4000102F0100112F0100122F0100132F0100EE
-:10BC5000142F0100152F0100162F0100172F0100CE
-:10BC6000182F0100192F01001A2F01001B2F0100AE
-:10BC70001C2F01001D2F01001E2F01001F2F01008E
-:10BC8000202F0100212F0100222F0100232F01006E
-:10BC9000242F0100252F0100262F0100272F01004E
-:10BCA000282F0100292F01002A2F01002B2F01002E
-:10BCB0002C2F01002D2F01002E2F01002F2F01000E
-:10BCC000302F0100312F0100322F0100332F0100EE
-:10BCD000342F0100352F0100362F0100372F0100CE
-:10BCE000382F0100392F01003A2F01003B2F0100AE
-:10BCF0003C2F01003D2F01003E2F01003F2F01008E
-:10BD0000402F0100412F0100422F0100432F01006D
-:10BD1000442F0100452F0100462F0100472F01004D
-:10BD2000482F0100492F01004A2F01004B2F01002D
-:10BD30004C2F01004D2F01004E2F01004F2F01000D
-:10BD4000502F0100512F0100522F0100532F0100ED
-:10BD5000542F0100552F0100562F0100572F0100CD
-:10BD6000582F0100592F01005A2F01005B2F0100AD
-:10BD70005C2F01005D2F01005E2F01005F2F01008D
-:10BD8000602F0100612F0100622F0100632F01006D
-:10BD9000642F0100652F0100662F0100672F01004D
-:10BDA000682F0100692F01006A2F01006B2F01002D
-:10BDB0006C2F01006D2F01006E2F01006F2F01000D
-:10BDC000702F0100712F0100722F0100732F0100ED
-:10BDD000742F0100752F0100762F0100772F0100CD
-:10BDE000782F0100792F01007A2F01007B2F0100AD
-:10BDF0007C2F01007D2F01007E2F01007F2F01008D
-:10BE0000802F0100812F0100822F0100832F01006C
-:10BE1000842F0100852F0100862F0100872F01004C
-:10BE2000882F0100892F01008A2F01008B2F01002C
-:10BE30008C2F01008D2F01008E2F01008F2F01000C
-:10BE4000902F0100912F0100922F0100932F0100EC
-:10BE5000942F0100952F0100962F0100972F0100CC
-:10BE6000982F0100992F01009A2F01009B2F0100AC
-:10BE70009C2F01009D2F01009E2F01009F2F01008C
-:10BE8000A02F0100A12F0100A22F0100A32F01006C
-:10BE9000A42F0100A52F0100A62F0100A72F01004C
-:10BEA000A82F0100A92F0100AA2F0100AB2F01002C
-:10BEB000AC2F0100AD2F0100AE2F0100AF2F01000C
-:10BEC000B02F0100B12F0100B22F0100B32F0100EC
-:10BED000B42F0100B52F0100B62F0100B72F0100CC
-:10BEE000B82F0100B92F0100BA2F0100BB2F0100AC
-:10BEF000BC2F0100BD2F0100BE2F0100BF2F01008C
-:10BF0000C02F0100C12F0100C22F0100C32F01006B
-:10BF1000C42F0100C52F0100C62F0100C72F01004B
-:10BF2000C82F0100C92F0100CA2F0100CB2F01002B
-:10BF3000CC2F0100CD2F0100CE2F0100CF2F01000B
-:10BF4000D02F0100D12F0100D22F0100D32F0100EB
-:10BF5000D42F0100D52F0100D62F0100D72F0100CB
-:10BF6000D82F0100D92F0100DA2F0100DB2F0100AB
-:10BF7000DC2F0100DD2F0100DE2F0100DF2F01008B
-:10BF8000E02F0100E12F0100E22F0100E32F01006B
-:10BF9000E42F0100E52F0100E62F0100E72F01004B
-:10BFA000E82F0100E92F0100EA2F0100EB2F01002B
-:10BFB000EC2F0100ED2F0100EE2F0100EF2F01000B
-:10BFC000F02F0100F12F0100F22F0100F32F0100EB
-:10BFD000F42F0100F52F0100F62F0100F72F0100CB
-:10BFE000F82F0100F92F0100FA2F0100FB2F0100AB
-:10BFF000FC2F0100FD2F0100FE2F0100FF2F01008B
-:10C000000030010001300100023001000330010066
-:10C010000430010005300100063001000730010046
-:10C0200008300100093001000A3001000B30010026
-:10C030000C3001000D3001000E3001000F30010006
-:10C0400010300100113001001230010013300100E6
-:10C0500014300100153001001630010017300100C6
-:10C0600018300100193001001A3001001B300100A6
-:10C070001C3001001D3001001E3001001F30010086
-:10C080002030010021300100223001002330010066
-:10C090002430010025300100263001002730010046
-:10C0A00028300100293001002A3001002B30010026
-:10C0B0002C3001002D3001002E3001002F30010006
-:10C0C00030300100313001003230010033300100E6
-:10C0D00034300100353001003630010037300100C6
-:10C0E00038300100393001003A3001003B300100A6
-:10C0F0003C3001003D3001003E3001003F30010086
-:10C100004030010041300100423001004330010065
-:10C110004430010045300100463001004730010045
-:10C1200048300100493001004A3001004B30010025
-:10C130004C3001004D3001004E3001004F30010005
-:10C1400050300100513001005230010053300100E5
-:10C1500054300100553001005630010057300100C5
-:10C1600058300100593001005A3001005B300100A5
-:10C170005C3001005D3001005E3001005F30010085
-:10C180006030010061300100623001006330010065
-:10C190006430010065300100663001006730010045
-:10C1A00068300100693001006A3001006B30010025
-:10C1B0006C3001006D3001006E3001006F30010005
-:10C1C00070300100713001007230010073300100E5
-:10C1D00074300100753001007630010077300100C5
-:10C1E00078300100793001007A3001007B300100A5
-:10C1F0007C3001007D3001007E3001007F30010085
-:10C200008030010081300100823001008330010064
-:10C210008430010085300100863001008730010044
-:10C2200088300100893001008A3001008B30010024
-:10C230008C3001008D3001008E3001008F30010004
-:10C2400090300100913001009230010093300100E4
-:10C2500094300100953001009630010097300100C4
-:10C2600098300100993001009A3001009B300100A4
-:10C270009C3001009D3001009E3001009F30010084
-:10C28000A0300100A1300100A2300100A330010064
-:10C29000A4300100A5300100A6300100A730010044
-:10C2A000A8300100A9300100AA300100AB30010024
-:10C2B000AC300100AD300100AE300100AF30010004
-:10C2C000B0300100B1300100B2300100B3300100E4
-:10C2D000B4300100B5300100B6300100B7300100C4
-:10C2E000B8300100B9300100BA300100BB300100A4
-:10C2F000BC300100BD300100BE300100BF30010084
-:10C30000C0300100C1300100C2300100C330010063
-:10C31000C4300100C5300100C6300100C730010043
-:10C32000C8300100C9300100CA300100CB30010023
-:10C33000CC300100CD300100CE300100CF30010003
-:10C34000D0300100D1300100D2300100D3300100E3
-:10C35000D4300100D5300100D6300100D7300100C3
-:10C36000D8300100D9300100DA300100DB300100A3
-:10C37000DC300100DD300100DE300100DF30010083
-:10C38000E0300100E1300100E2300100E330010063
-:10C39000E4300100E5300100E6300100E730010043
-:10C3A000E8300100E9300100EA300100EB30010023
-:10C3B000EC300100ED300100EE300100EF30010003
-:10C3C000F0300100F1300100F2300100F3300100E3
-:10C3D000F4300100F5300100F6300100F7300100C3
-:10C3E000F8300100F9300100FA300100FB300100A3
-:10C3F000FC300100FD300100FE300100FF30010083
-:10C40000003101000131010002310100033101005E
-:10C41000043101000531010006310100073101003E
-:10C4200008310100093101000A3101000B3101001E
-:10C430000C3101000D3101000E3101000F310100FE
-:10C4400010310100113101001231010013310100DE
-:10C4500014310100153101001631010017310100BE
-:10C4600018310100193101001A3101001B3101009E
-:10C470001C3101001D3101001E3101001F3101007E
-:10C48000203101002131010022310100233101005E
-:10C49000243101002531010026310100273101003E
-:10C4A00028310100293101002A3101002B3101001E
-:10C4B0002C3101002D3101002E3101002F310100FE
-:10C4C00030310100313101003231010033310100DE
-:10C4D00034310100353101003631010037310100BE
-:10C4E00038310100393101003A3101003B3101009E
-:10C4F0003C3101003D3101003E3101003F3101007E
-:10C50000403101004131010042310100433101005D
-:10C51000443101004531010046310100473101003D
-:10C5200048310100493101004A3101004B3101001D
-:10C530004C3101004D3101004E3101004F310100FD
-:10C5400050310100513101005231010053310100DD
-:10C5500054310100553101005631010057310100BD
-:10C5600058310100593101005A3101005B3101009D
-:10C570005C3101005D3101005E3101005F3101007D
-:10C58000603101006131010062310100633101005D
-:10C59000643101006531010066310100673101003D
-:10C5A00068310100693101006A3101006B3101001D
-:10C5B0006C3101006D3101006E3101006F310100FD
-:10C5C00070310100713101007231010073310100DD
-:10C5D00074310100753101007631010077310100BD
-:10C5E00078310100793101007A3101007B3101009D
-:10C5F0007C3101007D3101007E3101007F3101007D
-:10C60000803101008131010082310100833101005C
-:10C61000843101008531010086310100873101003C
-:10C6200088310100893101008A3101008B3101001C
-:10C630008C3101008D3101008E3101008F310100FC
-:10C6400090310100913101009231010093310100DC
-:10C6500094310100953101009631010097310100BC
-:10C6600098310100993101009A3101009B3101009C
-:10C670009C3101009D3101009E3101009F3101007C
-:10C68000A0310100A1310100A2310100A33101005C
-:10C69000A4310100A5310100A6310100A73101003C
-:10C6A000A8310100A9310100AA310100AB3101001C
-:10C6B000AC310100AD310100AE310100AF310100FC
-:10C6C000B0310100B1310100B2310100B3310100DC
-:10C6D000B4310100B5310100B6310100B7310100BC
-:10C6E000B8310100B9310100BA310100BB3101009C
-:10C6F000BC310100BD310100BE310100BF3101007C
-:10C70000C0310100C1310100C2310100C33101005B
-:10C71000C4310100C5310100C6310100C73101003B
-:10C72000C8310100C9310100CA310100CB3101001B
-:10C73000CC310100CD310100CE310100CF310100FB
-:10C74000D0310100D1310100D2310100D3310100DB
-:10C75000D4310100D5310100D6310100D7310100BB
-:10C76000D8310100D9310100DA310100DB3101009B
-:10C77000DC310100DD310100DE310100DF3101007B
-:10C78000E0310100E1310100E2310100E33101005B
-:10C79000E4310100E5310100E6310100E73101003B
-:10C7A000E8310100E9310100EA310100EB3101001B
-:10C7B000EC310100ED310100EE310100EF310100FB
-:10C7C000F0310100F1310100F2310100F3310100DB
-:10C7D000F4310100F5310100F6310100F7310100BB
-:10C7E000F8310100F9310100FA310100FB3101009B
-:10C7F000FC310100FD310100FE310100FF3101007B
-:10C800000032010001320100023201000332010056
-:10C810000432010005320100063201000732010036
-:10C8200008320100093201000A3201000B32010016
-:10C830000C3201000D3201000E3201000F320100F6
-:10C8400010320100113201001232010013320100D6
-:10C8500014320100153201001632010017320100B6
-:10C8600018320100193201001A3201001B32010096
-:10C870001C3201001D3201001E3201001F32010076
-:10C880002032010021320100223201002332010056
-:10C890002432010025320100263201002732010036
-:10C8A00028320100293201002A3201002B32010016
-:10C8B0002C3201002D3201002E3201002F320100F6
-:10C8C00030320100313201003232010033320100D6
-:10C8D00034320100353201003632010037320100B6
-:10C8E00038320100393201003A3201003B32010096
-:10C8F0003C3201003D3201003E3201003F32010076
-:10C900004032010041320100423201004332010055
-:10C910004432010045320100463201004732010035
-:10C9200048320100493201004A3201004B32010015
-:10C930004C3201004D3201004E3201004F320100F5
-:10C9400050320100513201005232010053320100D5
-:10C9500054320100553201005632010057320100B5
-:10C9600058320100593201005A3201005B32010095
-:10C970005C3201005D3201005E3201005F32010075
-:10C980006032010061320100623201006332010055
-:10C990006432010065320100663201006732010035
-:10C9A00068320100693201006A3201006B32010015
-:10C9B0006C3201006D3201006E3201006F320100F5
-:10C9C00070320100713201007232010073320100D5
-:10C9D00074320100753201007632010077320100B5
-:10C9E00078320100793201007A3201007B32010095
-:10C9F0007C3201007D3201007E3201007F32010075
-:10CA00008032010081320100823201008332010054
-:10CA10008432010085320100863201008732010034
-:10CA200088320100893201008A3201008B32010014
-:10CA30008C3201008D3201008E3201008F320100F4
-:10CA400090320100913201009232010093320100D4
-:10CA500094320100953201009632010097320100B4
-:10CA600098320100993201009A3201009B32010094
-:10CA70009C3201009D3201009E3201009F32010074
-:10CA8000A0320100A1320100A2320100A332010054
-:10CA9000A4320100A5320100A6320100A732010034
-:10CAA000A8320100A9320100AA320100AB32010014
-:10CAB000AC320100AD320100AE320100AF320100F4
-:10CAC000B0320100B1320100B2320100B3320100D4
-:10CAD000B4320100B5320100B6320100B7320100B4
-:10CAE000B8320100B9320100BA320100BB32010094
-:10CAF000BC320100BD320100BE320100BF32010074
-:10CB0000C0320100C1320100C2320100C332010053
-:10CB1000C4320100C5320100C6320100C732010033
-:10CB2000C8320100C9320100CA320100CB32010013
-:10CB3000CC320100CD320100CE320100CF320100F3
-:10CB4000D0320100D1320100D2320100D3320100D3
-:10CB5000D4320100D5320100D6320100D7320100B3
-:10CB6000D8320100D9320100DA320100DB32010093
-:10CB7000DC320100DD320100DE320100DF32010073
-:10CB8000E0320100E1320100E2320100E332010053
-:10CB9000E4320100E5320100E6320100E732010033
-:10CBA000E8320100E9320100EA320100EB32010013
-:10CBB000EC320100ED320100EE320100EF320100F3
-:10CBC000F0320100F1320100F2320100F3320100D3
-:10CBD000F4320100F5320100F6320100F7320100B3
-:10CBE000F8320100F9320100FA320100FB32010093
-:10CBF000FC320100FD320100FE320100FF32010073
-:10CC0000003301000133010002330100033301004E
-:10CC1000043301000533010006330100073301002E
-:10CC200008330100093301000A3301000B3301000E
-:10CC30000C3301000D3301000E3301000F330100EE
-:10CC400010330100113301001233010013330100CE
-:10CC500014330100153301001633010017330100AE
-:10CC600018330100193301001A3301001B3301008E
-:10CC70001C3301001D3301001E3301001F3301006E
-:10CC8000203301002133010022330100233301004E
-:10CC9000243301002533010026330100273301002E
-:10CCA00028330100293301002A3301002B3301000E
-:10CCB0002C3301002D3301002E3301002F330100EE
-:10CCC00030330100313301003233010033330100CE
-:10CCD00034330100353301003633010037330100AE
-:10CCE00038330100393301003A3301003B3301008E
-:10CCF0003C3301003D3301003E3301003F3301006E
-:10CD0000403301004133010042330100433301004D
-:10CD1000443301004533010046330100473301002D
-:10CD200048330100493301004A3301004B3301000D
-:10CD30004C3301004D3301004E3301004F330100ED
-:10CD400050330100513301005233010053330100CD
-:10CD500054330100553301005633010057330100AD
-:10CD600058330100593301005A3301005B3301008D
-:10CD70005C3301005D3301005E3301005F3301006D
-:10CD8000603301006133010062330100633301004D
-:10CD9000643301006533010066330100673301002D
-:10CDA00068330100693301006A3301006B3301000D
-:10CDB0006C3301006D3301006E3301006F330100ED
-:10CDC00070330100713301007233010073330100CD
-:10CDD00074330100753301007633010077330100AD
-:10CDE00078330100793301007A3301007B3301008D
-:10CDF0007C3301007D3301007E3301007F3301006D
-:10CE0000803301008133010082330100833301004C
-:10CE1000843301008533010086330100873301002C
-:10CE200088330100893301008A3301008B3301000C
-:10CE30008C3301008D3301008E3301008F330100EC
-:10CE400090330100913301009233010093330100CC
-:10CE500094330100953301009633010097330100AC
-:10CE600098330100993301009A3301009B3301008C
-:10CE70009C3301009D3301009E3301009F3301006C
-:10CE8000A0330100A1330100A2330100A33301004C
-:10CE9000A4330100A5330100A6330100A73301002C
-:10CEA000A8330100A9330100AA330100AB3301000C
-:10CEB000AC330100AD330100AE330100AF330100EC
-:10CEC000B0330100B1330100B2330100B3330100CC
-:10CED000B4330100B5330100B6330100B7330100AC
-:10CEE000B8330100B9330100BA330100BB3301008C
-:10CEF000BC330100BD330100BE330100BF3301006C
-:10CF0000C0330100C1330100C2330100C33301004B
-:10CF1000C4330100C5330100C6330100C73301002B
-:10CF2000C8330100C9330100CA330100CB3301000B
-:10CF3000CC330100CD330100CE330100CF330100EB
-:10CF4000D0330100D1330100D2330100D3330100CB
-:10CF5000D4330100D5330100D6330100D7330100AB
-:10CF6000D8330100D9330100DA330100DB3301008B
-:10CF7000DC330100DD330100DE330100DF3301006B
-:10CF8000E0330100E1330100E2330100E33301004B
-:10CF9000E4330100E5330100E6330100E73301002B
-:10CFA000E8330100E9330100EA330100EB3301000B
-:10CFB000EC330100ED330100EE330100EF330100EB
-:10CFC000F0330100F1330100F2330100F3330100CB
-:10CFD000F4330100F5330100F6330100F7330100AB
-:10CFE000F8330100F9330100FA330100FB3301008B
-:10CFF000FC330100FD330100FE330100FF3301006B
-:10D000000034010001340100023401000334010046
-:10D010000434010005340100063401000734010026
-:10D0200008340100093401000A3401000B34010006
-:10D030000C3401000D3401000E3401000F340100E6
-:10D0400010340100113401001234010013340100C6
-:10D0500014340100153401001634010017340100A6
-:10D0600018340100193401001A3401001B34010086
-:10D070001C3401001D3401001E3401001F34010066
-:10D080002034010021340100223401002334010046
-:10D090002434010025340100263401002734010026
-:10D0A00028340100293401002A3401002B34010006
-:10D0B0002C3401002D3401002E3401002F340100E6
-:10D0C00030340100313401003234010033340100C6
-:10D0D00034340100353401003634010037340100A6
-:10D0E00038340100393401003A3401003B34010086
-:10D0F0003C3401003D3401003E3401003F34010066
-:10D100004034010041340100423401004334010045
-:10D110004434010045340100463401004734010025
-:10D1200048340100493401004A3401004B34010005
-:10D130004C3401004D3401004E3401004F340100E5
-:10D1400050340100513401005234010053340100C5
-:10D1500054340100553401005634010057340100A5
-:10D1600058340100593401005A3401005B34010085
-:10D170005C3401005D3401005E3401005F34010065
-:10D180006034010061340100623401006334010045
-:10D190006434010065340100663401006734010025
-:10D1A00068340100693401006A3401006B34010005
-:10D1B0006C3401006D3401006E3401006F340100E5
-:10D1C00070340100713401007234010073340100C5
-:10D1D00074340100753401007634010077340100A5
-:10D1E00078340100793401007A3401007B34010085
-:10D1F0007C3401007D3401007E3401007F34010065
-:10D200008034010081340100823401008334010044
-:10D210008434010085340100863401008734010024
-:10D2200088340100893401008A3401008B34010004
-:10D230008C3401008D3401008E3401008F340100E4
-:10D2400090340100913401009234010093340100C4
-:10D2500094340100953401009634010097340100A4
-:10D2600098340100993401009A3401009B34010084
-:10D270009C3401009D3401009E3401009F34010064
-:10D28000A0340100A1340100A2340100A334010044
-:10D29000A4340100A5340100A6340100A734010024
-:10D2A000A8340100A9340100AA340100AB34010004
-:10D2B000AC340100AD340100AE340100AF340100E4
-:10D2C000B0340100B1340100B2340100B3340100C4
-:10D2D000B4340100B5340100B6340100B7340100A4
-:10D2E000B8340100B9340100BA340100BB34010084
-:10D2F000BC340100BD340100BE340100BF34010064
-:10D30000C0340100C1340100C2340100C334010043
-:10D31000C4340100C5340100C6340100C734010023
-:10D32000C8340100C9340100CA340100CB34010003
-:10D33000CC340100CD340100CE340100CF340100E3
-:10D34000D0340100D1340100D2340100D3340100C3
-:10D35000D4340100D5340100D6340100D7340100A3
-:10D36000D8340100D9340100DA340100DB34010083
-:10D37000DC340100DD340100DE340100DF34010063
-:10D38000E0340100E1340100E2340100E334010043
-:10D39000E4340100E5340100E6340100E734010023
-:10D3A000E8340100E9340100EA340100EB34010003
-:10D3B000EC340100ED340100EE340100EF340100E3
-:10D3C000F0340100F1340100F2340100F3340100C3
-:10D3D000F4340100F5340100F6340100F7340100A3
-:10D3E000F8340100F9340100FA340100FB34010083
-:10D3F000FC340100FD340100FE340100FF34010063
-:10D40000003501000135010002350100033501003E
-:10D41000043501000535010006350100073501001E
-:10D4200008350100093501000A3501000B350100FE
-:10D430000C3501000D3501000E3501000F350100DE
-:10D4400010350100113501001235010013350100BE
-:10D45000143501001535010016350100173501009E
-:10D4600018350100193501001A3501001B3501007E
-:10D470001C3501001D3501001E3501001F3501005E
-:10D48000203501002135010022350100233501003E
-:10D49000243501002535010026350100273501001E
-:10D4A00028350100293501002A3501002B350100FE
-:10D4B0002C3501002D3501002E3501002F350100DE
-:10D4C00030350100313501003235010033350100BE
-:10D4D000343501003535010036350100373501009E
-:10D4E00038350100393501003A3501003B3501007E
-:10D4F0003C3501003D3501003E3501003F3501005E
-:10D50000403501004135010042350100433501003D
-:10D51000443501004535010046350100473501001D
-:10D5200048350100493501004A3501004B350100FD
-:10D530004C3501004D3501004E3501004F350100DD
-:10D5400050350100513501005235010053350100BD
-:10D55000543501005535010056350100573501009D
-:10D5600058350100593501005A3501005B3501007D
-:10D570005C3501005D3501005E3501005F3501005D
-:10D58000603501006135010062350100633501003D
-:10D59000643501006535010066350100673501001D
-:10D5A00068350100693501006A3501006B350100FD
-:10D5B0006C3501006D3501006E3501006F350100DD
-:10D5C00070350100713501007235010073350100BD
-:10D5D000743501007535010076350100773501009D
-:10D5E00078350100793501007A3501007B3501007D
-:10D5F0007C3501007D3501007E3501007F3501005D
-:10D60000803501008135010082350100833501003C
-:10D61000843501008535010086350100873501001C
-:10D6200088350100893501008A3501008B350100FC
-:10D630008C3501008D3501008E3501008F350100DC
-:10D6400090350100913501009235010093350100BC
-:10D65000943501009535010096350100973501009C
-:10D6600098350100993501009A3501009B3501007C
-:10D670009C3501009D3501009E3501009F3501005C
-:10D68000A0350100A1350100A2350100A33501003C
-:10D69000A4350100A5350100A6350100A73501001C
-:10D6A000A8350100A9350100AA350100AB350100FC
-:10D6B000AC350100AD350100AE350100AF350100DC
-:10D6C000B0350100B1350100B2350100B3350100BC
-:10D6D000B4350100B5350100B6350100B73501009C
-:10D6E000B8350100B9350100BA350100BB3501007C
-:10D6F000BC350100BD350100BE350100BF3501005C
-:10D70000C0350100C1350100C2350100C33501003B
-:10D71000C4350100C5350100C6350100C73501001B
-:10D72000C8350100C9350100CA350100CB350100FB
-:10D73000CC350100CD350100CE350100CF350100DB
-:10D74000D0350100D1350100D2350100D3350100BB
-:10D75000D4350100D5350100D6350100D73501009B
-:10D76000D8350100D9350100DA350100DB3501007B
-:10D77000DC350100DD350100DE350100DF3501005B
-:10D78000E0350100E1350100E2350100E33501003B
-:10D79000E4350100E5350100E6350100E73501001B
-:10D7A000E8350100E9350100EA350100EB350100FB
-:10D7B000EC350100ED350100EE350100EF350100DB
-:10D7C000F0350100F1350100F2350100F3350100BB
-:10D7D000F4350100F5350100F6350100F73501009B
-:10D7E000F8350100F9350100FA350100FB3501007B
-:10D7F000FC350100FD350100FE350100FF3501005B
-:10D800000036010001360100023601000336010036
-:10D810000436010005360100063601000736010016
-:10D8200008360100093601000A3601000B360100F6
-:10D830000C3601000D3601000E3601000F360100D6
-:10D8400010360100113601001236010013360100B6
-:10D850001436010015360100163601001736010096
-:10D8600018360100193601001A3601001B36010076
-:10D870001C3601001D3601001E3601001F36010056
-:10D880002036010021360100223601002336010036
-:10D890002436010025360100263601002736010016
-:10D8A00028360100293601002A3601002B360100F6
-:10D8B0002C3601002D3601002E3601002F360100D6
-:10D8C00030360100313601003236010033360100B6
-:10D8D0003436010035360100363601003736010096
-:10D8E00038360100393601003A3601003B36010076
-:10D8F0003C3601003D3601003E3601003F36010056
-:10D900004036010041360100423601004336010035
-:10D910004436010045360100463601004736010015
-:10D9200048360100493601004A3601004B360100F5
-:10D930004C3601004D3601004E3601004F360100D5
-:10D9400050360100513601005236010053360100B5
-:10D950005436010055360100563601005736010095
-:10D9600058360100593601005A3601005B36010075
-:10D970005C3601005D3601005E3601005F36010055
-:10D980006036010061360100623601006336010035
-:10D990006436010065360100663601006736010015
-:10D9A00068360100693601006A3601006B360100F5
-:10D9B0006C3601006D3601006E3601006F360100D5
-:10D9C00070360100713601007236010073360100B5
-:10D9D0007436010075360100763601007736010095
-:10D9E00078360100793601007A3601007B36010075
-:10D9F0007C3601007D3601007E3601007F36010055
-:10DA00008036010081360100823601008336010034
-:10DA10008436010085360100863601008736010014
-:10DA200088360100893601008A3601008B360100F4
-:10DA30008C3601008D3601008E3601008F360100D4
-:10DA400090360100913601009236010093360100B4
-:10DA50009436010095360100963601009736010094
-:10DA600098360100993601009A3601009B36010074
-:10DA70009C3601009D3601009E3601009F36010054
-:10DA8000A0360100A1360100A2360100A336010034
-:10DA9000A4360100A5360100A6360100A736010014
-:10DAA000A8360100A9360100AA360100AB360100F4
-:10DAB000AC360100AD360100AE360100AF360100D4
-:10DAC000B0360100B1360100B2360100B3360100B4
-:10DAD000B4360100B5360100B6360100B736010094
-:10DAE000B8360100B9360100BA360100BB36010074
-:10DAF000BC360100BD360100BE360100BF36010054
-:10DB0000C0360100C1360100C2360100C336010033
-:10DB1000C4360100C5360100C6360100C736010013
-:10DB2000C8360100C9360100CA360100CB360100F3
-:10DB3000CC360100CD360100CE360100CF360100D3
-:10DB4000D0360100D1360100D2360100D3360100B3
-:10DB5000D4360100D5360100D6360100D736010093
-:10DB6000D8360100D9360100DA360100DB36010073
-:10DB7000DC360100DD360100DE360100DF36010053
-:10DB8000E0360100E1360100E2360100E336010033
-:10DB9000E4360100E5360100E6360100E736010013
-:10DBA000E8360100E9360100EA360100EB360100F3
-:10DBB000EC360100ED360100EE360100EF360100D3
-:10DBC000F0360100F1360100F2360100F3360100B3
-:10DBD000F4360100F5360100F6360100F736010093
-:10DBE000F8360100F9360100FA360100FB36010073
-:10DBF000FC360100FD360100FE360100FF36010053
-:10DC0000003701000137010002370100033701002E
-:10DC1000043701000537010006370100073701000E
-:10DC200008370100093701000A3701000B370100EE
-:10DC30000C3701000D3701000E3701000F370100CE
-:10DC400010370100113701001237010013370100AE
-:10DC5000143701001537010016370100173701008E
-:10DC600018370100193701001A3701001B3701006E
-:10DC70001C3701001D3701001E3701001F3701004E
-:10DC8000203701002137010022370100233701002E
-:10DC9000243701002537010026370100273701000E
-:10DCA00028370100293701002A3701002B370100EE
-:10DCB0002C3701002D3701002E3701002F370100CE
-:10DCC00030370100313701003237010033370100AE
-:10DCD000343701003537010036370100373701008E
-:10DCE00038370100393701003A3701003B3701006E
-:10DCF0003C3701003D3701003E3701003F3701004E
-:10DD0000403701004137010042370100433701002D
-:10DD1000443701004537010046370100473701000D
-:10DD200048370100493701004A3701004B370100ED
-:10DD30004C3701004D3701004E3701004F370100CD
-:10DD400050370100513701005237010053370100AD
-:10DD5000543701005537010056370100573701008D
-:10DD600058370100593701005A3701005B3701006D
-:10DD70005C3701005D3701005E3701005F3701004D
-:10DD8000603701006137010062370100633701002D
-:10DD9000643701006537010066370100673701000D
-:10DDA00068370100693701006A3701006B370100ED
-:10DDB0006C3701006D3701006E3701006F370100CD
-:10DDC00070370100713701007237010073370100AD
-:10DDD000743701007537010076370100773701008D
-:10DDE00078370100793701007A3701007B3701006D
-:10DDF0007C3701007D3701007E3701007F3701004D
-:10DE0000803701008137010082370100833701002C
-:10DE1000843701008537010086370100873701000C
-:10DE200088370100893701008A3701008B370100EC
-:10DE30008C3701008D3701008E3701008F370100CC
-:10DE400090370100913701009237010093370100AC
-:10DE5000943701009537010096370100973701008C
-:10DE600098370100993701009A3701009B3701006C
-:10DE70009C3701009D3701009E3701009F3701004C
-:10DE8000A0370100A1370100A2370100A33701002C
-:10DE9000A4370100A5370100A6370100A73701000C
-:10DEA000A8370100A9370100AA370100AB370100EC
-:10DEB000AC370100AD370100AE370100AF370100CC
-:10DEC000B0370100B1370100B2370100B3370100AC
-:10DED000B4370100B5370100B6370100B73701008C
-:10DEE000B8370100B9370100BA370100BB3701006C
-:10DEF000BC370100BD370100BE370100BF3701004C
-:10DF0000C0370100C1370100C2370100C33701002B
-:10DF1000C4370100C5370100C6370100C73701000B
-:10DF2000C8370100C9370100CA370100CB370100EB
-:10DF3000CC370100CD370100CE370100CF370100CB
-:10DF4000D0370100D1370100D2370100D3370100AB
-:10DF5000D4370100D5370100D6370100D73701008B
-:10DF6000D8370100D9370100DA370100DB3701006B
-:10DF7000DC370100DD370100DE370100DF3701004B
-:10DF8000E0370100E1370100E2370100E33701002B
-:10DF9000E4370100E5370100E6370100E73701000B
-:10DFA000E8370100E9370100EA370100EB370100EB
-:10DFB000EC370100ED370100EE370100EF370100CB
-:10DFC000F0370100F1370100F2370100F3370100AB
-:10DFD000F4370100F5370100F6370100F73701008B
-:10DFE000F8370100F9370100FA370100FB3701006B
-:10DFF000FC370100FD370100FE370100FF3701004B
-:10E000000038010001380100023801000338010026
-:10E010000438010005380100063801000738010006
-:10E0200008380100093801000A3801000B380100E6
-:10E030000C3801000D3801000E3801000F380100C6
-:10E0400010380100113801001238010013380100A6
-:10E050001438010015380100163801001738010086
-:10E0600018380100193801001A3801001B38010066
-:10E070001C3801001D3801001E3801001F38010046
-:10E080002038010021380100223801002338010026
-:10E090002438010025380100263801002738010006
-:10E0A00028380100293801002A3801002B380100E6
-:10E0B0002C3801002D3801002E3801002F380100C6
-:10E0C00030380100313801003238010033380100A6
-:10E0D0003438010035380100363801003738010086
-:10E0E00038380100393801003A3801003B38010066
-:10E0F0003C3801003D3801003E3801003F38010046
-:10E100004038010041380100423801004338010025
-:10E110004438010045380100463801004738010005
-:10E1200048380100493801004A3801004B380100E5
-:10E130004C3801004D3801004E3801004F380100C5
-:10E1400050380100513801005238010053380100A5
-:10E150005438010055380100563801005738010085
-:10E1600058380100593801005A3801005B38010065
-:10E170005C3801005D3801005E3801005F38010045
-:10E180006038010061380100623801006338010025
-:10E190006438010065380100663801006738010005
-:10E1A00068380100693801006A3801006B380100E5
-:10E1B0006C3801006D3801006E3801006F380100C5
-:10E1C00070380100713801007238010073380100A5
-:10E1D0007438010075380100763801007738010085
-:10E1E00078380100793801007A3801007B38010065
-:10E1F0007C3801007D3801007E3801007F38010045
-:10E200008038010081380100823801008338010024
-:10E210008438010085380100863801008738010004
-:10E2200088380100893801008A3801008B380100E4
-:10E230008C3801008D3801008E3801008F380100C4
-:10E2400090380100913801009238010093380100A4
-:10E250009438010095380100963801009738010084
-:10E2600098380100993801009A3801009B38010064
-:10E270009C3801009D3801009E3801009F38010044
-:10E28000A0380100A1380100A2380100A338010024
-:10E29000A4380100A5380100A6380100A738010004
-:10E2A000A8380100A9380100AA380100AB380100E4
-:10E2B000AC380100AD380100AE380100AF380100C4
-:10E2C000B0380100B1380100B2380100B3380100A4
-:10E2D000B4380100B5380100B6380100B738010084
-:10E2E000B8380100B9380100BA380100BB38010064
-:10E2F000BC380100BD380100BE380100BF38010044
-:10E30000C0380100C1380100C2380100C338010023
-:10E31000C4380100C5380100C6380100C738010003
-:10E32000C8380100C9380100CA380100CB380100E3
-:10E33000CC380100CD380100CE380100CF380100C3
-:10E34000D0380100D1380100D2380100D3380100A3
-:10E35000D4380100D5380100D6380100D738010083
-:10E36000D8380100D9380100DA380100DB38010063
-:10E37000DC380100DD380100DE380100DF38010043
-:10E38000E0380100E1380100E2380100E338010023
-:10E39000E4380100E5380100E6380100E738010003
-:10E3A000E8380100E9380100EA380100EB380100E3
-:10E3B000EC380100ED380100EE380100EF380100C3
-:10E3C000F0380100F1380100F2380100F3380100A3
-:10E3D000F4380100F5380100F6380100F738010083
-:10E3E000F8380100F9380100FA380100FB38010063
-:10E3F000FC380100FD380100FE380100FF38010043
-:10E40000003901000139010002390100033901001E
-:10E4100004390100053901000639010007390100FE
-:10E4200008390100093901000A3901000B390100DE
-:10E430000C3901000D3901000E3901000F390100BE
-:10E44000103901001139010012390100133901009E
-:10E45000143901001539010016390100173901007E
-:10E4600018390100193901001A3901001B3901005E
-:10E470001C3901001D3901001E3901001F3901003E
-:10E48000203901002139010022390100233901001E
-:10E4900024390100253901002639010027390100FE
-:10E4A00028390100293901002A3901002B390100DE
-:10E4B0002C3901002D3901002E3901002F390100BE
-:10E4C000303901003139010032390100333901009E
-:10E4D000343901003539010036390100373901007E
-:10E4E00038390100393901003A3901003B3901005E
-:10E4F0003C3901003D3901003E3901003F3901003E
-:10E50000403901004139010042390100433901001D
-:10E5100044390100453901004639010047390100FD
-:10E5200048390100493901004A3901004B390100DD
-:10E530004C3901004D3901004E3901004F390100BD
-:10E54000503901005139010052390100533901009D
-:10E55000543901005539010056390100573901007D
-:10E5600058390100593901005A3901005B3901005D
-:10E570005C3901005D3901005E3901005F3901003D
-:10E58000603901006139010062390100633901001D
-:10E5900064390100653901006639010067390100FD
-:10E5A00068390100693901006A3901006B390100DD
-:10E5B0006C3901006D3901006E3901006F390100BD
-:10E5C000703901007139010072390100733901009D
-:10E5D000743901007539010076390100773901007D
-:10E5E00078390100793901007A3901007B3901005D
-:10E5F0007C3901007D3901007E3901007F3901003D
-:10E60000803901008139010082390100833901001C
-:10E6100084390100853901008639010087390100FC
-:10E6200088390100893901008A3901008B390100DC
-:10E630008C3901008D3901008E3901008F390100BC
-:10E64000903901009139010092390100933901009C
-:10E65000943901009539010096390100973901007C
-:10E6600098390100993901009A3901009B3901005C
-:10E670009C3901009D3901009E3901009F3901003C
-:10E68000A0390100A1390100A2390100A33901001C
-:10E69000A4390100A5390100A6390100A7390100FC
-:10E6A000A8390100A9390100AA390100AB390100DC
-:10E6B000AC390100AD390100AE390100AF390100BC
-:10E6C000B0390100B1390100B2390100B33901009C
-:10E6D000B4390100B5390100B6390100B73901007C
-:10E6E000B8390100B9390100BA390100BB3901005C
-:10E6F000BC390100BD390100BE390100BF3901003C
-:10E70000C0390100C1390100C2390100C33901001B
-:10E71000C4390100C5390100C6390100C7390100FB
-:10E72000C8390100C9390100CA390100CB390100DB
-:10E73000CC390100CD390100CE390100CF390100BB
-:10E74000D0390100D1390100D2390100D33901009B
-:10E75000D4390100D5390100D6390100D73901007B
-:10E76000D8390100D9390100DA390100DB3901005B
-:10E77000DC390100DD390100DE390100DF3901003B
-:10E78000E0390100E1390100E2390100E33901001B
-:10E79000E4390100E5390100E6390100E7390100FB
-:10E7A000E8390100E9390100EA390100EB390100DB
-:10E7B000EC390100ED390100EE390100EF390100BB
-:10E7C000F0390100F1390100F2390100F33901009B
-:10E7D000F4390100F5390100F6390100F73901007B
-:10E7E000F8390100F9390100FA390100FB3901005B
-:10E7F000FC390100FD390100FE390100FF3901003B
-:10E80000003A0100013A0100023A0100033A010016
-:10E81000043A0100053A0100063A0100073A0100F6
-:10E82000083A0100093A01000A3A01000B3A0100D6
-:10E830000C3A01000D3A01000E3A01000F3A0100B6
-:10E84000103A0100113A0100123A0100133A010096
-:10E85000143A0100153A0100163A0100173A010076
-:10E86000183A0100193A01001A3A01001B3A010056
-:10E870001C3A01001D3A01001E3A01001F3A010036
-:10E88000203A0100213A0100223A0100233A010016
-:10E89000243A0100253A0100263A0100273A0100F6
-:10E8A000283A0100293A01002A3A01002B3A0100D6
-:10E8B0002C3A01002D3A01002E3A01002F3A0100B6
-:10E8C000303A0100313A0100323A0100333A010096
-:10E8D000343A0100353A0100363A0100373A010076
-:10E8E000383A0100393A01003A3A01003B3A010056
-:10E8F0003C3A01003D3A01003E3A01003F3A010036
-:10E90000403A0100413A0100423A0100433A010015
-:10E91000443A0100453A0100463A0100473A0100F5
-:10E92000483A0100493A01004A3A01004B3A0100D5
-:10E930004C3A01004D3A01004E3A01004F3A0100B5
-:10E94000503A0100513A0100523A0100533A010095
-:10E95000543A0100553A0100563A0100573A010075
-:10E96000583A0100593A01005A3A01005B3A010055
-:10E970005C3A01005D3A01005E3A01005F3A010035
-:10E98000603A0100613A0100623A0100633A010015
-:10E99000643A0100653A0100663A0100673A0100F5
-:10E9A000683A0100693A01006A3A01006B3A0100D5
-:10E9B0006C3A01006D3A01006E3A01006F3A0100B5
-:10E9C000703A0100713A0100723A0100733A010095
-:10E9D000743A0100753A0100763A0100773A010075
-:10E9E000783A0100793A01007A3A01007B3A010055
-:10E9F0007C3A01007D3A01007E3A01007F3A010035
-:10EA0000803A0100813A0100823A0100833A010014
-:10EA1000843A0100853A0100863A0100873A0100F4
-:10EA2000883A0100893A01008A3A01008B3A0100D4
-:10EA30008C3A01008D3A01008E3A01008F3A0100B4
-:10EA4000903A0100913A0100923A0100933A010094
-:10EA5000943A0100953A0100963A0100973A010074
-:10EA6000983A0100993A01009A3A01009B3A010054
-:10EA70009C3A01009D3A01009E3A01009F3A010034
-:10EA8000A03A0100A13A0100A23A0100A33A010014
-:10EA9000A43A0100A53A0100A63A0100A73A0100F4
-:10EAA000A83A0100A93A0100AA3A0100AB3A0100D4
-:10EAB000AC3A0100AD3A0100AE3A0100AF3A0100B4
-:10EAC000B03A0100B13A0100B23A0100B33A010094
-:10EAD000B43A0100B53A0100B63A0100B73A010074
-:10EAE000B83A0100B93A0100BA3A0100BB3A010054
-:10EAF000BC3A0100BD3A0100BE3A0100BF3A010034
-:10EB0000C03A0100C13A0100C23A0100C33A010013
-:10EB1000C43A0100C53A0100C63A0100C73A0100F3
-:10EB2000C83A0100C93A0100CA3A0100CB3A0100D3
-:10EB3000CC3A0100CD3A0100CE3A0100CF3A0100B3
-:10EB4000D03A0100D13A0100D23A0100D33A010093
-:10EB5000D43A0100D53A0100D63A0100D73A010073
-:10EB6000D83A0100D93A0100DA3A0100DB3A010053
-:10EB7000DC3A0100DD3A0100DE3A0100DF3A010033
-:10EB8000E03A0100E13A0100E23A0100E33A010013
-:10EB9000E43A0100E53A0100E63A0100E73A0100F3
-:10EBA000E83A0100E93A0100EA3A0100EB3A0100D3
-:10EBB000EC3A0100ED3A0100EE3A0100EF3A0100B3
-:10EBC000F03A0100F13A0100F23A0100F33A010093
-:10EBD000F43A0100F53A0100F63A0100F73A010073
-:10EBE000F83A0100F93A0100FA3A0100FB3A010053
-:10EBF000FC3A0100FD3A0100FE3A0100FF3A010033
-:10EC0000003B0100013B0100023B0100033B01000E
-:10EC1000043B0100053B0100063B0100073B0100EE
-:10EC2000083B0100093B01000A3B01000B3B0100CE
-:10EC30000C3B01000D3B01000E3B01000F3B0100AE
-:10EC4000103B0100113B0100123B0100133B01008E
-:10EC5000143B0100153B0100163B0100173B01006E
-:10EC6000183B0100193B01001A3B01001B3B01004E
-:10EC70001C3B01001D3B01001E3B01001F3B01002E
-:10EC8000203B0100213B0100223B0100233B01000E
-:10EC9000243B0100253B0100263B0100273B0100EE
-:10ECA000283B0100293B01002A3B01002B3B0100CE
-:10ECB0002C3B01002D3B01002E3B01002F3B0100AE
-:10ECC000303B0100313B0100323B0100333B01008E
-:10ECD000343B0100353B0100363B0100373B01006E
-:10ECE000383B0100393B01003A3B01003B3B01004E
-:10ECF0003C3B01003D3B01003E3B01003F3B01002E
-:10ED0000403B0100413B0100423B0100433B01000D
-:10ED1000443B0100453B0100463B0100473B0100ED
-:10ED2000483B0100493B01004A3B01004B3B0100CD
-:10ED30004C3B01004D3B01004E3B01004F3B0100AD
-:10ED4000503B0100513B0100523B0100533B01008D
-:10ED5000543B0100553B0100563B0100573B01006D
-:10ED6000583B0100593B01005A3B01005B3B01004D
-:10ED70005C3B01005D3B01005E3B01005F3B01002D
-:10ED8000603B0100613B0100623B0100633B01000D
-:10ED9000643B0100653B0100663B0100673B0100ED
-:10EDA000683B0100693B01006A3B01006B3B0100CD
-:10EDB0006C3B01006D3B01006E3B01006F3B0100AD
-:10EDC000703B0100713B0100723B0100733B01008D
-:10EDD000743B0100753B0100763B0100773B01006D
-:10EDE000783B0100793B01007A3B01007B3B01004D
-:10EDF0007C3B01007D3B01007E3B01007F3B01002D
-:10EE0000803B0100813B0100823B0100833B01000C
-:10EE1000843B0100853B0100863B0100873B0100EC
-:10EE2000883B0100893B01008A3B01008B3B0100CC
-:10EE30008C3B01008D3B01008E3B01008F3B0100AC
-:10EE4000903B0100913B0100923B0100933B01008C
-:10EE5000943B0100953B0100963B0100973B01006C
-:10EE6000983B0100993B01009A3B01009B3B01004C
-:10EE70009C3B01009D3B01009E3B01009F3B01002C
-:10EE8000A03B0100A13B0100A23B0100A33B01000C
-:10EE9000A43B0100A53B0100A63B0100A73B0100EC
-:10EEA000A83B0100A93B0100AA3B0100AB3B0100CC
-:10EEB000AC3B0100AD3B0100AE3B0100AF3B0100AC
-:10EEC000B03B0100B13B0100B23B0100B33B01008C
-:10EED000B43B0100B53B0100B63B0100B73B01006C
-:10EEE000B83B0100B93B0100BA3B0100BB3B01004C
-:10EEF000BC3B0100BD3B0100BE3B0100BF3B01002C
-:10EF0000C03B0100C13B0100C23B0100C33B01000B
-:10EF1000C43B0100C53B0100C63B0100C73B0100EB
-:10EF2000C83B0100C93B0100CA3B0100CB3B0100CB
-:10EF3000CC3B0100CD3B0100CE3B0100CF3B0100AB
-:10EF4000D03B0100D13B0100D23B0100D33B01008B
-:10EF5000D43B0100D53B0100D63B0100D73B01006B
-:10EF6000D83B0100D93B0100DA3B0100DB3B01004B
-:10EF7000DC3B0100DD3B0100DE3B0100DF3B01002B
-:10EF8000E03B0100E13B0100E23B0100E33B01000B
-:10EF9000E43B0100E53B0100E63B0100E73B0100EB
-:10EFA000E83B0100E93B0100EA3B0100EB3B0100CB
-:10EFB000EC3B0100ED3B0100EE3B0100EF3B0100AB
-:10EFC000F03B0100F13B0100F23B0100F33B01008B
-:10EFD000F43B0100F53B0100F63B0100F73B01006B
-:10EFE000F83B0100F93B0100FA3B0100FB3B01004B
-:10EFF000FC3B0100FD3B0100FE3B0100FF3B01002B
-:10F00000003C0100013C0100023C0100033C010006
-:10F01000043C0100053C0100063C0100073C0100E6
-:10F02000083C0100093C01000A3C01000B3C0100C6
-:10F030000C3C01000D3C01000E3C01000F3C0100A6
-:10F04000103C0100113C0100123C0100133C010086
-:10F05000143C0100153C0100163C0100173C010066
-:10F06000183C0100193C01001A3C01001B3C010046
-:10F070001C3C01001D3C01001E3C01001F3C010026
-:10F08000203C0100213C0100223C0100233C010006
-:10F09000243C0100253C0100263C0100273C0100E6
-:10F0A000283C0100293C01002A3C01002B3C0100C6
-:10F0B0002C3C01002D3C01002E3C01002F3C0100A6
-:10F0C000303C0100313C0100323C0100333C010086
-:10F0D000343C0100353C0100363C0100373C010066
-:10F0E000383C0100393C01003A3C01003B3C010046
-:10F0F0003C3C01003D3C01003E3C01003F3C010026
-:10F10000403C0100413C0100423C0100433C010005
-:10F11000443C0100453C0100463C0100473C0100E5
-:10F12000483C0100493C01004A3C01004B3C0100C5
-:10F130004C3C01004D3C01004E3C01004F3C0100A5
-:10F14000503C0100513C0100523C0100533C010085
-:10F15000543C0100553C0100563C0100573C010065
-:10F16000583C0100593C01005A3C01005B3C010045
-:10F170005C3C01005D3C01005E3C01005F3C010025
-:10F18000603C0100613C0100623C0100633C010005
-:10F19000643C0100653C0100663C0100673C0100E5
-:10F1A000683C0100693C01006A3C01006B3C0100C5
-:10F1B0006C3C01006D3C01006E3C01006F3C0100A5
-:10F1C000703C0100713C0100723C0100733C010085
-:10F1D000743C0100753C0100763C0100773C010065
-:10F1E000783C0100793C01007A3C01007B3C010045
-:10F1F0007C3C01007D3C01007E3C01007F3C010025
-:10F20000803C0100813C0100823C0100833C010004
-:10F21000843C0100853C0100863C0100873C0100E4
-:10F22000883C0100893C01008A3C01008B3C0100C4
-:10F230008C3C01008D3C01008E3C01008F3C0100A4
-:10F24000903C0100913C0100923C0100933C010084
-:10F25000943C0100953C0100963C0100973C010064
-:10F26000983C0100993C01009A3C01009B3C010044
-:10F270009C3C01009D3C01009E3C01009F3C010024
-:10F28000A03C0100A13C0100A23C0100A33C010004
-:10F29000A43C0100A53C0100A63C0100A73C0100E4
-:10F2A000A83C0100A93C0100AA3C0100AB3C0100C4
-:10F2B000AC3C0100AD3C0100AE3C0100AF3C0100A4
-:10F2C000B03C0100B13C0100B23C0100B33C010084
-:10F2D000B43C0100B53C0100B63C0100B73C010064
-:10F2E000B83C0100B93C0100BA3C0100BB3C010044
-:10F2F000BC3C0100BD3C0100BE3C0100BF3C010024
-:10F30000C03C0100C13C0100C23C0100C33C010003
-:10F31000C43C0100C53C0100C63C0100C73C0100E3
-:10F32000C83C0100C93C0100CA3C0100CB3C0100C3
-:10F33000CC3C0100CD3C0100CE3C0100CF3C0100A3
-:10F34000D03C0100D13C0100D23C0100D33C010083
-:10F35000D43C0100D53C0100D63C0100D73C010063
-:10F36000D83C0100D93C0100DA3C0100DB3C010043
-:10F37000DC3C0100DD3C0100DE3C0100DF3C010023
-:10F38000E03C0100E13C0100E23C0100E33C010003
-:10F39000E43C0100E53C0100E63C0100E73C0100E3
-:10F3A000E83C0100E93C0100EA3C0100EB3C0100C3
-:10F3B000EC3C0100ED3C0100EE3C0100EF3C0100A3
-:10F3C000F03C0100F13C0100F23C0100F33C010083
-:10F3D000F43C0100F53C0100F63C0100F73C010063
-:10F3E000F83C0100F93C0100FA3C0100FB3C010043
-:10F3F000FC3C0100FD3C0100FE3C0100FF3C010023
-:10F40000003D0100013D0100023D0100033D0100FE
-:10F41000043D0100053D0100063D0100073D0100DE
-:10F42000083D0100093D01000A3D01000B3D0100BE
-:10F430000C3D01000D3D01000E3D01000F3D01009E
-:10F44000103D0100113D0100123D0100133D01007E
-:10F45000143D0100153D0100163D0100173D01005E
-:10F46000183D0100193D01001A3D01001B3D01003E
-:10F470001C3D01001D3D01001E3D01001F3D01001E
-:10F48000203D0100213D0100223D0100233D0100FE
-:10F49000243D0100253D0100263D0100273D0100DE
-:10F4A000283D0100293D01002A3D01002B3D0100BE
-:10F4B0002C3D01002D3D01002E3D01002F3D01009E
-:10F4C000303D0100313D0100323D0100333D01007E
-:10F4D000343D0100353D0100363D0100373D01005E
-:10F4E000383D0100393D01003A3D01003B3D01003E
-:10F4F0003C3D01003D3D01003E3D01003F3D01001E
-:10F50000403D0100413D0100423D0100433D0100FD
-:10F51000443D0100453D0100463D0100473D0100DD
-:10F52000483D0100493D01004A3D01004B3D0100BD
-:10F530004C3D01004D3D01004E3D01004F3D01009D
-:10F54000503D0100513D0100523D0100533D01007D
-:10F55000543D0100553D0100563D0100573D01005D
-:10F56000583D0100593D01005A3D01005B3D01003D
-:10F570005C3D01005D3D01005E3D01005F3D01001D
-:10F58000603D0100613D0100623D0100633D0100FD
-:10F59000643D0100653D0100663D0100673D0100DD
-:10F5A000683D0100693D01006A3D01006B3D0100BD
-:10F5B0006C3D01006D3D01006E3D01006F3D01009D
-:10F5C000703D0100713D0100723D0100733D01007D
-:10F5D000743D0100753D0100763D0100773D01005D
-:10F5E000783D0100793D01007A3D01007B3D01003D
-:10F5F0007C3D01007D3D01007E3D01007F3D01001D
-:10F60000803D0100813D0100823D0100833D0100FC
-:10F61000843D0100853D0100863D0100873D0100DC
-:10F62000883D0100893D01008A3D01008B3D0100BC
-:10F630008C3D01008D3D01008E3D01008F3D01009C
-:10F64000903D0100913D0100923D0100933D01007C
-:10F65000943D0100953D0100963D0100973D01005C
-:10F66000983D0100993D01009A3D01009B3D01003C
-:10F670009C3D01009D3D01009E3D01009F3D01001C
-:10F68000A03D0100A13D0100A23D0100A33D0100FC
-:10F69000A43D0100A53D0100A63D0100A73D0100DC
-:10F6A000A83D0100A93D0100AA3D0100AB3D0100BC
-:10F6B000AC3D0100AD3D0100AE3D0100AF3D01009C
-:10F6C000B03D0100B13D0100B23D0100B33D01007C
-:10F6D000B43D0100B53D0100B63D0100B73D01005C
-:10F6E000B83D0100B93D0100BA3D0100BB3D01003C
-:10F6F000BC3D0100BD3D0100BE3D0100BF3D01001C
-:10F70000C03D0100C13D0100C23D0100C33D0100FB
-:10F71000C43D0100C53D0100C63D0100C73D0100DB
-:10F72000C83D0100C93D0100CA3D0100CB3D0100BB
-:10F73000CC3D0100CD3D0100CE3D0100CF3D01009B
-:10F74000D03D0100D13D0100D23D0100D33D01007B
-:10F75000D43D0100D53D0100D63D0100D73D01005B
-:10F76000D83D0100D93D0100DA3D0100DB3D01003B
-:10F77000DC3D0100DD3D0100DE3D0100DF3D01001B
-:10F78000E03D0100E13D0100E23D0100E33D0100FB
-:10F79000E43D0100E53D0100E63D0100E73D0100DB
-:10F7A000E83D0100E93D0100EA3D0100EB3D0100BB
-:10F7B000EC3D0100ED3D0100EE3D0100EF3D01009B
-:10F7C000F03D0100F13D0100F23D0100F33D01007B
-:10F7D000F43D0100F53D0100F63D0100F73D01005B
-:10F7E000F83D0100F93D0100FA3D0100FB3D01003B
-:10F7F000FC3D0100FD3D0100FE3D0100FF3D01001B
-:10F80000003E0100013E0100023E0100033E0100F6
-:10F81000043E0100053E0100063E0100073E0100D6
-:10F82000083E0100093E01000A3E01000B3E0100B6
-:10F830000C3E01000D3E01000E3E01000F3E010096
-:10F84000103E0100113E0100123E0100133E010076
-:10F85000143E0100153E0100163E0100173E010056
-:10F86000183E0100193E01001A3E01001B3E010036
-:10F870001C3E01001D3E01001E3E01001F3E010016
-:10F88000203E0100213E0100223E0100233E0100F6
-:10F89000243E0100253E0100263E0100273E0100D6
-:10F8A000283E0100293E01002A3E01002B3E0100B6
-:10F8B0002C3E01002D3E01002E3E01002F3E010096
-:10F8C000303E0100313E0100323E0100333E010076
-:10F8D000343E0100353E0100363E0100373E010056
-:10F8E000383E0100393E01003A3E01003B3E010036
-:10F8F0003C3E01003D3E01003E3E01003F3E010016
-:10F90000403E0100413E0100423E0100433E0100F5
-:10F91000443E0100453E0100463E0100473E0100D5
-:10F92000483E0100493E01004A3E01004B3E0100B5
-:10F930004C3E01004D3E01004E3E01004F3E010095
-:10F94000503E0100513E0100523E0100533E010075
-:10F95000543E0100553E0100563E0100573E010055
-:10F96000583E0100593E01005A3E01005B3E010035
-:10F970005C3E01005D3E01005E3E01005F3E010015
-:10F98000603E0100613E0100623E0100633E0100F5
-:10F99000643E0100653E0100663E0100673E0100D5
-:10F9A000683E0100693E01006A3E01006B3E0100B5
-:10F9B0006C3E01006D3E01006E3E01006F3E010095
-:10F9C000703E0100713E0100723E0100733E010075
-:10F9D000743E0100753E0100763E0100773E010055
-:10F9E000783E0100793E01007A3E01007B3E010035
-:10F9F0007C3E01007D3E01007E3E01007F3E010015
-:10FA0000803E0100813E0100823E0100833E0100F4
-:10FA1000843E0100853E0100863E0100873E0100D4
-:10FA2000883E0100893E01008A3E01008B3E0100B4
-:10FA30008C3E01008D3E01008E3E01008F3E010094
-:10FA4000903E0100913E0100923E0100933E010074
-:10FA5000943E0100953E0100963E0100973E010054
-:10FA6000983E0100993E01009A3E01009B3E010034
-:10FA70009C3E01009D3E01009E3E01009F3E010014
-:10FA8000A03E0100A13E0100A23E0100A33E0100F4
-:10FA9000A43E0100A53E0100A63E0100A73E0100D4
-:10FAA000A83E0100A93E0100AA3E0100AB3E0100B4
-:10FAB000AC3E0100AD3E0100AE3E0100AF3E010094
-:10FAC000B03E0100B13E0100B23E0100B33E010074
-:10FAD000B43E0100B53E0100B63E0100B73E010054
-:10FAE000B83E0100B93E0100BA3E0100BB3E010034
-:10FAF000BC3E0100BD3E0100BE3E0100BF3E010014
-:10FB0000C03E0100C13E0100C23E0100C33E0100F3
-:10FB1000C43E0100C53E0100C63E0100C73E0100D3
-:10FB2000C83E0100C93E0100CA3E0100CB3E0100B3
-:10FB3000CC3E0100CD3E0100CE3E0100CF3E010093
-:10FB4000D03E0100D13E0100D23E0100D33E010073
-:10FB5000D43E0100D53E0100D63E0100D73E010053
-:10FB6000D83E0100D93E0100DA3E0100DB3E010033
-:10FB7000DC3E0100DD3E0100DE3E0100DF3E010013
-:10FB8000E03E0100E13E0100E23E0100E33E0100F3
-:10FB9000E43E0100E53E0100E63E0100E73E0100D3
-:10FBA000E83E0100E93E0100EA3E0100EB3E0100B3
-:10FBB000EC3E0100ED3E0100EE3E0100EF3E010093
-:10FBC000F03E0100F13E0100F23E0100F33E010073
-:10FBD000F43E0100F53E0100F63E0100F73E010053
-:10FBE000F83E0100F93E0100FA3E0100FB3E010033
-:10FBF000FC3E0100FD3E0100FE3E0100FF3E010013
-:10FC0000003F0100013F0100023F0100033F0100EE
-:10FC1000043F0100053F0100063F0100073F0100CE
-:10FC2000083F0100093F01000A3F01000B3F0100AE
-:10FC30000C3F01000D3F01000E3F01000F3F01008E
-:10FC4000103F0100113F0100123F0100133F01006E
-:10FC5000143F0100153F0100163F0100173F01004E
-:10FC6000183F0100193F01001A3F01001B3F01002E
-:10FC70001C3F01001D3F01001E3F01001F3F01000E
-:10FC8000203F0100213F0100223F0100233F0100EE
-:10FC9000243F0100253F0100263F0100273F0100CE
-:10FCA000283F0100293F01002A3F01002B3F0100AE
-:10FCB0002C3F01002D3F01002E3F01002F3F01008E
-:10FCC000303F0100313F0100323F0100333F01006E
-:10FCD000343F0100353F0100363F0100373F01004E
-:10FCE000383F0100393F01003A3F01003B3F01002E
-:10FCF0003C3F01003D3F01003E3F01003F3F01000E
-:10FD0000403F0100413F0100423F0100433F0100ED
-:10FD1000443F0100453F0100463F0100473F0100CD
-:10FD2000483F0100493F01004A3F01004B3F0100AD
-:10FD30004C3F01004D3F01004E3F01004F3F01008D
-:10FD4000503F0100513F0100523F0100533F01006D
-:10FD5000543F0100553F0100563F0100573F01004D
-:10FD6000583F0100593F01005A3F01005B3F01002D
-:10FD70005C3F01005D3F01005E3F01005F3F01000D
-:10FD8000603F0100613F0100623F0100633F0100ED
-:10FD9000643F0100653F0100663F0100673F0100CD
-:10FDA000683F0100693F01006A3F01006B3F0100AD
-:10FDB0006C3F01006D3F01006E3F01006F3F01008D
-:10FDC000703F0100713F0100723F0100733F01006D
-:10FDD000743F0100753F0100763F0100773F01004D
-:10FDE000783F0100793F01007A3F01007B3F01002D
-:10FDF0007C3F01007D3F01007E3F01007F3F01000D
-:10FE0000803F0100813F0100823F0100833F0100EC
-:10FE1000843F0100853F0100863F0100873F0100CC
-:10FE2000883F0100893F01008A3F01008B3F0100AC
-:10FE30008C3F01008D3F01008E3F01008F3F01008C
-:10FE4000903F0100913F0100923F0100933F01006C
-:10FE5000943F0100953F0100963F0100973F01004C
-:10FE6000983F0100993F01009A3F01009B3F01002C
-:10FE70009C3F01009D3F01009E3F01009F3F01000C
-:10FE8000A03F0100A13F0100A23F0100A33F0100EC
-:10FE9000A43F0100A53F0100A63F0100A73F0100CC
-:10FEA000A83F0100A93F0100AA3F0100AB3F0100AC
-:10FEB000AC3F0100AD3F0100AE3F0100AF3F01008C
-:10FEC000B03F0100B13F0100B23F0100B33F01006C
-:10FED000B43F0100B53F0100B63F0100B73F01004C
-:10FEE000B83F0100B93F0100BA3F0100BB3F01002C
-:10FEF000BC3F0100BD3F0100BE3F0100BF3F01000C
-:10FF0000C03F0100C13F0100C23F0100C33F0100EB
-:10FF1000C43F0100C53F0100C63F0100C73F0100CB
-:10FF2000C83F0100C93F0100CA3F0100CB3F0100AB
-:10FF3000CC3F0100CD3F0100CE3F0100CF3F01008B
-:10FF4000D03F0100D13F0100D23F0100D33F01006B
-:10FF5000D43F0100D53F0100D63F0100D73F01004B
-:10FF6000D83F0100D93F0100DA3F0100DB3F01002B
-:10FF7000DC3F0100DD3F0100DE3F0100DF3F01000B
-:10FF8000E03F0100E13F0100E23F0100E33F0100EB
-:10FF9000E43F0100E53F0100E63F0100E73F0100CB
-:10FFA000E83F0100E93F0100EA3F0100EB3F0100AB
-:10FFB000EC3F0100ED3F0100EE3F0100EF3F01008B
-:10FFC000F03F0100F13F0100F23F0100F33F01006B
-:10FFD000F43F0100F53F0100F63F0100F73F01004B
-:10FFE000F83F0100F93F0100FA3F0100FB3F01002B
-:10FFF000FC3F0100FD3F0100FE3F0100FF3F01000B
-:020000025000AC
-:1000000000400100014001000240010003400100E6
-:1000100004400100054001000640010007400100C6
-:1000200008400100094001000A4001000B400100A6
-:100030000C4001000D4001000E4001000F40010086
-:100040001040010011400100124001001340010066
-:100050001440010015400100164001001740010046
-:1000600018400100194001001A4001001B40010026
-:100070001C4001001D4001001E4001001F40010006
-:1000800020400100214001002240010023400100E6
-:1000900024400100254001002640010027400100C6
-:1000A00028400100294001002A4001002B400100A6
-:1000B0002C4001002D4001002E4001002F40010086
-:1000C0003040010031400100324001003340010066
-:1000D0003440010035400100364001003740010046
-:1000E00038400100394001003A4001003B40010026
-:1000F0003C4001003D4001003E4001003F40010006
-:1001000040400100414001004240010043400100E5
-:1001100044400100454001004640010047400100C5
-:1001200048400100494001004A4001004B400100A5
-:100130004C4001004D4001004E4001004F40010085
-:100140005040010051400100524001005340010065
-:100150005440010055400100564001005740010045
-:1001600058400100594001005A4001005B40010025
-:100170005C4001005D4001005E4001005F40010005
-:1001800060400100614001006240010063400100E5
-:1001900064400100654001006640010067400100C5
-:1001A00068400100694001006A4001006B400100A5
-:1001B0006C4001006D4001006E4001006F40010085
-:1001C0007040010071400100724001007340010065
-:1001D0007440010075400100764001007740010045
-:1001E00078400100794001007A4001007B40010025
-:1001F0007C4001007D4001007E4001007F40010005
-:1002000080400100814001008240010083400100E4
-:1002100084400100854001008640010087400100C4
-:1002200088400100894001008A4001008B400100A4
-:100230008C4001008D4001008E4001008F40010084
-:100240009040010091400100924001009340010064
-:100250009440010095400100964001009740010044
-:1002600098400100994001009A4001009B40010024
-:100270009C4001009D4001009E4001009F40010004
-:10028000A0400100A1400100A2400100A3400100E4
-:10029000A4400100A5400100A6400100A7400100C4
-:1002A000A8400100A9400100AA400100AB400100A4
-:1002B000AC400100AD400100AE400100AF40010084
-:1002C000B0400100B1400100B2400100B340010064
-:1002D000B4400100B5400100B6400100B740010044
-:1002E000B8400100B9400100BA400100BB40010024
-:1002F000BC400100BD400100BE400100BF40010004
-:10030000C0400100C1400100C2400100C3400100E3
-:10031000C4400100C5400100C6400100C7400100C3
-:10032000C8400100C9400100CA400100CB400100A3
-:10033000CC400100CD400100CE400100CF40010083
-:10034000D0400100D1400100D2400100D340010063
-:10035000D4400100D5400100D6400100D740010043
-:10036000D8400100D9400100DA400100DB40010023
-:10037000DC400100DD400100DE400100DF40010003
-:10038000E0400100E1400100E2400100E3400100E3
-:10039000E4400100E5400100E6400100E7400100C3
-:1003A000E8400100E9400100EA400100EB400100A3
-:1003B000EC400100ED400100EE400100EF40010083
-:1003C000F0400100F1400100F2400100F340010063
-:1003D000F4400100F5400100F6400100F740010043
-:1003E000F8400100F9400100FA400100FB40010023
-:1003F000FC400100FD400100FE400100FF40010003
-:1004000000410100014101000241010003410100DE
-:1004100004410100054101000641010007410100BE
-:1004200008410100094101000A4101000B4101009E
-:100430000C4101000D4101000E4101000F4101007E
-:10044000104101001141010012410100134101005E
-:10045000144101001541010016410100174101003E
-:1004600018410100194101001A4101001B4101001E
-:100470001C4101001D4101001E4101001F410100FE
-:1004800020410100214101002241010023410100DE
-:1004900024410100254101002641010027410100BE
-:1004A00028410100294101002A4101002B4101009E
-:1004B0002C4101002D4101002E4101002F4101007E
-:1004C000304101003141010032410100334101005E
-:1004D000344101003541010036410100374101003E
-:1004E00038410100394101003A4101003B4101001E
-:1004F0003C4101003D4101003E4101003F410100FE
-:1005000040410100414101004241010043410100DD
-:1005100044410100454101004641010047410100BD
-:1005200048410100494101004A4101004B4101009D
-:100530004C4101004D4101004E4101004F4101007D
-:10054000504101005141010052410100534101005D
-:10055000544101005541010056410100574101003D
-:1005600058410100594101005A4101005B4101001D
-:100570005C4101005D4101005E4101005F410100FD
-:1005800060410100614101006241010063410100DD
-:1005900064410100654101006641010067410100BD
-:1005A00068410100694101006A4101006B4101009D
-:1005B0006C4101006D4101006E4101006F4101007D
-:1005C000704101007141010072410100734101005D
-:1005D000744101007541010076410100774101003D
-:1005E00078410100794101007A4101007B4101001D
-:1005F0007C4101007D4101007E4101007F410100FD
-:1006000080410100814101008241010083410100DC
-:1006100084410100854101008641010087410100BC
-:1006200088410100894101008A4101008B4101009C
-:100630008C4101008D4101008E4101008F4101007C
-:10064000904101009141010092410100934101005C
-:10065000944101009541010096410100974101003C
-:1006600098410100994101009A4101009B4101001C
-:100670009C4101009D4101009E4101009F410100FC
-:10068000A0410100A1410100A2410100A3410100DC
-:10069000A4410100A5410100A6410100A7410100BC
-:1006A000A8410100A9410100AA410100AB4101009C
-:1006B000AC410100AD410100AE410100AF4101007C
-:1006C000B0410100B1410100B2410100B34101005C
-:1006D000B4410100B5410100B6410100B74101003C
-:1006E000B8410100B9410100BA410100BB4101001C
-:1006F000BC410100BD410100BE410100BF410100FC
-:10070000C0410100C1410100C2410100C3410100DB
-:10071000C4410100C5410100C6410100C7410100BB
-:10072000C8410100C9410100CA410100CB4101009B
-:10073000CC410100CD410100CE410100CF4101007B
-:10074000D0410100D1410100D2410100D34101005B
-:10075000D4410100D5410100D6410100D74101003B
-:10076000D8410100D9410100DA410100DB4101001B
-:10077000DC410100DD410100DE410100DF410100FB
-:10078000E0410100E1410100E2410100E3410100DB
-:10079000E4410100E5410100E6410100E7410100BB
-:1007A000E8410100E9410100EA410100EB4101009B
-:1007B000EC410100ED410100EE410100EF4101007B
-:1007C000F0410100F1410100F2410100F34101005B
-:1007D000F4410100F5410100F6410100F74101003B
-:1007E000F8410100F9410100FA410100FB4101001B
-:1007F000FC410100FD410100FE410100FF410100FB
-:1008000000420100014201000242010003420100D6
-:1008100004420100054201000642010007420100B6
-:1008200008420100094201000A4201000B42010096
-:100830000C4201000D4201000E4201000F42010076
-:100840001042010011420100124201001342010056
-:100850001442010015420100164201001742010036
-:1008600018420100194201001A4201001B42010016
-:100870001C4201001D4201001E4201001F420100F6
-:1008800020420100214201002242010023420100D6
-:1008900024420100254201002642010027420100B6
-:1008A00028420100294201002A4201002B42010096
-:1008B0002C4201002D4201002E4201002F42010076
-:1008C0003042010031420100324201003342010056
-:1008D0003442010035420100364201003742010036
-:1008E00038420100394201003A4201003B42010016
-:1008F0003C4201003D4201003E4201003F420100F6
-:1009000040420100414201004242010043420100D5
-:1009100044420100454201004642010047420100B5
-:1009200048420100494201004A4201004B42010095
-:100930004C4201004D4201004E4201004F42010075
-:100940005042010051420100524201005342010055
-:100950005442010055420100564201005742010035
-:1009600058420100594201005A4201005B42010015
-:100970005C4201005D4201005E4201005F420100F5
-:1009800060420100614201006242010063420100D5
-:1009900064420100654201006642010067420100B5
-:1009A00068420100694201006A4201006B42010095
-:1009B0006C4201006D4201006E4201006F42010075
-:1009C0007042010071420100724201007342010055
-:1009D0007442010075420100764201007742010035
-:1009E00078420100794201007A4201007B42010015
-:1009F0007C4201007D4201007E4201007F420100F5
-:100A000080420100814201008242010083420100D4
-:100A100084420100854201008642010087420100B4
-:100A200088420100894201008A4201008B42010094
-:100A30008C4201008D4201008E4201008F42010074
-:100A40009042010091420100924201009342010054
-:100A50009442010095420100964201009742010034
-:100A600098420100994201009A4201009B42010014
-:100A70009C4201009D4201009E4201009F420100F4
-:100A8000A0420100A1420100A2420100A3420100D4
-:100A9000A4420100A5420100A6420100A7420100B4
-:100AA000A8420100A9420100AA420100AB42010094
-:100AB000AC420100AD420100AE420100AF42010074
-:100AC000B0420100B1420100B2420100B342010054
-:100AD000B4420100B5420100B6420100B742010034
-:100AE000B8420100B9420100BA420100BB42010014
-:100AF000BC420100BD420100BE420100BF420100F4
-:100B0000C0420100C1420100C2420100C3420100D3
-:100B1000C4420100C5420100C6420100C7420100B3
-:100B2000C8420100C9420100CA420100CB42010093
-:100B3000CC420100CD420100CE420100CF42010073
-:100B4000D0420100D1420100D2420100D342010053
-:100B5000D4420100D5420100D6420100D742010033
-:100B6000D8420100D9420100DA420100DB42010013
-:100B7000DC420100DD420100DE420100DF420100F3
-:100B8000E0420100E1420100E2420100E3420100D3
-:100B9000E4420100E5420100E6420100E7420100B3
-:100BA000E8420100E9420100EA420100EB42010093
-:100BB000EC420100ED420100EE420100EF42010073
-:100BC000F0420100F1420100F2420100F342010053
-:100BD000F4420100F5420100F6420100F742010033
-:100BE000F8420100F9420100FA420100FB42010013
-:100BF000FC420100FD420100FE420100FF420100F3
-:100C000000430100014301000243010003430100CE
-:100C100004430100054301000643010007430100AE
-:100C200008430100094301000A4301000B4301008E
-:100C30000C4301000D4301000E4301000F4301006E
-:100C4000104301001143010012430100134301004E
-:100C5000144301001543010016430100174301002E
-:100C600018430100194301001A4301001B4301000E
-:100C70001C4301001D4301001E4301001F430100EE
-:100C800020430100214301002243010023430100CE
-:100C900024430100254301002643010027430100AE
-:100CA00028430100294301002A4301002B4301008E
-:100CB0002C4301002D4301002E4301002F4301006E
-:100CC000304301003143010032430100334301004E
-:100CD000344301003543010036430100374301002E
-:100CE00038430100394301003A4301003B4301000E
-:100CF0003C4301003D4301003E4301003F430100EE
-:100D000040430100414301004243010043430100CD
-:100D100044430100454301004643010047430100AD
-:100D200048430100494301004A4301004B4301008D
-:100D30004C4301004D4301004E4301004F4301006D
-:100D4000504301005143010052430100534301004D
-:100D5000544301005543010056430100574301002D
-:100D600058430100594301005A4301005B4301000D
-:100D70005C4301005D4301005E4301005F430100ED
-:100D800060430100614301006243010063430100CD
-:100D900064430100654301006643010067430100AD
-:100DA00068430100694301006A4301006B4301008D
-:100DB0006C4301006D4301006E4301006F4301006D
-:100DC000704301007143010072430100734301004D
-:100DD000744301007543010076430100774301002D
-:100DE00078430100794301007A4301007B4301000D
-:100DF0007C4301007D4301007E4301007F430100ED
-:100E000080430100814301008243010083430100CC
-:100E100084430100854301008643010087430100AC
-:100E200088430100894301008A4301008B4301008C
-:100E30008C4301008D4301008E4301008F4301006C
-:100E4000904301009143010092430100934301004C
-:100E5000944301009543010096430100974301002C
-:100E600098430100994301009A4301009B4301000C
-:100E70009C4301009D4301009E4301009F430100EC
-:100E8000A0430100A1430100A2430100A3430100CC
-:100E9000A4430100A5430100A6430100A7430100AC
-:100EA000A8430100A9430100AA430100AB4301008C
-:100EB000AC430100AD430100AE430100AF4301006C
-:100EC000B0430100B1430100B2430100B34301004C
-:100ED000B4430100B5430100B6430100B74301002C
-:100EE000B8430100B9430100BA430100BB4301000C
-:100EF000BC430100BD430100BE430100BF430100EC
-:100F0000C0430100C1430100C2430100C3430100CB
-:100F1000C4430100C5430100C6430100C7430100AB
-:100F2000C8430100C9430100CA430100CB4301008B
-:100F3000CC430100CD430100CE430100CF4301006B
-:100F4000D0430100D1430100D2430100D34301004B
-:100F5000D4430100D5430100D6430100D74301002B
-:100F6000D8430100D9430100DA430100DB4301000B
-:100F7000DC430100DD430100DE430100DF430100EB
-:100F8000E0430100E1430100E2430100E3430100CB
-:100F9000E4430100E5430100E6430100E7430100AB
-:100FA000E8430100E9430100EA430100EB4301008B
-:100FB000EC430100ED430100EE430100EF4301006B
-:100FC000F0430100F1430100F2430100F34301004B
-:100FD000F4430100F5430100F6430100F74301002B
-:100FE000F8430100F9430100FA430100FB4301000B
-:100FF000FC430100FD430100FE430100FF430100EB
-:1010000000440100014401000244010003440100C6
-:1010100004440100054401000644010007440100A6
-:1010200008440100094401000A4401000B44010086
-:101030000C4401000D4401000E4401000F44010066
-:101040001044010011440100124401001344010046
-:101050001444010015440100164401001744010026
-:1010600018440100194401001A4401001B44010006
-:101070001C4401001D4401001E4401001F440100E6
-:1010800020440100214401002244010023440100C6
-:1010900024440100254401002644010027440100A6
-:1010A00028440100294401002A4401002B44010086
-:1010B0002C4401002D4401002E4401002F44010066
-:1010C0003044010031440100324401003344010046
-:1010D0003444010035440100364401003744010026
-:1010E00038440100394401003A4401003B44010006
-:1010F0003C4401003D4401003E4401003F440100E6
-:1011000040440100414401004244010043440100C5
-:1011100044440100454401004644010047440100A5
-:1011200048440100494401004A4401004B44010085
-:101130004C4401004D4401004E4401004F44010065
-:101140005044010051440100524401005344010045
-:101150005444010055440100564401005744010025
-:1011600058440100594401005A4401005B44010005
-:101170005C4401005D4401005E4401005F440100E5
-:1011800060440100614401006244010063440100C5
-:1011900064440100654401006644010067440100A5
-:1011A00068440100694401006A4401006B44010085
-:1011B0006C4401006D4401006E4401006F44010065
-:1011C0007044010071440100724401007344010045
-:1011D0007444010075440100764401007744010025
-:1011E00078440100794401007A4401007B44010005
-:1011F0007C4401007D4401007E4401007F440100E5
-:1012000080440100814401008244010083440100C4
-:1012100084440100854401008644010087440100A4
-:1012200088440100894401008A4401008B44010084
-:101230008C4401008D4401008E4401008F44010064
-:101240009044010091440100924401009344010044
-:101250009444010095440100964401009744010024
-:1012600098440100994401009A4401009B44010004
-:101270009C4401009D4401009E4401009F440100E4
-:10128000A0440100A1440100A2440100A3440100C4
-:10129000A4440100A5440100A6440100A7440100A4
-:1012A000A8440100A9440100AA440100AB44010084
-:1012B000AC440100AD440100AE440100AF44010064
-:1012C000B0440100B1440100B2440100B344010044
-:1012D000B4440100B5440100B6440100B744010024
-:1012E000B8440100B9440100BA440100BB44010004
-:1012F000BC440100BD440100BE440100BF440100E4
-:10130000C0440100C1440100C2440100C3440100C3
-:10131000C4440100C5440100C6440100C7440100A3
-:10132000C8440100C9440100CA440100CB44010083
-:10133000CC440100CD440100CE440100CF44010063
-:10134000D0440100D1440100D2440100D344010043
-:10135000D4440100D5440100D6440100D744010023
-:10136000D8440100D9440100DA440100DB44010003
-:10137000DC440100DD440100DE440100DF440100E3
-:10138000E0440100E1440100E2440100E3440100C3
-:10139000E4440100E5440100E6440100E7440100A3
-:1013A000E8440100E9440100EA440100EB44010083
-:1013B000EC440100ED440100EE440100EF44010063
-:1013C000F0440100F1440100F2440100F344010043
-:1013D000F4440100F5440100F6440100F744010023
-:1013E000F8440100F9440100FA440100FB44010003
-:1013F000FC440100FD440100FE440100FF440100E3
-:1014000000450100014501000245010003450100BE
-:10141000044501000545010006450100074501009E
-:1014200008450100094501000A4501000B4501007E
-:101430000C4501000D4501000E4501000F4501005E
-:10144000104501001145010012450100134501003E
-:10145000144501001545010016450100174501001E
-:1014600018450100194501001A4501001B450100FE
-:101470001C4501001D4501001E4501001F450100DE
-:1014800020450100214501002245010023450100BE
-:10149000244501002545010026450100274501009E
-:1014A00028450100294501002A4501002B4501007E
-:1014B0002C4501002D4501002E4501002F4501005E
-:1014C000304501003145010032450100334501003E
-:1014D000344501003545010036450100374501001E
-:1014E00038450100394501003A4501003B450100FE
-:1014F0003C4501003D4501003E4501003F450100DE
-:1015000040450100414501004245010043450100BD
-:10151000444501004545010046450100474501009D
-:1015200048450100494501004A4501004B4501007D
-:101530004C4501004D4501004E4501004F4501005D
-:10154000504501005145010052450100534501003D
-:10155000544501005545010056450100574501001D
-:1015600058450100594501005A4501005B450100FD
-:101570005C4501005D4501005E4501005F450100DD
-:1015800060450100614501006245010063450100BD
-:10159000644501006545010066450100674501009D
-:1015A00068450100694501006A4501006B4501007D
-:1015B0006C4501006D4501006E4501006F4501005D
-:1015C000704501007145010072450100734501003D
-:1015D000744501007545010076450100774501001D
-:1015E00078450100794501007A4501007B450100FD
-:1015F0007C4501007D4501007E4501007F450100DD
-:1016000080450100814501008245010083450100BC
-:10161000844501008545010086450100874501009C
-:1016200088450100894501008A4501008B4501007C
-:101630008C4501008D4501008E4501008F4501005C
-:10164000904501009145010092450100934501003C
-:10165000944501009545010096450100974501001C
-:1016600098450100994501009A4501009B450100FC
-:101670009C4501009D4501009E4501009F450100DC
-:10168000A0450100A1450100A2450100A3450100BC
-:10169000A4450100A5450100A6450100A74501009C
-:1016A000A8450100A9450100AA450100AB4501007C
-:1016B000AC450100AD450100AE450100AF4501005C
-:1016C000B0450100B1450100B2450100B34501003C
-:1016D000B4450100B5450100B6450100B74501001C
-:1016E000B8450100B9450100BA450100BB450100FC
-:1016F000BC450100BD450100BE450100BF450100DC
-:10170000C0450100C1450100C2450100C3450100BB
-:10171000C4450100C5450100C6450100C74501009B
-:10172000C8450100C9450100CA450100CB4501007B
-:10173000CC450100CD450100CE450100CF4501005B
-:10174000D0450100D1450100D2450100D34501003B
-:10175000D4450100D5450100D6450100D74501001B
-:10176000D8450100D9450100DA450100DB450100FB
-:10177000DC450100DD450100DE450100DF450100DB
-:10178000E0450100E1450100E2450100E3450100BB
-:10179000E4450100E5450100E6450100E74501009B
-:1017A000E8450100E9450100EA450100EB4501007B
-:1017B000EC450100ED450100EE450100EF4501005B
-:1017C000F0450100F1450100F2450100F34501003B
-:1017D000F4450100F5450100F6450100F74501001B
-:1017E000F8450100F9450100FA450100FB450100FB
-:1017F000FC450100FD450100FE450100FF450100DB
-:1018000000460100014601000246010003460100B6
-:101810000446010005460100064601000746010096
-:1018200008460100094601000A4601000B46010076
-:101830000C4601000D4601000E4601000F46010056
-:101840001046010011460100124601001346010036
-:101850001446010015460100164601001746010016
-:1018600018460100194601001A4601001B460100F6
-:101870001C4601001D4601001E4601001F460100D6
-:1018800020460100214601002246010023460100B6
-:101890002446010025460100264601002746010096
-:1018A00028460100294601002A4601002B46010076
-:1018B0002C4601002D4601002E4601002F46010056
-:1018C0003046010031460100324601003346010036
-:1018D0003446010035460100364601003746010016
-:1018E00038460100394601003A4601003B460100F6
-:1018F0003C4601003D4601003E4601003F460100D6
-:1019000040460100414601004246010043460100B5
-:101910004446010045460100464601004746010095
-:1019200048460100494601004A4601004B46010075
-:101930004C4601004D4601004E4601004F46010055
-:101940005046010051460100524601005346010035
-:101950005446010055460100564601005746010015
-:1019600058460100594601005A4601005B460100F5
-:101970005C4601005D4601005E4601005F460100D5
-:1019800060460100614601006246010063460100B5
-:101990006446010065460100664601006746010095
-:1019A00068460100694601006A4601006B46010075
-:1019B0006C4601006D4601006E4601006F46010055
-:1019C0007046010071460100724601007346010035
-:1019D0007446010075460100764601007746010015
-:1019E00078460100794601007A4601007B460100F5
-:1019F0007C4601007D4601007E4601007F460100D5
-:101A000080460100814601008246010083460100B4
-:101A10008446010085460100864601008746010094
-:101A200088460100894601008A4601008B46010074
-:101A30008C4601008D4601008E4601008F46010054
-:101A40009046010091460100924601009346010034
-:101A50009446010095460100964601009746010014
-:101A600098460100994601009A4601009B460100F4
-:101A70009C4601009D4601009E4601009F460100D4
-:101A8000A0460100A1460100A2460100A3460100B4
-:101A9000A4460100A5460100A6460100A746010094
-:101AA000A8460100A9460100AA460100AB46010074
-:101AB000AC460100AD460100AE460100AF46010054
-:101AC000B0460100B1460100B2460100B346010034
-:101AD000B4460100B5460100B6460100B746010014
-:101AE000B8460100B9460100BA460100BB460100F4
-:101AF000BC460100BD460100BE460100BF460100D4
-:101B0000C0460100C1460100C2460100C3460100B3
-:101B1000C4460100C5460100C6460100C746010093
-:101B2000C8460100C9460100CA460100CB46010073
-:101B3000CC460100CD460100CE460100CF46010053
-:101B4000D0460100D1460100D2460100D346010033
-:101B5000D4460100D5460100D6460100D746010013
-:101B6000D8460100D9460100DA460100DB460100F3
-:101B7000DC460100DD460100DE460100DF460100D3
-:101B8000E0460100E1460100E2460100E3460100B3
-:101B9000E4460100E5460100E6460100E746010093
-:101BA000E8460100E9460100EA460100EB46010073
-:101BB000EC460100ED460100EE460100EF46010053
-:101BC000F0460100F1460100F2460100F346010033
-:101BD000F4460100F5460100F6460100F746010013
-:101BE000F8460100F9460100FA460100FB460100F3
-:101BF000FC460100FD460100FE460100FF460100D3
-:101C000000470100014701000247010003470100AE
-:101C1000044701000547010006470100074701008E
-:101C200008470100094701000A4701000B4701006E
-:101C30000C4701000D4701000E4701000F4701004E
-:101C4000104701001147010012470100134701002E
-:101C5000144701001547010016470100174701000E
-:101C600018470100194701001A4701001B470100EE
-:101C70001C4701001D4701001E4701001F470100CE
-:101C800020470100214701002247010023470100AE
-:101C9000244701002547010026470100274701008E
-:101CA00028470100294701002A4701002B4701006E
-:101CB0002C4701002D4701002E4701002F4701004E
-:101CC000304701003147010032470100334701002E
-:101CD000344701003547010036470100374701000E
-:101CE00038470100394701003A4701003B470100EE
-:101CF0003C4701003D4701003E4701003F470100CE
-:101D000040470100414701004247010043470100AD
-:101D1000444701004547010046470100474701008D
-:101D200048470100494701004A4701004B4701006D
-:101D30004C4701004D4701004E4701004F4701004D
-:101D4000504701005147010052470100534701002D
-:101D5000544701005547010056470100574701000D
-:101D600058470100594701005A4701005B470100ED
-:101D70005C4701005D4701005E4701005F470100CD
-:101D800060470100614701006247010063470100AD
-:101D9000644701006547010066470100674701008D
-:101DA00068470100694701006A4701006B4701006D
-:101DB0006C4701006D4701006E4701006F4701004D
-:101DC000704701007147010072470100734701002D
-:101DD000744701007547010076470100774701000D
-:101DE00078470100794701007A4701007B470100ED
-:101DF0007C4701007D4701007E4701007F470100CD
-:101E000080470100814701008247010083470100AC
-:101E1000844701008547010086470100874701008C
-:101E200088470100894701008A4701008B4701006C
-:101E30008C4701008D4701008E4701008F4701004C
-:101E4000904701009147010092470100934701002C
-:101E5000944701009547010096470100974701000C
-:101E600098470100994701009A4701009B470100EC
-:101E70009C4701009D4701009E4701009F470100CC
-:101E8000A0470100A1470100A2470100A3470100AC
-:101E9000A4470100A5470100A6470100A74701008C
-:101EA000A8470100A9470100AA470100AB4701006C
-:101EB000AC470100AD470100AE470100AF4701004C
-:101EC000B0470100B1470100B2470100B34701002C
-:101ED000B4470100B5470100B6470100B74701000C
-:101EE000B8470100B9470100BA470100BB470100EC
-:101EF000BC470100BD470100BE470100BF470100CC
-:101F0000C0470100C1470100C2470100C3470100AB
-:101F1000C4470100C5470100C6470100C74701008B
-:101F2000C8470100C9470100CA470100CB4701006B
-:101F3000CC470100CD470100CE470100CF4701004B
-:101F4000D0470100D1470100D2470100D34701002B
-:101F5000D4470100D5470100D6470100D74701000B
-:101F6000D8470100D9470100DA470100DB470100EB
-:101F7000DC470100DD470100DE470100DF470100CB
-:101F8000E0470100E1470100E2470100E3470100AB
-:101F9000E4470100E5470100E6470100E74701008B
-:101FA000E8470100E9470100EA470100EB4701006B
-:101FB000EC470100ED470100EE470100EF4701004B
-:101FC000F0470100F1470100F2470100F34701002B
-:101FD000F4470100F5470100F6470100F74701000B
-:101FE000F8470100F9470100FA470100FB470100EB
-:101FF000FC470100FD470100FE470100FF470100CB
-:1020000000480100014801000248010003480100A6
-:102010000448010005480100064801000748010086
-:1020200008480100094801000A4801000B48010066
-:102030000C4801000D4801000E4801000F48010046
-:102040001048010011480100124801001348010026
-:102050001448010015480100164801001748010006
-:1020600018480100194801001A4801001B480100E6
-:102070001C4801001D4801001E4801001F480100C6
-:1020800020480100214801002248010023480100A6
-:102090002448010025480100264801002748010086
-:1020A00028480100294801002A4801002B48010066
-:1020B0002C4801002D4801002E4801002F48010046
-:1020C0003048010031480100324801003348010026
-:1020D0003448010035480100364801003748010006
-:1020E00038480100394801003A4801003B480100E6
-:1020F0003C4801003D4801003E4801003F480100C6
-:1021000040480100414801004248010043480100A5
-:102110004448010045480100464801004748010085
-:1021200048480100494801004A4801004B48010065
-:102130004C4801004D4801004E4801004F48010045
-:102140005048010051480100524801005348010025
-:102150005448010055480100564801005748010005
-:1021600058480100594801005A4801005B480100E5
-:102170005C4801005D4801005E4801005F480100C5
-:1021800060480100614801006248010063480100A5
-:102190006448010065480100664801006748010085
-:1021A00068480100694801006A4801006B48010065
-:1021B0006C4801006D4801006E4801006F48010045
-:1021C0007048010071480100724801007348010025
-:1021D0007448010075480100764801007748010005
-:1021E00078480100794801007A4801007B480100E5
-:1021F0007C4801007D4801007E4801007F480100C5
-:1022000080480100814801008248010083480100A4
-:102210008448010085480100864801008748010084
-:1022200088480100894801008A4801008B48010064
-:102230008C4801008D4801008E4801008F48010044
-:102240009048010091480100924801009348010024
-:102250009448010095480100964801009748010004
-:1022600098480100994801009A4801009B480100E4
-:102270009C4801009D4801009E4801009F480100C4
-:10228000A0480100A1480100A2480100A3480100A4
-:10229000A4480100A5480100A6480100A748010084
-:1022A000A8480100A9480100AA480100AB48010064
-:1022B000AC480100AD480100AE480100AF48010044
-:1022C000B0480100B1480100B2480100B348010024
-:1022D000B4480100B5480100B6480100B748010004
-:1022E000B8480100B9480100BA480100BB480100E4
-:1022F000BC480100BD480100BE480100BF480100C4
-:10230000C0480100C1480100C2480100C3480100A3
-:10231000C4480100C5480100C6480100C748010083
-:10232000C8480100C9480100CA480100CB48010063
-:10233000CC480100CD480100CE480100CF48010043
-:10234000D0480100D1480100D2480100D348010023
-:10235000D4480100D5480100D6480100D748010003
-:10236000D8480100D9480100DA480100DB480100E3
-:10237000DC480100DD480100DE480100DF480100C3
-:10238000E0480100E1480100E2480100E3480100A3
-:10239000E4480100E5480100E6480100E748010083
-:1023A000E8480100E9480100EA480100EB48010063
-:1023B000EC480100ED480100EE480100EF48010043
-:1023C000F0480100F1480100F2480100F348010023
-:1023D000F4480100F5480100F6480100F748010003
-:1023E000F8480100F9480100FA480100FB480100E3
-:1023F000FC480100FD480100FE480100FF480100C3
-:10240000004901000149010002490100034901009E
-:10241000044901000549010006490100074901007E
-:1024200008490100094901000A4901000B4901005E
-:102430000C4901000D4901000E4901000F4901003E
-:10244000104901001149010012490100134901001E
-:1024500014490100154901001649010017490100FE
-:1024600018490100194901001A4901001B490100DE
-:102470001C4901001D4901001E4901001F490100BE
-:10248000204901002149010022490100234901009E
-:10249000244901002549010026490100274901007E
-:1024A00028490100294901002A4901002B4901005E
-:1024B0002C4901002D4901002E4901002F4901003E
-:1024C000304901003149010032490100334901001E
-:1024D00034490100354901003649010037490100FE
-:1024E00038490100394901003A4901003B490100DE
-:1024F0003C4901003D4901003E4901003F490100BE
-:10250000404901004149010042490100434901009D
-:10251000444901004549010046490100474901007D
-:1025200048490100494901004A4901004B4901005D
-:102530004C4901004D4901004E4901004F4901003D
-:10254000504901005149010052490100534901001D
-:1025500054490100554901005649010057490100FD
-:1025600058490100594901005A4901005B490100DD
-:102570005C4901005D4901005E4901005F490100BD
-:10258000604901006149010062490100634901009D
-:10259000644901006549010066490100674901007D
-:1025A00068490100694901006A4901006B4901005D
-:1025B0006C4901006D4901006E4901006F4901003D
-:1025C000704901007149010072490100734901001D
-:1025D00074490100754901007649010077490100FD
-:1025E00078490100794901007A4901007B490100DD
-:1025F0007C4901007D4901007E4901007F490100BD
-:10260000804901008149010082490100834901009C
-:10261000844901008549010086490100874901007C
-:1026200088490100894901008A4901008B4901005C
-:102630008C4901008D4901008E4901008F4901003C
-:10264000904901009149010092490100934901001C
-:1026500094490100954901009649010097490100FC
-:1026600098490100994901009A4901009B490100DC
-:102670009C4901009D4901009E4901009F490100BC
-:10268000A0490100A1490100A2490100A34901009C
-:10269000A4490100A5490100A6490100A74901007C
-:1026A000A8490100A9490100AA490100AB4901005C
-:1026B000AC490100AD490100AE490100AF4901003C
-:1026C000B0490100B1490100B2490100B34901001C
-:1026D000B4490100B5490100B6490100B7490100FC
-:1026E000B8490100B9490100BA490100BB490100DC
-:1026F000BC490100BD490100BE490100BF490100BC
-:10270000C0490100C1490100C2490100C34901009B
-:10271000C4490100C5490100C6490100C74901007B
-:10272000C8490100C9490100CA490100CB4901005B
-:10273000CC490100CD490100CE490100CF4901003B
-:10274000D0490100D1490100D2490100D34901001B
-:10275000D4490100D5490100D6490100D7490100FB
-:10276000D8490100D9490100DA490100DB490100DB
-:10277000DC490100DD490100DE490100DF490100BB
-:10278000E0490100E1490100E2490100E34901009B
-:10279000E4490100E5490100E6490100E74901007B
-:1027A000E8490100E9490100EA490100EB4901005B
-:1027B000EC490100ED490100EE490100EF4901003B
-:1027C000F0490100F1490100F2490100F34901001B
-:1027D000F4490100F5490100F6490100F7490100FB
-:1027E000F8490100F9490100FA490100FB490100DB
-:1027F000FC490100FD490100FE490100FF490100BB
-:10280000004A0100014A0100024A0100034A010096
-:10281000044A0100054A0100064A0100074A010076
-:10282000084A0100094A01000A4A01000B4A010056
-:102830000C4A01000D4A01000E4A01000F4A010036
-:10284000104A0100114A0100124A0100134A010016
-:10285000144A0100154A0100164A0100174A0100F6
-:10286000184A0100194A01001A4A01001B4A0100D6
-:102870001C4A01001D4A01001E4A01001F4A0100B6
-:10288000204A0100214A0100224A0100234A010096
-:10289000244A0100254A0100264A0100274A010076
-:1028A000284A0100294A01002A4A01002B4A010056
-:1028B0002C4A01002D4A01002E4A01002F4A010036
-:1028C000304A0100314A0100324A0100334A010016
-:1028D000344A0100354A0100364A0100374A0100F6
-:1028E000384A0100394A01003A4A01003B4A0100D6
-:1028F0003C4A01003D4A01003E4A01003F4A0100B6
-:10290000404A0100414A0100424A0100434A010095
-:10291000444A0100454A0100464A0100474A010075
-:10292000484A0100494A01004A4A01004B4A010055
-:102930004C4A01004D4A01004E4A01004F4A010035
-:10294000504A0100514A0100524A0100534A010015
-:10295000544A0100554A0100564A0100574A0100F5
-:10296000584A0100594A01005A4A01005B4A0100D5
-:102970005C4A01005D4A01005E4A01005F4A0100B5
-:10298000604A0100614A0100624A0100634A010095
-:10299000644A0100654A0100664A0100674A010075
-:1029A000684A0100694A01006A4A01006B4A010055
-:1029B0006C4A01006D4A01006E4A01006F4A010035
-:1029C000704A0100714A0100724A0100734A010015
-:1029D000744A0100754A0100764A0100774A0100F5
-:1029E000784A0100794A01007A4A01007B4A0100D5
-:1029F0007C4A01007D4A01007E4A01007F4A0100B5
-:102A0000804A0100814A0100824A0100834A010094
-:102A1000844A0100854A0100864A0100874A010074
-:102A2000884A0100894A01008A4A01008B4A010054
-:102A30008C4A01008D4A01008E4A01008F4A010034
-:102A4000904A0100914A0100924A0100934A010014
-:102A5000944A0100954A0100964A0100974A0100F4
-:102A6000984A0100994A01009A4A01009B4A0100D4
-:102A70009C4A01009D4A01009E4A01009F4A0100B4
-:102A8000A04A0100A14A0100A24A0100A34A010094
-:102A9000A44A0100A54A0100A64A0100A74A010074
-:102AA000A84A0100A94A0100AA4A0100AB4A010054
-:102AB000AC4A0100AD4A0100AE4A0100AF4A010034
-:102AC000B04A0100B14A0100B24A0100B34A010014
-:102AD000B44A0100B54A0100B64A0100B74A0100F4
-:102AE000B84A0100B94A0100BA4A0100BB4A0100D4
-:102AF000BC4A0100BD4A0100BE4A0100BF4A0100B4
-:102B0000C04A0100C14A0100C24A0100C34A010093
-:102B1000C44A0100C54A0100C64A0100C74A010073
-:102B2000C84A0100C94A0100CA4A0100CB4A010053
-:102B3000CC4A0100CD4A0100CE4A0100CF4A010033
-:102B4000D04A0100D14A0100D24A0100D34A010013
-:102B5000D44A0100D54A0100D64A0100D74A0100F3
-:102B6000D84A0100D94A0100DA4A0100DB4A0100D3
-:102B7000DC4A0100DD4A0100DE4A0100DF4A0100B3
-:102B8000E04A0100E14A0100E24A0100E34A010093
-:102B9000E44A0100E54A0100E64A0100E74A010073
-:102BA000E84A0100E94A0100EA4A0100EB4A010053
-:102BB000EC4A0100ED4A0100EE4A0100EF4A010033
-:102BC000F04A0100F14A0100F24A0100F34A010013
-:102BD000F44A0100F54A0100F64A0100F74A0100F3
-:102BE000F84A0100F94A0100FA4A0100FB4A0100D3
-:102BF000FC4A0100FD4A0100FE4A0100FF4A0100B3
-:102C0000004B0100014B0100024B0100034B01008E
-:102C1000044B0100054B0100064B0100074B01006E
-:102C2000084B0100094B01000A4B01000B4B01004E
-:102C30000C4B01000D4B01000E4B01000F4B01002E
-:102C4000104B0100114B0100124B0100134B01000E
-:102C5000144B0100154B0100164B0100174B0100EE
-:102C6000184B0100194B01001A4B01001B4B0100CE
-:102C70001C4B01001D4B01001E4B01001F4B0100AE
-:102C8000204B0100214B0100224B0100234B01008E
-:102C9000244B0100254B0100264B0100274B01006E
-:102CA000284B0100294B01002A4B01002B4B01004E
-:102CB0002C4B01002D4B01002E4B01002F4B01002E
-:102CC000304B0100314B0100324B0100334B01000E
-:102CD000344B0100354B0100364B0100374B0100EE
-:102CE000384B0100394B01003A4B01003B4B0100CE
-:102CF0003C4B01003D4B01003E4B01003F4B0100AE
-:102D0000404B0100414B0100424B0100434B01008D
-:102D1000444B0100454B0100464B0100474B01006D
-:102D2000484B0100494B01004A4B01004B4B01004D
-:102D30004C4B01004D4B01004E4B01004F4B01002D
-:102D4000504B0100514B0100524B0100534B01000D
-:102D5000544B0100554B0100564B0100574B0100ED
-:102D6000584B0100594B01005A4B01005B4B0100CD
-:102D70005C4B01005D4B01005E4B01005F4B0100AD
-:102D8000604B0100614B0100624B0100634B01008D
-:102D9000644B0100654B0100664B0100674B01006D
-:102DA000684B0100694B01006A4B01006B4B01004D
-:102DB0006C4B01006D4B01006E4B01006F4B01002D
-:102DC000704B0100714B0100724B0100734B01000D
-:102DD000744B0100754B0100764B0100774B0100ED
-:102DE000784B0100794B01007A4B01007B4B0100CD
-:102DF0007C4B01007D4B01007E4B01007F4B0100AD
-:102E0000804B0100814B0100824B0100834B01008C
-:102E1000844B0100854B0100864B0100874B01006C
-:102E2000884B0100894B01008A4B01008B4B01004C
-:102E30008C4B01008D4B01008E4B01008F4B01002C
-:102E4000904B0100914B0100924B0100934B01000C
-:102E5000944B0100954B0100964B0100974B0100EC
-:102E6000984B0100994B01009A4B01009B4B0100CC
-:102E70009C4B01009D4B01009E4B01009F4B0100AC
-:102E8000A04B0100A14B0100A24B0100A34B01008C
-:102E9000A44B0100A54B0100A64B0100A74B01006C
-:102EA000A84B0100A94B0100AA4B0100AB4B01004C
-:102EB000AC4B0100AD4B0100AE4B0100AF4B01002C
-:102EC000B04B0100B14B0100B24B0100B34B01000C
-:102ED000B44B0100B54B0100B64B0100B74B0100EC
-:102EE000B84B0100B94B0100BA4B0100BB4B0100CC
-:102EF000BC4B0100BD4B0100BE4B0100BF4B0100AC
-:102F0000C04B0100C14B0100C24B0100C34B01008B
-:102F1000C44B0100C54B0100C64B0100C74B01006B
-:102F2000C84B0100C94B0100CA4B0100CB4B01004B
-:102F3000CC4B0100CD4B0100CE4B0100CF4B01002B
-:102F4000D04B0100D14B0100D24B0100D34B01000B
-:102F5000D44B0100D54B0100D64B0100D74B0100EB
-:102F6000D84B0100D94B0100DA4B0100DB4B0100CB
-:102F7000DC4B0100DD4B0100DE4B0100DF4B0100AB
-:102F8000E04B0100E14B0100E24B0100E34B01008B
-:102F9000E44B0100E54B0100E64B0100E74B01006B
-:102FA000E84B0100E94B0100EA4B0100EB4B01004B
-:102FB000EC4B0100ED4B0100EE4B0100EF4B01002B
-:102FC000F04B0100F14B0100F24B0100F34B01000B
-:102FD000F44B0100F54B0100F64B0100F74B0100EB
-:102FE000F84B0100F94B0100FA4B0100FB4B0100CB
-:102FF000FC4B0100FD4B0100FE4B0100FF4B0100AB
-:10300000004C0100014C0100024C0100034C010086
-:10301000044C0100054C0100064C0100074C010066
-:10302000084C0100094C01000A4C01000B4C010046
-:103030000C4C01000D4C01000E4C01000F4C010026
-:10304000104C0100114C0100124C0100134C010006
-:10305000144C0100154C0100164C0100174C0100E6
-:10306000184C0100194C01001A4C01001B4C0100C6
-:103070001C4C01001D4C01001E4C01001F4C0100A6
-:10308000204C0100214C0100224C0100234C010086
-:10309000244C0100254C0100264C0100274C010066
-:1030A000284C0100294C01002A4C01002B4C010046
-:1030B0002C4C01002D4C01002E4C01002F4C010026
-:1030C000304C0100314C0100324C0100334C010006
-:1030D000344C0100354C0100364C0100374C0100E6
-:1030E000384C0100394C01003A4C01003B4C0100C6
-:1030F0003C4C01003D4C01003E4C01003F4C0100A6
-:10310000404C0100414C0100424C0100434C010085
-:10311000444C0100454C0100464C0100474C010065
-:10312000484C0100494C01004A4C01004B4C010045
-:103130004C4C01004D4C01004E4C01004F4C010025
-:10314000504C0100514C0100524C0100534C010005
-:10315000544C0100554C0100564C0100574C0100E5
-:10316000584C0100594C01005A4C01005B4C0100C5
-:103170005C4C01005D4C01005E4C01005F4C0100A5
-:10318000604C0100614C0100624C0100634C010085
-:10319000644C0100654C0100664C0100674C010065
-:1031A000684C0100694C01006A4C01006B4C010045
-:1031B0006C4C01006D4C01006E4C01006F4C010025
-:1031C000704C0100714C0100724C0100734C010005
-:1031D000744C0100754C0100764C0100774C0100E5
-:1031E000784C0100794C01007A4C01007B4C0100C5
-:1031F0007C4C01007D4C01007E4C01007F4C0100A5
-:10320000804C0100814C0100824C0100834C010084
-:10321000844C0100854C0100864C0100874C010064
-:10322000884C0100894C01008A4C01008B4C010044
-:103230008C4C01008D4C01008E4C01008F4C010024
-:10324000904C0100914C0100924C0100934C010004
-:10325000944C0100954C0100964C0100974C0100E4
-:10326000984C0100994C01009A4C01009B4C0100C4
-:103270009C4C01009D4C01009E4C01009F4C0100A4
-:10328000A04C0100A14C0100A24C0100A34C010084
-:10329000A44C0100A54C0100A64C0100A74C010064
-:1032A000A84C0100A94C0100AA4C0100AB4C010044
-:1032B000AC4C0100AD4C0100AE4C0100AF4C010024
-:1032C000B04C0100B14C0100B24C0100B34C010004
-:1032D000B44C0100B54C0100B64C0100B74C0100E4
-:1032E000B84C0100B94C0100BA4C0100BB4C0100C4
-:1032F000BC4C0100BD4C0100BE4C0100BF4C0100A4
-:10330000C04C0100C14C0100C24C0100C34C010083
-:10331000C44C0100C54C0100C64C0100C74C010063
-:10332000C84C0100C94C0100CA4C0100CB4C010043
-:10333000CC4C0100CD4C0100CE4C0100CF4C010023
-:10334000D04C0100D14C0100D24C0100D34C010003
-:10335000D44C0100D54C0100D64C0100D74C0100E3
-:10336000D84C0100D94C0100DA4C0100DB4C0100C3
-:10337000DC4C0100DD4C0100DE4C0100DF4C0100A3
-:10338000E04C0100E14C0100E24C0100E34C010083
-:10339000E44C0100E54C0100E64C0100E74C010063
-:1033A000E84C0100E94C0100EA4C0100EB4C010043
-:1033B000EC4C0100ED4C0100EE4C0100EF4C010023
-:1033C000F04C0100F14C0100F24C0100F34C010003
-:1033D000F44C0100F54C0100F64C0100F74C0100E3
-:1033E000F84C0100F94C0100FA4C0100FB4C0100C3
-:1033F000FC4C0100FD4C0100FE4C0100FF4C0100A3
-:10340000004D0100014D0100024D0100034D01007E
-:10341000044D0100054D0100064D0100074D01005E
-:10342000084D0100094D01000A4D01000B4D01003E
-:103430000C4D01000D4D01000E4D01000F4D01001E
-:10344000104D0100114D0100124D0100134D0100FE
-:10345000144D0100154D0100164D0100174D0100DE
-:10346000184D0100194D01001A4D01001B4D0100BE
-:103470001C4D01001D4D01001E4D01001F4D01009E
-:10348000204D0100214D0100224D0100234D01007E
-:10349000244D0100254D0100264D0100274D01005E
-:1034A000284D0100294D01002A4D01002B4D01003E
-:1034B0002C4D01002D4D01002E4D01002F4D01001E
-:1034C000304D0100314D0100324D0100334D0100FE
-:1034D000344D0100354D0100364D0100374D0100DE
-:1034E000384D0100394D01003A4D01003B4D0100BE
-:1034F0003C4D01003D4D01003E4D01003F4D01009E
-:10350000404D0100414D0100424D0100434D01007D
-:10351000444D0100454D0100464D0100474D01005D
-:10352000484D0100494D01004A4D01004B4D01003D
-:103530004C4D01004D4D01004E4D01004F4D01001D
-:10354000504D0100514D0100524D0100534D0100FD
-:10355000544D0100554D0100564D0100574D0100DD
-:10356000584D0100594D01005A4D01005B4D0100BD
-:103570005C4D01005D4D01005E4D01005F4D01009D
-:10358000604D0100614D0100624D0100634D01007D
-:10359000644D0100654D0100664D0100674D01005D
-:1035A000684D0100694D01006A4D01006B4D01003D
-:1035B0006C4D01006D4D01006E4D01006F4D01001D
-:1035C000704D0100714D0100724D0100734D0100FD
-:1035D000744D0100754D0100764D0100774D0100DD
-:1035E000784D0100794D01007A4D01007B4D0100BD
-:1035F0007C4D01007D4D01007E4D01007F4D01009D
-:10360000804D0100814D0100824D0100834D01007C
-:10361000844D0100854D0100864D0100874D01005C
-:10362000884D0100894D01008A4D01008B4D01003C
-:103630008C4D01008D4D01008E4D01008F4D01001C
-:10364000904D0100914D0100924D0100934D0100FC
-:10365000944D0100954D0100964D0100974D0100DC
-:10366000984D0100994D01009A4D01009B4D0100BC
-:103670009C4D01009D4D01009E4D01009F4D01009C
-:10368000A04D0100A14D0100A24D0100A34D01007C
-:10369000A44D0100A54D0100A64D0100A74D01005C
-:1036A000A84D0100A94D0100AA4D0100AB4D01003C
-:1036B000AC4D0100AD4D0100AE4D0100AF4D01001C
-:1036C000B04D0100B14D0100B24D0100B34D0100FC
-:1036D000B44D0100B54D0100B64D0100B74D0100DC
-:1036E000B84D0100B94D0100BA4D0100BB4D0100BC
-:1036F000BC4D0100BD4D0100BE4D0100BF4D01009C
-:10370000C04D0100C14D0100C24D0100C34D01007B
-:10371000C44D0100C54D0100C64D0100C74D01005B
-:10372000C84D0100C94D0100CA4D0100CB4D01003B
-:10373000CC4D0100CD4D0100CE4D0100CF4D01001B
-:10374000D04D0100D14D0100D24D0100D34D0100FB
-:10375000D44D0100D54D0100D64D0100D74D0100DB
-:10376000D84D0100D94D0100DA4D0100DB4D0100BB
-:10377000DC4D0100DD4D0100DE4D0100DF4D01009B
-:10378000E04D0100E14D0100E24D0100E34D01007B
-:10379000E44D0100E54D0100E64D0100E74D01005B
-:1037A000E84D0100E94D0100EA4D0100EB4D01003B
-:1037B000EC4D0100ED4D0100EE4D0100EF4D01001B
-:1037C000F04D0100F14D0100F24D0100F34D0100FB
-:1037D000F44D0100F54D0100F64D0100F74D0100DB
-:1037E000F84D0100F94D0100FA4D0100FB4D0100BB
-:1037F000FC4D0100FD4D0100FE4D0100FF4D01009B
-:10380000004E0100014E0100024E0100034E010076
-:10381000044E0100054E0100064E0100074E010056
-:10382000084E0100094E01000A4E01000B4E010036
-:103830000C4E01000D4E01000E4E01000F4E010016
-:10384000104E0100114E0100124E0100134E0100F6
-:10385000144E0100154E0100164E0100174E0100D6
-:10386000184E0100194E01001A4E01001B4E0100B6
-:103870001C4E01001D4E01001E4E01001F4E010096
-:10388000204E0100214E0100224E0100234E010076
-:10389000244E0100254E0100264E0100274E010056
-:1038A000284E0100294E01002A4E01002B4E010036
-:1038B0002C4E01002D4E01002E4E01002F4E010016
-:1038C000304E0100314E0100324E0100334E0100F6
-:1038D000344E0100354E0100364E0100374E0100D6
-:1038E000384E0100394E01003A4E01003B4E0100B6
-:1038F0003C4E01003D4E01003E4E01003F4E010096
-:10390000404E0100414E0100424E0100434E010075
-:10391000444E0100454E0100464E0100474E010055
-:10392000484E0100494E01004A4E01004B4E010035
-:103930004C4E01004D4E01004E4E01004F4E010015
-:10394000504E0100514E0100524E0100534E0100F5
-:10395000544E0100554E0100564E0100574E0100D5
-:10396000584E0100594E01005A4E01005B4E0100B5
-:103970005C4E01005D4E01005E4E01005F4E010095
-:10398000604E0100614E0100624E0100634E010075
-:10399000644E0100654E0100664E0100674E010055
-:1039A000684E0100694E01006A4E01006B4E010035
-:1039B0006C4E01006D4E01006E4E01006F4E010015
-:1039C000704E0100714E0100724E0100734E0100F5
-:1039D000744E0100754E0100764E0100774E0100D5
-:1039E000784E0100794E01007A4E01007B4E0100B5
-:1039F0007C4E01007D4E01007E4E01007F4E010095
-:103A0000804E0100814E0100824E0100834E010074
-:103A1000844E0100854E0100864E0100874E010054
-:103A2000884E0100894E01008A4E01008B4E010034
-:103A30008C4E01008D4E01008E4E01008F4E010014
-:103A4000904E0100914E0100924E0100934E0100F4
-:103A5000944E0100954E0100964E0100974E0100D4
-:103A6000984E0100994E01009A4E01009B4E0100B4
-:103A70009C4E01009D4E01009E4E01009F4E010094
-:103A8000A04E0100A14E0100A24E0100A34E010074
-:103A9000A44E0100A54E0100A64E0100A74E010054
-:103AA000A84E0100A94E0100AA4E0100AB4E010034
-:103AB000AC4E0100AD4E0100AE4E0100AF4E010014
-:103AC000B04E0100B14E0100B24E0100B34E0100F4
-:103AD000B44E0100B54E0100B64E0100B74E0100D4
-:103AE000B84E0100B94E0100BA4E0100BB4E0100B4
-:103AF000BC4E0100BD4E0100BE4E0100BF4E010094
-:103B0000C04E0100C14E0100C24E0100C34E010073
-:103B1000C44E0100C54E0100C64E0100C74E010053
-:103B2000C84E0100C94E0100CA4E0100CB4E010033
-:103B3000CC4E0100CD4E0100CE4E0100CF4E010013
-:103B4000D04E0100D14E0100D24E0100D34E0100F3
-:103B5000D44E0100D54E0100D64E0100D74E0100D3
-:103B6000D84E0100D94E0100DA4E0100DB4E0100B3
-:103B7000DC4E0100DD4E0100DE4E0100DF4E010093
-:103B8000E04E0100E14E0100E24E0100E34E010073
-:103B9000E44E0100E54E0100E64E0100E74E010053
-:103BA000E84E0100E94E0100EA4E0100EB4E010033
-:103BB000EC4E0100ED4E0100EE4E0100EF4E010013
-:103BC000F04E0100F14E0100F24E0100F34E0100F3
-:103BD000F44E0100F54E0100F64E0100F74E0100D3
-:103BE000F84E0100F94E0100FA4E0100FB4E0100B3
-:103BF000FC4E0100FD4E0100FE4E0100FF4E010093
-:103C0000004F0100014F0100024F0100034F01006E
-:103C1000044F0100054F0100064F0100074F01004E
-:103C2000084F0100094F01000A4F01000B4F01002E
-:103C30000C4F01000D4F01000E4F01000F4F01000E
-:103C4000104F0100114F0100124F0100134F0100EE
-:103C5000144F0100154F0100164F0100174F0100CE
-:103C6000184F0100194F01001A4F01001B4F0100AE
-:103C70001C4F01001D4F01001E4F01001F4F01008E
-:103C8000204F0100214F0100224F0100234F01006E
-:103C9000244F0100254F0100264F0100274F01004E
-:103CA000284F0100294F01002A4F01002B4F01002E
-:103CB0002C4F01002D4F01002E4F01002F4F01000E
-:103CC000304F0100314F0100324F0100334F0100EE
-:103CD000344F0100354F0100364F0100374F0100CE
-:103CE000384F0100394F01003A4F01003B4F0100AE
-:103CF0003C4F01003D4F01003E4F01003F4F01008E
-:103D0000404F0100414F0100424F0100434F01006D
-:103D1000444F0100454F0100464F0100474F01004D
-:103D2000484F0100494F01004A4F01004B4F01002D
-:103D30004C4F01004D4F01004E4F01004F4F01000D
-:103D4000504F0100514F0100524F0100534F0100ED
-:103D5000544F0100554F0100564F0100574F0100CD
-:103D6000584F0100594F01005A4F01005B4F0100AD
-:103D70005C4F01005D4F01005E4F01005F4F01008D
-:103D8000604F0100614F0100624F0100634F01006D
-:103D9000644F0100654F0100664F0100674F01004D
-:103DA000684F0100694F01006A4F01006B4F01002D
-:103DB0006C4F01006D4F01006E4F01006F4F01000D
-:103DC000704F0100714F0100724F0100734F0100ED
-:103DD000744F0100754F0100764F0100774F0100CD
-:103DE000784F0100794F01007A4F01007B4F0100AD
-:103DF0007C4F01007D4F01007E4F01007F4F01008D
-:103E0000804F0100814F0100824F0100834F01006C
-:103E1000844F0100854F0100864F0100874F01004C
-:103E2000884F0100894F01008A4F01008B4F01002C
-:103E30008C4F01008D4F01008E4F01008F4F01000C
-:103E4000904F0100914F0100924F0100934F0100EC
-:103E5000944F0100954F0100964F0100974F0100CC
-:103E6000984F0100994F01009A4F01009B4F0100AC
-:103E70009C4F01009D4F01009E4F01009F4F01008C
-:103E8000A04F0100A14F0100A24F0100A34F01006C
-:103E9000A44F0100A54F0100A64F0100A74F01004C
-:103EA000A84F0100A94F0100AA4F0100AB4F01002C
-:103EB000AC4F0100AD4F0100AE4F0100AF4F01000C
-:103EC000B04F0100B14F0100B24F0100B34F0100EC
-:103ED000B44F0100B54F0100B64F0100B74F0100CC
-:103EE000B84F0100B94F0100BA4F0100BB4F0100AC
-:103EF000BC4F0100BD4F0100BE4F0100BF4F01008C
-:103F0000C04F0100C14F0100C24F0100C34F01006B
-:103F1000C44F0100C54F0100C64F0100C74F01004B
-:103F2000C84F0100C94F0100CA4F0100CB4F01002B
-:103F3000CC4F0100CD4F0100CE4F0100CF4F01000B
-:103F4000D04F0100D14F0100D24F0100D34F0100EB
-:103F5000D44F0100D54F0100D64F0100D74F0100CB
-:103F6000D84F0100D94F0100DA4F0100DB4F0100AB
-:103F7000DC4F0100DD4F0100DE4F0100DF4F01008B
-:103F8000E04F0100E14F0100E24F0100E34F01006B
-:103F9000E44F0100E54F0100E64F0100E74F01004B
-:103FA000E84F0100E94F0100EA4F0100EB4F01002B
-:103FB000EC4F0100ED4F0100EE4F0100EF4F01000B
-:103FC000F04F0100F14F0100F24F0100F34F0100EB
-:103FD000F44F0100F54F0100F64F0100F74F0100CB
-:103FE000F84F0100F94F0100FA4F0100FB4F0100AB
-:103FF000FC4F0100FD4F0100FE4F0100FF4F01008B
-:104000000050010001500100025001000350010066
-:104010000450010005500100065001000750010046
-:1040200008500100095001000A5001000B50010026
-:104030000C5001000D5001000E5001000F50010006
-:1040400010500100115001001250010013500100E6
-:1040500014500100155001001650010017500100C6
-:1040600018500100195001001A5001001B500100A6
-:104070001C5001001D5001001E5001001F50010086
-:104080002050010021500100225001002350010066
-:104090002450010025500100265001002750010046
-:1040A00028500100295001002A5001002B50010026
-:1040B0002C5001002D5001002E5001002F50010006
-:1040C00030500100315001003250010033500100E6
-:1040D00034500100355001003650010037500100C6
-:1040E00038500100395001003A5001003B500100A6
-:1040F0003C5001003D5001003E5001003F50010086
-:104100004050010041500100425001004350010065
-:104110004450010045500100465001004750010045
-:1041200048500100495001004A5001004B50010025
-:104130004C5001004D5001004E5001004F50010005
-:1041400050500100515001005250010053500100E5
-:1041500054500100555001005650010057500100C5
-:1041600058500100595001005A5001005B500100A5
-:104170005C5001005D5001005E5001005F50010085
-:104180006050010061500100625001006350010065
-:104190006450010065500100665001006750010045
-:1041A00068500100695001006A5001006B50010025
-:1041B0006C5001006D5001006E5001006F50010005
-:1041C00070500100715001007250010073500100E5
-:1041D00074500100755001007650010077500100C5
-:1041E00078500100795001007A5001007B500100A5
-:1041F0007C5001007D5001007E5001007F50010085
-:104200008050010081500100825001008350010064
-:104210008450010085500100865001008750010044
-:1042200088500100895001008A5001008B50010024
-:104230008C5001008D5001008E5001008F50010004
-:1042400090500100915001009250010093500100E4
-:1042500094500100955001009650010097500100C4
-:1042600098500100995001009A5001009B500100A4
-:104270009C5001009D5001009E5001009F50010084
-:10428000A0500100A1500100A2500100A350010064
-:10429000A4500100A5500100A6500100A750010044
-:1042A000A8500100A9500100AA500100AB50010024
-:1042B000AC500100AD500100AE500100AF50010004
-:1042C000B0500100B1500100B2500100B3500100E4
-:1042D000B4500100B5500100B6500100B7500100C4
-:1042E000B8500100B9500100BA500100BB500100A4
-:1042F000BC500100BD500100BE500100BF50010084
-:10430000C0500100C1500100C2500100C350010063
-:10431000C4500100C5500100C6500100C750010043
-:10432000C8500100C9500100CA500100CB50010023
-:10433000CC500100CD500100CE500100CF50010003
-:10434000D0500100D1500100D2500100D3500100E3
-:10435000D4500100D5500100D6500100D7500100C3
-:10436000D8500100D9500100DA500100DB500100A3
-:10437000DC500100DD500100DE500100DF50010083
-:10438000E0500100E1500100E2500100E350010063
-:10439000E4500100E5500100E6500100E750010043
-:1043A000E8500100E9500100EA500100EB50010023
-:1043B000EC500100ED500100EE500100EF50010003
-:1043C000F0500100F1500100F2500100F3500100E3
-:1043D000F4500100F5500100F6500100F7500100C3
-:1043E000F8500100F9500100FA500100FB500100A3
-:1043F000FC500100FD500100FE500100FF50010083
-:10440000005101000151010002510100035101005E
-:10441000045101000551010006510100075101003E
-:1044200008510100095101000A5101000B5101001E
-:104430000C5101000D5101000E5101000F510100FE
-:1044400010510100115101001251010013510100DE
-:1044500014510100155101001651010017510100BE
-:1044600018510100195101001A5101001B5101009E
-:104470001C5101001D5101001E5101001F5101007E
-:10448000205101002151010022510100235101005E
-:10449000245101002551010026510100275101003E
-:1044A00028510100295101002A5101002B5101001E
-:1044B0002C5101002D5101002E5101002F510100FE
-:1044C00030510100315101003251010033510100DE
-:1044D00034510100355101003651010037510100BE
-:1044E00038510100395101003A5101003B5101009E
-:1044F0003C5101003D5101003E5101003F5101007E
-:10450000405101004151010042510100435101005D
-:10451000445101004551010046510100475101003D
-:1045200048510100495101004A5101004B5101001D
-:104530004C5101004D5101004E5101004F510100FD
-:1045400050510100515101005251010053510100DD
-:1045500054510100555101005651010057510100BD
-:1045600058510100595101005A5101005B5101009D
-:104570005C5101005D5101005E5101005F5101007D
-:10458000605101006151010062510100635101005D
-:10459000645101006551010066510100675101003D
-:1045A00068510100695101006A5101006B5101001D
-:1045B0006C5101006D5101006E5101006F510100FD
-:1045C00070510100715101007251010073510100DD
-:1045D00074510100755101007651010077510100BD
-:1045E00078510100795101007A5101007B5101009D
-:1045F0007C5101007D5101007E5101007F5101007D
-:10460000805101008151010082510100835101005C
-:10461000845101008551010086510100875101003C
-:1046200088510100895101008A5101008B5101001C
-:104630008C5101008D5101008E5101008F510100FC
-:1046400090510100915101009251010093510100DC
-:1046500094510100955101009651010097510100BC
-:1046600098510100995101009A5101009B5101009C
-:104670009C5101009D5101009E5101009F5101007C
-:10468000A0510100A1510100A2510100A35101005C
-:10469000A4510100A5510100A6510100A75101003C
-:1046A000A8510100A9510100AA510100AB5101001C
-:1046B000AC510100AD510100AE510100AF510100FC
-:1046C000B0510100B1510100B2510100B3510100DC
-:1046D000B4510100B5510100B6510100B7510100BC
-:1046E000B8510100B9510100BA510100BB5101009C
-:1046F000BC510100BD510100BE510100BF5101007C
-:10470000C0510100C1510100C2510100C35101005B
-:10471000C4510100C5510100C6510100C75101003B
-:10472000C8510100C9510100CA510100CB5101001B
-:10473000CC510100CD510100CE510100CF510100FB
-:10474000D0510100D1510100D2510100D3510100DB
-:10475000D4510100D5510100D6510100D7510100BB
-:10476000D8510100D9510100DA510100DB5101009B
-:10477000DC510100DD510100DE510100DF5101007B
-:10478000E0510100E1510100E2510100E35101005B
-:10479000E4510100E5510100E6510100E75101003B
-:1047A000E8510100E9510100EA510100EB5101001B
-:1047B000EC510100ED510100EE510100EF510100FB
-:1047C000F0510100F1510100F2510100F3510100DB
-:1047D000F4510100F5510100F6510100F7510100BB
-:1047E000F8510100F9510100FA510100FB5101009B
-:1047F000FC510100FD510100FE510100FF5101007B
-:104800000052010001520100025201000352010056
-:104810000452010005520100065201000752010036
-:1048200008520100095201000A5201000B52010016
-:104830000C5201000D5201000E5201000F520100F6
-:1048400010520100115201001252010013520100D6
-:1048500014520100155201001652010017520100B6
-:1048600018520100195201001A5201001B52010096
-:104870001C5201001D5201001E5201001F52010076
-:104880002052010021520100225201002352010056
-:104890002452010025520100265201002752010036
-:1048A00028520100295201002A5201002B52010016
-:1048B0002C5201002D5201002E5201002F520100F6
-:1048C00030520100315201003252010033520100D6
-:1048D00034520100355201003652010037520100B6
-:1048E00038520100395201003A5201003B52010096
-:1048F0003C5201003D5201003E5201003F52010076
-:104900004052010041520100425201004352010055
-:104910004452010045520100465201004752010035
-:1049200048520100495201004A5201004B52010015
-:104930004C5201004D5201004E5201004F520100F5
-:1049400050520100515201005252010053520100D5
-:1049500054520100555201005652010057520100B5
-:1049600058520100595201005A5201005B52010095
-:104970005C5201005D5201005E5201005F52010075
-:104980006052010061520100625201006352010055
-:104990006452010065520100665201006752010035
-:1049A00068520100695201006A5201006B52010015
-:1049B0006C5201006D5201006E5201006F520100F5
-:1049C00070520100715201007252010073520100D5
-:1049D00074520100755201007652010077520100B5
-:1049E00078520100795201007A5201007B52010095
-:1049F0007C5201007D5201007E5201007F52010075
-:104A00008052010081520100825201008352010054
-:104A10008452010085520100865201008752010034
-:104A200088520100895201008A5201008B52010014
-:104A30008C5201008D5201008E5201008F520100F4
-:104A400090520100915201009252010093520100D4
-:104A500094520100955201009652010097520100B4
-:104A600098520100995201009A5201009B52010094
-:104A70009C5201009D5201009E5201009F52010074
-:104A8000A0520100A1520100A2520100A352010054
-:104A9000A4520100A5520100A6520100A752010034
-:104AA000A8520100A9520100AA520100AB52010014
-:104AB000AC520100AD520100AE520100AF520100F4
-:104AC000B0520100B1520100B2520100B3520100D4
-:104AD000B4520100B5520100B6520100B7520100B4
-:104AE000B8520100B9520100BA520100BB52010094
-:104AF000BC520100BD520100BE520100BF52010074
-:104B0000C0520100C1520100C2520100C352010053
-:104B1000C4520100C5520100C6520100C752010033
-:104B2000C8520100C9520100CA520100CB52010013
-:104B3000CC520100CD520100CE520100CF520100F3
-:104B4000D0520100D1520100D2520100D3520100D3
-:104B5000D4520100D5520100D6520100D7520100B3
-:104B6000D8520100D9520100DA520100DB52010093
-:104B7000DC520100DD520100DE520100DF52010073
-:104B8000E0520100E1520100E2520100E352010053
-:104B9000E4520100E5520100E6520100E752010033
-:104BA000E8520100E9520100EA520100EB52010013
-:104BB000EC520100ED520100EE520100EF520100F3
-:104BC000F0520100F1520100F2520100F3520100D3
-:104BD000F4520100F5520100F6520100F7520100B3
-:104BE000F8520100F9520100FA520100FB52010093
-:104BF000FC520100FD520100FE520100FF52010073
-:104C0000005301000153010002530100035301004E
-:104C1000045301000553010006530100075301002E
-:104C200008530100095301000A5301000B5301000E
-:104C30000C5301000D5301000E5301000F530100EE
-:104C400010530100115301001253010013530100CE
-:104C500014530100155301001653010017530100AE
-:104C600018530100195301001A5301001B5301008E
-:104C70001C5301001D5301001E5301001F5301006E
-:104C8000205301002153010022530100235301004E
-:104C9000245301002553010026530100275301002E
-:104CA00028530100295301002A5301002B5301000E
-:104CB0002C5301002D5301002E5301002F530100EE
-:104CC00030530100315301003253010033530100CE
-:104CD00034530100355301003653010037530100AE
-:104CE00038530100395301003A5301003B5301008E
-:104CF0003C5301003D5301003E5301003F5301006E
-:104D0000405301004153010042530100435301004D
-:104D1000445301004553010046530100475301002D
-:104D200048530100495301004A5301004B5301000D
-:104D30004C5301004D5301004E5301004F530100ED
-:104D400050530100515301005253010053530100CD
-:104D500054530100555301005653010057530100AD
-:104D600058530100595301005A5301005B5301008D
-:104D70005C5301005D5301005E5301005F5301006D
-:104D8000605301006153010062530100635301004D
-:104D9000645301006553010066530100675301002D
-:104DA00068530100695301006A5301006B5301000D
-:104DB0006C5301006D5301006E5301006F530100ED
-:104DC00070530100715301007253010073530100CD
-:104DD00074530100755301007653010077530100AD
-:104DE00078530100795301007A5301007B5301008D
-:104DF0007C5301007D5301007E5301007F5301006D
-:104E0000805301008153010082530100835301004C
-:104E1000845301008553010086530100875301002C
-:104E200088530100895301008A5301008B5301000C
-:104E30008C5301008D5301008E5301008F530100EC
-:104E400090530100915301009253010093530100CC
-:104E500094530100955301009653010097530100AC
-:104E600098530100995301009A5301009B5301008C
-:104E70009C5301009D5301009E5301009F5301006C
-:104E8000A0530100A1530100A2530100A35301004C
-:104E9000A4530100A5530100A6530100A75301002C
-:104EA000A8530100A9530100AA530100AB5301000C
-:104EB000AC530100AD530100AE530100AF530100EC
-:104EC000B0530100B1530100B2530100B3530100CC
-:104ED000B4530100B5530100B6530100B7530100AC
-:104EE000B8530100B9530100BA530100BB5301008C
-:104EF000BC530100BD530100BE530100BF5301006C
-:104F0000C0530100C1530100C2530100C35301004B
-:104F1000C4530100C5530100C6530100C75301002B
-:104F2000C8530100C9530100CA530100CB5301000B
-:104F3000CC530100CD530100CE530100CF530100EB
-:104F4000D0530100D1530100D2530100D3530100CB
-:104F5000D4530100D5530100D6530100D7530100AB
-:104F6000D8530100D9530100DA530100DB5301008B
-:104F7000DC530100DD530100DE530100DF5301006B
-:104F8000E0530100E1530100E2530100E35301004B
-:104F9000E4530100E5530100E6530100E75301002B
-:104FA000E8530100E9530100EA530100EB5301000B
-:104FB000EC530100ED530100EE530100EF530100EB
-:104FC000F0530100F1530100F2530100F3530100CB
-:104FD000F4530100F5530100F6530100F7530100AB
-:104FE000F8530100F9530100FA530100FB5301008B
-:104FF000FC530100FD530100FE530100FF5301006B
-:105000000054010001540100025401000354010046
-:105010000454010005540100065401000754010026
-:1050200008540100095401000A5401000B54010006
-:105030000C5401000D5401000E5401000F540100E6
-:1050400010540100115401001254010013540100C6
-:1050500014540100155401001654010017540100A6
-:1050600018540100195401001A5401001B54010086
-:105070001C5401001D5401001E5401001F54010066
-:105080002054010021540100225401002354010046
-:105090002454010025540100265401002754010026
-:1050A00028540100295401002A5401002B54010006
-:1050B0002C5401002D5401002E5401002F540100E6
-:1050C00030540100315401003254010033540100C6
-:1050D00034540100355401003654010037540100A6
-:1050E00038540100395401003A5401003B54010086
-:1050F0003C5401003D5401003E5401003F54010066
-:105100004054010041540100425401004354010045
-:105110004454010045540100465401004754010025
-:1051200048540100495401004A5401004B54010005
-:105130004C5401004D5401004E5401004F540100E5
-:1051400050540100515401005254010053540100C5
-:1051500054540100555401005654010057540100A5
-:1051600058540100595401005A5401005B54010085
-:105170005C5401005D5401005E5401005F54010065
-:105180006054010061540100625401006354010045
-:105190006454010065540100665401006754010025
-:1051A00068540100695401006A5401006B54010005
-:1051B0006C5401006D5401006E5401006F540100E5
-:1051C00070540100715401007254010073540100C5
-:1051D00074540100755401007654010077540100A5
-:1051E00078540100795401007A5401007B54010085
-:1051F0007C5401007D5401007E5401007F54010065
-:105200008054010081540100825401008354010044
-:105210008454010085540100865401008754010024
-:1052200088540100895401008A5401008B54010004
-:105230008C5401008D5401008E5401008F540100E4
-:1052400090540100915401009254010093540100C4
-:1052500094540100955401009654010097540100A4
-:1052600098540100995401009A5401009B54010084
-:105270009C5401009D5401009E5401009F54010064
-:10528000A0540100A1540100A2540100A354010044
-:10529000A4540100A5540100A6540100A754010024
-:1052A000A8540100A9540100AA540100AB54010004
-:1052B000AC540100AD540100AE540100AF540100E4
-:1052C000B0540100B1540100B2540100B3540100C4
-:1052D000B4540100B5540100B6540100B7540100A4
-:1052E000B8540100B9540100BA540100BB54010084
-:1052F000BC540100BD540100BE540100BF54010064
-:10530000C0540100C1540100C2540100C354010043
-:10531000C4540100C5540100C6540100C754010023
-:10532000C8540100C9540100CA540100CB54010003
-:10533000CC540100CD540100CE540100CF540100E3
-:10534000D0540100D1540100D2540100D3540100C3
-:10535000D4540100D5540100D6540100D7540100A3
-:10536000D8540100D9540100DA540100DB54010083
-:10537000DC540100DD540100DE540100DF54010063
-:10538000E0540100E1540100E2540100E354010043
-:10539000E4540100E5540100E6540100E754010023
-:1053A000E8540100E9540100EA540100EB54010003
-:1053B000EC540100ED540100EE540100EF540100E3
-:1053C000F0540100F1540100F2540100F3540100C3
-:1053D000F4540100F5540100F6540100F7540100A3
-:1053E000F8540100F9540100FA540100FB54010083
-:1053F000FC540100FD540100FE540100FF54010063
-:10540000005501000155010002550100035501003E
-:10541000045501000555010006550100075501001E
-:1054200008550100095501000A5501000B550100FE
-:105430000C5501000D5501000E5501000F550100DE
-:1054400010550100115501001255010013550100BE
-:10545000145501001555010016550100175501009E
-:1054600018550100195501001A5501001B5501007E
-:105470001C5501001D5501001E5501001F5501005E
-:10548000205501002155010022550100235501003E
-:10549000245501002555010026550100275501001E
-:1054A00028550100295501002A5501002B550100FE
-:1054B0002C5501002D5501002E5501002F550100DE
-:1054C00030550100315501003255010033550100BE
-:1054D000345501003555010036550100375501009E
-:1054E00038550100395501003A5501003B5501007E
-:1054F0003C5501003D5501003E5501003F5501005E
-:10550000405501004155010042550100435501003D
-:10551000445501004555010046550100475501001D
-:1055200048550100495501004A5501004B550100FD
-:105530004C5501004D5501004E5501004F550100DD
-:1055400050550100515501005255010053550100BD
-:10555000545501005555010056550100575501009D
-:1055600058550100595501005A5501005B5501007D
-:105570005C5501005D5501005E5501005F5501005D
-:10558000605501006155010062550100635501003D
-:10559000645501006555010066550100675501001D
-:1055A00068550100695501006A5501006B550100FD
-:1055B0006C5501006D5501006E5501006F550100DD
-:1055C00070550100715501007255010073550100BD
-:1055D000745501007555010076550100775501009D
-:1055E00078550100795501007A5501007B5501007D
-:1055F0007C5501007D5501007E5501007F5501005D
-:10560000805501008155010082550100835501003C
-:10561000845501008555010086550100875501001C
-:1056200088550100895501008A5501008B550100FC
-:105630008C5501008D5501008E5501008F550100DC
-:1056400090550100915501009255010093550100BC
-:10565000945501009555010096550100975501009C
-:1056600098550100995501009A5501009B5501007C
-:105670009C5501009D5501009E5501009F5501005C
-:10568000A0550100A1550100A2550100A35501003C
-:10569000A4550100A5550100A6550100A75501001C
-:1056A000A8550100A9550100AA550100AB550100FC
-:1056B000AC550100AD550100AE550100AF550100DC
-:1056C000B0550100B1550100B2550100B3550100BC
-:1056D000B4550100B5550100B6550100B75501009C
-:1056E000B8550100B9550100BA550100BB5501007C
-:1056F000BC550100BD550100BE550100BF5501005C
-:10570000C0550100C1550100C2550100C35501003B
-:10571000C4550100C5550100C6550100C75501001B
-:10572000C8550100C9550100CA550100CB550100FB
-:10573000CC550100CD550100CE550100CF550100DB
-:10574000D0550100D1550100D2550100D3550100BB
-:10575000D4550100D5550100D6550100D75501009B
-:10576000D8550100D9550100DA550100DB5501007B
-:10577000DC550100DD550100DE550100DF5501005B
-:10578000E0550100E1550100E2550100E35501003B
-:10579000E4550100E5550100E6550100E75501001B
-:1057A000E8550100E9550100EA550100EB550100FB
-:1057B000EC550100ED550100EE550100EF550100DB
-:1057C000F0550100F1550100F2550100F3550100BB
-:1057D000F4550100F5550100F6550100F75501009B
-:1057E000F8550100F9550100FA550100FB5501007B
-:1057F000FC550100FD550100FE550100FF5501005B
-:105800000056010001560100025601000356010036
-:105810000456010005560100065601000756010016
-:1058200008560100095601000A5601000B560100F6
-:105830000C5601000D5601000E5601000F560100D6
-:1058400010560100115601001256010013560100B6
-:105850001456010015560100165601001756010096
-:1058600018560100195601001A5601001B56010076
-:105870001C5601001D5601001E5601001F56010056
-:105880002056010021560100225601002356010036
-:105890002456010025560100265601002756010016
-:1058A00028560100295601002A5601002B560100F6
-:1058B0002C5601002D5601002E5601002F560100D6
-:1058C00030560100315601003256010033560100B6
-:1058D0003456010035560100365601003756010096
-:1058E00038560100395601003A5601003B56010076
-:1058F0003C5601003D5601003E5601003F56010056
-:105900004056010041560100425601004356010035
-:105910004456010045560100465601004756010015
-:1059200048560100495601004A5601004B560100F5
-:105930004C5601004D5601004E5601004F560100D5
-:1059400050560100515601005256010053560100B5
-:105950005456010055560100565601005756010095
-:1059600058560100595601005A5601005B56010075
-:105970005C5601005D5601005E5601005F56010055
-:105980006056010061560100625601006356010035
-:105990006456010065560100665601006756010015
-:1059A00068560100695601006A5601006B560100F5
-:1059B0006C5601006D5601006E5601006F560100D5
-:1059C00070560100715601007256010073560100B5
-:1059D0007456010075560100765601007756010095
-:1059E00078560100795601007A5601007B56010075
-:1059F0007C5601007D5601007E5601007F56010055
-:105A00008056010081560100825601008356010034
-:105A10008456010085560100865601008756010014
-:105A200088560100895601008A5601008B560100F4
-:105A30008C5601008D5601008E5601008F560100D4
-:105A400090560100915601009256010093560100B4
-:105A50009456010095560100965601009756010094
-:105A600098560100995601009A5601009B56010074
-:105A70009C5601009D5601009E5601009F56010054
-:105A8000A0560100A1560100A2560100A356010034
-:105A9000A4560100A5560100A6560100A756010014
-:105AA000A8560100A9560100AA560100AB560100F4
-:105AB000AC560100AD560100AE560100AF560100D4
-:105AC000B0560100B1560100B2560100B3560100B4
-:105AD000B4560100B5560100B6560100B756010094
-:105AE000B8560100B9560100BA560100BB56010074
-:105AF000BC560100BD560100BE560100BF56010054
-:105B0000C0560100C1560100C2560100C356010033
-:105B1000C4560100C5560100C6560100C756010013
-:105B2000C8560100C9560100CA560100CB560100F3
-:105B3000CC560100CD560100CE560100CF560100D3
-:105B4000D0560100D1560100D2560100D3560100B3
-:105B5000D4560100D5560100D6560100D756010093
-:105B6000D8560100D9560100DA560100DB56010073
-:105B7000DC560100DD560100DE560100DF56010053
-:105B8000E0560100E1560100E2560100E356010033
-:105B9000E4560100E5560100E6560100E756010013
-:105BA000E8560100E9560100EA560100EB560100F3
-:105BB000EC560100ED560100EE560100EF560100D3
-:105BC000F0560100F1560100F2560100F3560100B3
-:105BD000F4560100F5560100F6560100F756010093
-:105BE000F8560100F9560100FA560100FB56010073
-:105BF000FC560100FD560100FE560100FF56010053
-:105C0000005701000157010002570100035701002E
-:105C1000045701000557010006570100075701000E
-:105C200008570100095701000A5701000B570100EE
-:105C30000C5701000D5701000E5701000F570100CE
-:105C400010570100115701001257010013570100AE
-:105C5000145701001557010016570100175701008E
-:105C600018570100195701001A5701001B5701006E
-:105C70001C5701001D5701001E5701001F5701004E
-:105C8000205701002157010022570100235701002E
-:105C9000245701002557010026570100275701000E
-:105CA00028570100295701002A5701002B570100EE
-:105CB0002C5701002D5701002E5701002F570100CE
-:105CC00030570100315701003257010033570100AE
-:105CD000345701003557010036570100375701008E
-:105CE00038570100395701003A5701003B5701006E
-:105CF0003C5701003D5701003E5701003F5701004E
-:105D0000405701004157010042570100435701002D
-:105D1000445701004557010046570100475701000D
-:105D200048570100495701004A5701004B570100ED
-:105D30004C5701004D5701004E5701004F570100CD
-:105D400050570100515701005257010053570100AD
-:105D5000545701005557010056570100575701008D
-:105D600058570100595701005A5701005B5701006D
-:105D70005C5701005D5701005E5701005F5701004D
-:105D8000605701006157010062570100635701002D
-:105D9000645701006557010066570100675701000D
-:105DA00068570100695701006A5701006B570100ED
-:105DB0006C5701006D5701006E5701006F570100CD
-:105DC00070570100715701007257010073570100AD
-:105DD000745701007557010076570100775701008D
-:105DE00078570100795701007A5701007B5701006D
-:105DF0007C5701007D5701007E5701007F5701004D
-:105E0000805701008157010082570100835701002C
-:105E1000845701008557010086570100875701000C
-:105E200088570100895701008A5701008B570100EC
-:105E30008C5701008D5701008E5701008F570100CC
-:105E400090570100915701009257010093570100AC
-:105E5000945701009557010096570100975701008C
-:105E600098570100995701009A5701009B5701006C
-:105E70009C5701009D5701009E5701009F5701004C
-:105E8000A0570100A1570100A2570100A35701002C
-:105E9000A4570100A5570100A6570100A75701000C
-:105EA000A8570100A9570100AA570100AB570100EC
-:105EB000AC570100AD570100AE570100AF570100CC
-:105EC000B0570100B1570100B2570100B3570100AC
-:105ED000B4570100B5570100B6570100B75701008C
-:105EE000B8570100B9570100BA570100BB5701006C
-:105EF000BC570100BD570100BE570100BF5701004C
-:105F0000C0570100C1570100C2570100C35701002B
-:105F1000C4570100C5570100C6570100C75701000B
-:105F2000C8570100C9570100CA570100CB570100EB
-:105F3000CC570100CD570100CE570100CF570100CB
-:105F4000D0570100D1570100D2570100D3570100AB
-:105F5000D4570100D5570100D6570100D75701008B
-:105F6000D8570100D9570100DA570100DB5701006B
-:105F7000DC570100DD570100DE570100DF5701004B
-:105F8000E0570100E1570100E2570100E35701002B
-:105F9000E4570100E5570100E6570100E75701000B
-:105FA000E8570100E9570100EA570100EB570100EB
-:105FB000EC570100ED570100EE570100EF570100CB
-:105FC000F0570100F1570100F2570100F3570100AB
-:105FD000F4570100F5570100F6570100F75701008B
-:105FE000F8570100F9570100FA570100FB5701006B
-:105FF000FC570100FD570100FE570100FF5701004B
-:106000000058010001580100025801000358010026
-:106010000458010005580100065801000758010006
-:1060200008580100095801000A5801000B580100E6
-:106030000C5801000D5801000E5801000F580100C6
-:1060400010580100115801001258010013580100A6
-:106050001458010015580100165801001758010086
-:1060600018580100195801001A5801001B58010066
-:106070001C5801001D5801001E5801001F58010046
-:106080002058010021580100225801002358010026
-:106090002458010025580100265801002758010006
-:1060A00028580100295801002A5801002B580100E6
-:1060B0002C5801002D5801002E5801002F580100C6
-:1060C00030580100315801003258010033580100A6
-:1060D0003458010035580100365801003758010086
-:1060E00038580100395801003A5801003B58010066
-:1060F0003C5801003D5801003E5801003F58010046
-:106100004058010041580100425801004358010025
-:106110004458010045580100465801004758010005
-:1061200048580100495801004A5801004B580100E5
-:106130004C5801004D5801004E5801004F580100C5
-:1061400050580100515801005258010053580100A5
-:106150005458010055580100565801005758010085
-:1061600058580100595801005A5801005B58010065
-:106170005C5801005D5801005E5801005F58010045
-:106180006058010061580100625801006358010025
-:106190006458010065580100665801006758010005
-:1061A00068580100695801006A5801006B580100E5
-:1061B0006C5801006D5801006E5801006F580100C5
-:1061C00070580100715801007258010073580100A5
-:1061D0007458010075580100765801007758010085
-:1061E00078580100795801007A5801007B58010065
-:1061F0007C5801007D5801007E5801007F58010045
-:106200008058010081580100825801008358010024
-:106210008458010085580100865801008758010004
-:1062200088580100895801008A5801008B580100E4
-:106230008C5801008D5801008E5801008F580100C4
-:1062400090580100915801009258010093580100A4
-:106250009458010095580100965801009758010084
-:1062600098580100995801009A5801009B58010064
-:106270009C5801009D5801009E5801009F58010044
-:10628000A0580100A1580100A2580100A358010024
-:10629000A4580100A5580100A6580100A758010004
-:1062A000A8580100A9580100AA580100AB580100E4
-:1062B000AC580100AD580100AE580100AF580100C4
-:1062C000B0580100B1580100B2580100B3580100A4
-:1062D000B4580100B5580100B6580100B758010084
-:1062E000B8580100B9580100BA580100BB58010064
-:1062F000BC580100BD580100BE580100BF58010044
-:10630000C0580100C1580100C2580100C358010023
-:10631000C4580100C5580100C6580100C758010003
-:10632000C8580100C9580100CA580100CB580100E3
-:10633000CC580100CD580100CE580100CF580100C3
-:10634000D0580100D1580100D2580100D3580100A3
-:10635000D4580100D5580100D6580100D758010083
-:10636000D8580100D9580100DA580100DB58010063
-:10637000DC580100DD580100DE580100DF58010043
-:10638000E0580100E1580100E2580100E358010023
-:10639000E4580100E5580100E6580100E758010003
-:1063A000E8580100E9580100EA580100EB580100E3
-:1063B000EC580100ED580100EE580100EF580100C3
-:1063C000F0580100F1580100F2580100F3580100A3
-:1063D000F4580100F5580100F6580100F758010083
-:1063E000F8580100F9580100FA580100FB58010063
-:1063F000FC580100FD580100FE580100FF58010043
-:10640000005901000159010002590100035901001E
-:1064100004590100055901000659010007590100FE
-:1064200008590100095901000A5901000B590100DE
-:106430000C5901000D5901000E5901000F590100BE
-:10644000105901001159010012590100135901009E
-:10645000145901001559010016590100175901007E
-:1064600018590100195901001A5901001B5901005E
-:106470001C5901001D5901001E5901001F5901003E
-:10648000205901002159010022590100235901001E
-:1064900024590100255901002659010027590100FE
-:1064A00028590100295901002A5901002B590100DE
-:1064B0002C5901002D5901002E5901002F590100BE
-:1064C000305901003159010032590100335901009E
-:1064D000345901003559010036590100375901007E
-:1064E00038590100395901003A5901003B5901005E
-:1064F0003C5901003D5901003E5901003F5901003E
-:10650000405901004159010042590100435901001D
-:1065100044590100455901004659010047590100FD
-:1065200048590100495901004A5901004B590100DD
-:106530004C5901004D5901004E5901004F590100BD
-:10654000505901005159010052590100535901009D
-:10655000545901005559010056590100575901007D
-:1065600058590100595901005A5901005B5901005D
-:106570005C5901005D5901005E5901005F5901003D
-:10658000605901006159010062590100635901001D
-:1065900064590100655901006659010067590100FD
-:1065A00068590100695901006A5901006B590100DD
-:1065B0006C5901006D5901006E5901006F590100BD
-:1065C000705901007159010072590100735901009D
-:1065D000745901007559010076590100775901007D
-:1065E00078590100795901007A5901007B5901005D
-:1065F0007C5901007D5901007E5901007F5901003D
-:10660000805901008159010082590100835901001C
-:1066100084590100855901008659010087590100FC
-:1066200088590100895901008A5901008B590100DC
-:106630008C5901008D5901008E5901008F590100BC
-:10664000905901009159010092590100935901009C
-:10665000945901009559010096590100975901007C
-:1066600098590100995901009A5901009B5901005C
-:106670009C5901009D5901009E5901009F5901003C
-:10668000A0590100A1590100A2590100A35901001C
-:10669000A4590100A5590100A6590100A7590100FC
-:1066A000A8590100A9590100AA590100AB590100DC
-:1066B000AC590100AD590100AE590100AF590100BC
-:1066C000B0590100B1590100B2590100B35901009C
-:1066D000B4590100B5590100B6590100B75901007C
-:1066E000B8590100B9590100BA590100BB5901005C
-:1066F000BC590100BD590100BE590100BF5901003C
-:10670000C0590100C1590100C2590100C35901001B
-:10671000C4590100C5590100C6590100C7590100FB
-:10672000C8590100C9590100CA590100CB590100DB
-:10673000CC590100CD590100CE590100CF590100BB
-:10674000D0590100D1590100D2590100D35901009B
-:10675000D4590100D5590100D6590100D75901007B
-:10676000D8590100D9590100DA590100DB5901005B
-:10677000DC590100DD590100DE590100DF5901003B
-:10678000E0590100E1590100E2590100E35901001B
-:10679000E4590100E5590100E6590100E7590100FB
-:1067A000E8590100E9590100EA590100EB590100DB
-:1067B000EC590100ED590100EE590100EF590100BB
-:1067C000F0590100F1590100F2590100F35901009B
-:1067D000F4590100F5590100F6590100F75901007B
-:1067E000F8590100F9590100FA590100FB5901005B
-:1067F000FC590100FD590100FE590100FF5901003B
-:10680000005A0100015A0100025A0100035A010016
-:10681000045A0100055A0100065A0100075A0100F6
-:10682000085A0100095A01000A5A01000B5A0100D6
-:106830000C5A01000D5A01000E5A01000F5A0100B6
-:10684000105A0100115A0100125A0100135A010096
-:10685000145A0100155A0100165A0100175A010076
-:10686000185A0100195A01001A5A01001B5A010056
-:106870001C5A01001D5A01001E5A01001F5A010036
-:10688000205A0100215A0100225A0100235A010016
-:10689000245A0100255A0100265A0100275A0100F6
-:1068A000285A0100295A01002A5A01002B5A0100D6
-:1068B0002C5A01002D5A01002E5A01002F5A0100B6
-:1068C000305A0100315A0100325A0100335A010096
-:1068D000345A0100355A0100365A0100375A010076
-:1068E000385A0100395A01003A5A01003B5A010056
-:1068F0003C5A01003D5A01003E5A01003F5A010036
-:10690000405A0100415A0100425A0100435A010015
-:10691000445A0100455A0100465A0100475A0100F5
-:10692000485A0100495A01004A5A01004B5A0100D5
-:106930004C5A01004D5A01004E5A01004F5A0100B5
-:10694000505A0100515A0100525A0100535A010095
-:10695000545A0100555A0100565A0100575A010075
-:10696000585A0100595A01005A5A01005B5A010055
-:106970005C5A01005D5A01005E5A01005F5A010035
-:10698000605A0100615A0100625A0100635A010015
-:10699000645A0100655A0100665A0100675A0100F5
-:1069A000685A0100695A01006A5A01006B5A0100D5
-:1069B0006C5A01006D5A01006E5A01006F5A0100B5
-:1069C000705A0100715A0100725A0100735A010095
-:1069D000745A0100755A0100765A0100775A010075
-:1069E000785A0100795A01007A5A01007B5A010055
-:1069F0007C5A01007D5A01007E5A01007F5A010035
-:106A0000805A0100815A0100825A0100835A010014
-:106A1000845A0100855A0100865A0100875A0100F4
-:106A2000885A0100895A01008A5A01008B5A0100D4
-:106A30008C5A01008D5A01008E5A01008F5A0100B4
-:106A4000905A0100915A0100925A0100935A010094
-:106A5000945A0100955A0100965A0100975A010074
-:106A6000985A0100995A01009A5A01009B5A010054
-:106A70009C5A01009D5A01009E5A01009F5A010034
-:106A8000A05A0100A15A0100A25A0100A35A010014
-:106A9000A45A0100A55A0100A65A0100A75A0100F4
-:106AA000A85A0100A95A0100AA5A0100AB5A0100D4
-:106AB000AC5A0100AD5A0100AE5A0100AF5A0100B4
-:106AC000B05A0100B15A0100B25A0100B35A010094
-:106AD000B45A0100B55A0100B65A0100B75A010074
-:106AE000B85A0100B95A0100BA5A0100BB5A010054
-:106AF000BC5A0100BD5A0100BE5A0100BF5A010034
-:106B0000C05A0100C15A0100C25A0100C35A010013
-:106B1000C45A0100C55A0100C65A0100C75A0100F3
-:106B2000C85A0100C95A0100CA5A0100CB5A0100D3
-:106B3000CC5A0100CD5A0100CE5A0100CF5A0100B3
-:106B4000D05A0100D15A0100D25A0100D35A010093
-:106B5000D45A0100D55A0100D65A0100D75A010073
-:106B6000D85A0100D95A0100DA5A0100DB5A010053
-:106B7000DC5A0100DD5A0100DE5A0100DF5A010033
-:106B8000E05A0100E15A0100E25A0100E35A010013
-:106B9000E45A0100E55A0100E65A0100E75A0100F3
-:106BA000E85A0100E95A0100EA5A0100EB5A0100D3
-:106BB000EC5A0100ED5A0100EE5A0100EF5A0100B3
-:106BC000F05A0100F15A0100F25A0100F35A010093
-:106BD000F45A0100F55A0100F65A0100F75A010073
-:106BE000F85A0100F95A0100FA5A0100FB5A010053
-:106BF000FC5A0100FD5A0100FE5A0100FF5A010033
-:106C0000005B0100015B0100025B0100035B01000E
-:106C1000045B0100055B0100065B0100075B0100EE
-:106C2000085B0100095B01000A5B01000B5B0100CE
-:106C30000C5B01000D5B01000E5B01000F5B0100AE
-:106C4000105B0100115B0100125B0100135B01008E
-:106C5000145B0100155B0100165B0100175B01006E
-:106C6000185B0100195B01001A5B01001B5B01004E
-:106C70001C5B01001D5B01001E5B01001F5B01002E
-:106C8000205B0100215B0100225B0100235B01000E
-:106C9000245B0100255B0100265B0100275B0100EE
-:106CA000285B0100295B01002A5B01002B5B0100CE
-:106CB0002C5B01002D5B01002E5B01002F5B0100AE
-:106CC000305B0100315B0100325B0100335B01008E
-:106CD000345B0100355B0100365B0100375B01006E
-:106CE000385B0100395B01003A5B01003B5B01004E
-:106CF0003C5B01003D5B01003E5B01003F5B01002E
-:106D0000405B0100415B0100425B0100435B01000D
-:106D1000445B0100455B0100465B0100475B0100ED
-:106D2000485B0100495B01004A5B01004B5B0100CD
-:106D30004C5B01004D5B01004E5B01004F5B0100AD
-:106D4000505B0100515B0100525B0100535B01008D
-:106D5000545B0100555B0100565B0100575B01006D
-:106D6000585B0100595B01005A5B01005B5B01004D
-:106D70005C5B01005D5B01005E5B01005F5B01002D
-:106D8000605B0100615B0100625B0100635B01000D
-:106D9000645B0100655B0100665B0100675B0100ED
-:106DA000685B0100695B01006A5B01006B5B0100CD
-:106DB0006C5B01006D5B01006E5B01006F5B0100AD
-:106DC000705B0100715B0100725B0100735B01008D
-:106DD000745B0100755B0100765B0100775B01006D
-:106DE000785B0100795B01007A5B01007B5B01004D
-:106DF0007C5B01007D5B01007E5B01007F5B01002D
-:106E0000805B0100815B0100825B0100835B01000C
-:106E1000845B0100855B0100865B0100875B0100EC
-:106E2000885B0100895B01008A5B01008B5B0100CC
-:106E30008C5B01008D5B01008E5B01008F5B0100AC
-:106E4000905B0100915B0100925B0100935B01008C
-:106E5000945B0100955B0100965B0100975B01006C
-:106E6000985B0100995B01009A5B01009B5B01004C
-:106E70009C5B01009D5B01009E5B01009F5B01002C
-:106E8000A05B0100A15B0100A25B0100A35B01000C
-:106E9000A45B0100A55B0100A65B0100A75B0100EC
-:106EA000A85B0100A95B0100AA5B0100AB5B0100CC
-:106EB000AC5B0100AD5B0100AE5B0100AF5B0100AC
-:106EC000B05B0100B15B0100B25B0100B35B01008C
-:106ED000B45B0100B55B0100B65B0100B75B01006C
-:106EE000B85B0100B95B0100BA5B0100BB5B01004C
-:106EF000BC5B0100BD5B0100BE5B0100BF5B01002C
-:106F0000C05B0100C15B0100C25B0100C35B01000B
-:106F1000C45B0100C55B0100C65B0100C75B0100EB
-:106F2000C85B0100C95B0100CA5B0100CB5B0100CB
-:106F3000CC5B0100CD5B0100CE5B0100CF5B0100AB
-:106F4000D05B0100D15B0100D25B0100D35B01008B
-:106F5000D45B0100D55B0100D65B0100D75B01006B
-:106F6000D85B0100D95B0100DA5B0100DB5B01004B
-:106F7000DC5B0100DD5B0100DE5B0100DF5B01002B
-:106F8000E05B0100E15B0100E25B0100E35B01000B
-:106F9000E45B0100E55B0100E65B0100E75B0100EB
-:106FA000E85B0100E95B0100EA5B0100EB5B0100CB
-:106FB000EC5B0100ED5B0100EE5B0100EF5B0100AB
-:106FC000F05B0100F15B0100F25B0100F35B01008B
-:106FD000F45B0100F55B0100F65B0100F75B01006B
-:106FE000F85B0100F95B0100FA5B0100FB5B01004B
-:106FF000FC5B0100FD5B0100FE5B0100FF5B01002B
-:10700000005C0100015C0100025C0100035C010006
-:10701000045C0100055C0100065C0100075C0100E6
-:10702000085C0100095C01000A5C01000B5C0100C6
-:107030000C5C01000D5C01000E5C01000F5C0100A6
-:10704000105C0100115C0100125C0100135C010086
-:10705000145C0100155C0100165C0100175C010066
-:10706000185C0100195C01001A5C01001B5C010046
-:107070001C5C01001D5C01001E5C01001F5C010026
-:10708000205C0100215C0100225C0100235C010006
-:10709000245C0100255C0100265C0100275C0100E6
-:1070A000285C0100295C01002A5C01002B5C0100C6
-:1070B0002C5C01002D5C01002E5C01002F5C0100A6
-:1070C000305C0100315C0100325C0100335C010086
-:1070D000345C0100355C0100365C0100375C010066
-:1070E000385C0100395C01003A5C01003B5C010046
-:1070F0003C5C01003D5C01003E5C01003F5C010026
-:10710000405C0100415C0100425C0100435C010005
-:10711000445C0100455C0100465C0100475C0100E5
-:10712000485C0100495C01004A5C01004B5C0100C5
-:107130004C5C01004D5C01004E5C01004F5C0100A5
-:10714000505C0100515C0100525C0100535C010085
-:10715000545C0100555C0100565C0100575C010065
-:10716000585C0100595C01005A5C01005B5C010045
-:107170005C5C01005D5C01005E5C01005F5C010025
-:10718000605C0100615C0100625C0100635C010005
-:10719000645C0100655C0100665C0100675C0100E5
-:1071A000685C0100695C01006A5C01006B5C0100C5
-:1071B0006C5C01006D5C01006E5C01006F5C0100A5
-:1071C000705C0100715C0100725C0100735C010085
-:1071D000745C0100755C0100765C0100775C010065
-:1071E000785C0100795C01007A5C01007B5C010045
-:1071F0007C5C01007D5C01007E5C01007F5C010025
-:10720000805C0100815C0100825C0100835C010004
-:10721000845C0100855C0100865C0100875C0100E4
-:10722000885C0100895C01008A5C01008B5C0100C4
-:107230008C5C01008D5C01008E5C01008F5C0100A4
-:10724000905C0100915C0100925C0100935C010084
-:10725000945C0100955C0100965C0100975C010064
-:10726000985C0100995C01009A5C01009B5C010044
-:107270009C5C01009D5C01009E5C01009F5C010024
-:10728000A05C0100A15C0100A25C0100A35C010004
-:10729000A45C0100A55C0100A65C0100A75C0100E4
-:1072A000A85C0100A95C0100AA5C0100AB5C0100C4
-:1072B000AC5C0100AD5C0100AE5C0100AF5C0100A4
-:1072C000B05C0100B15C0100B25C0100B35C010084
-:1072D000B45C0100B55C0100B65C0100B75C010064
-:1072E000B85C0100B95C0100BA5C0100BB5C010044
-:1072F000BC5C0100BD5C0100BE5C0100BF5C010024
-:10730000C05C0100C15C0100C25C0100C35C010003
-:10731000C45C0100C55C0100C65C0100C75C0100E3
-:10732000C85C0100C95C0100CA5C0100CB5C0100C3
-:10733000CC5C0100CD5C0100CE5C0100CF5C0100A3
-:10734000D05C0100D15C0100D25C0100D35C010083
-:10735000D45C0100D55C0100D65C0100D75C010063
-:10736000D85C0100D95C0100DA5C0100DB5C010043
-:10737000DC5C0100DD5C0100DE5C0100DF5C010023
-:10738000E05C0100E15C0100E25C0100E35C010003
-:10739000E45C0100E55C0100E65C0100E75C0100E3
-:1073A000E85C0100E95C0100EA5C0100EB5C0100C3
-:1073B000EC5C0100ED5C0100EE5C0100EF5C0100A3
-:1073C000F05C0100F15C0100F25C0100F35C010083
-:1073D000F45C0100F55C0100F65C0100F75C010063
-:1073E000F85C0100F95C0100FA5C0100FB5C010043
-:1073F000FC5C0100FD5C0100FE5C0100FF5C010023
-:10740000005D0100015D0100025D0100035D0100FE
-:10741000045D0100055D0100065D0100075D0100DE
-:10742000085D0100095D01000A5D01000B5D0100BE
-:107430000C5D01000D5D01000E5D01000F5D01009E
-:10744000105D0100115D0100125D0100135D01007E
-:10745000145D0100155D0100165D0100175D01005E
-:10746000185D0100195D01001A5D01001B5D01003E
-:107470001C5D01001D5D01001E5D01001F5D01001E
-:10748000205D0100215D0100225D0100235D0100FE
-:10749000245D0100255D0100265D0100275D0100DE
-:1074A000285D0100295D01002A5D01002B5D0100BE
-:1074B0002C5D01002D5D01002E5D01002F5D01009E
-:1074C000305D0100315D0100325D0100335D01007E
-:1074D000345D0100355D0100365D0100375D01005E
-:1074E000385D0100395D01003A5D01003B5D01003E
-:1074F0003C5D01003D5D01003E5D01003F5D01001E
-:10750000405D0100415D0100425D0100435D0100FD
-:10751000445D0100455D0100465D0100475D0100DD
-:10752000485D0100495D01004A5D01004B5D0100BD
-:107530004C5D01004D5D01004E5D01004F5D01009D
-:10754000505D0100515D0100525D0100535D01007D
-:10755000545D0100555D0100565D0100575D01005D
-:10756000585D0100595D01005A5D01005B5D01003D
-:107570005C5D01005D5D01005E5D01005F5D01001D
-:10758000605D0100615D0100625D0100635D0100FD
-:10759000645D0100655D0100665D0100675D0100DD
-:1075A000685D0100695D01006A5D01006B5D0100BD
-:1075B0006C5D01006D5D01006E5D01006F5D01009D
-:1075C000705D0100715D0100725D0100735D01007D
-:1075D000745D0100755D0100765D0100775D01005D
-:1075E000785D0100795D01007A5D01007B5D01003D
-:1075F0007C5D01007D5D01007E5D01007F5D01001D
-:10760000805D0100815D0100825D0100835D0100FC
-:10761000845D0100855D0100865D0100875D0100DC
-:10762000885D0100895D01008A5D01008B5D0100BC
-:107630008C5D01008D5D01008E5D01008F5D01009C
-:10764000905D0100915D0100925D0100935D01007C
-:10765000945D0100955D0100965D0100975D01005C
-:10766000985D0100995D01009A5D01009B5D01003C
-:107670009C5D01009D5D01009E5D01009F5D01001C
-:10768000A05D0100A15D0100A25D0100A35D0100FC
-:10769000A45D0100A55D0100A65D0100A75D0100DC
-:1076A000A85D0100A95D0100AA5D0100AB5D0100BC
-:1076B000AC5D0100AD5D0100AE5D0100AF5D01009C
-:1076C000B05D0100B15D0100B25D0100B35D01007C
-:1076D000B45D0100B55D0100B65D0100B75D01005C
-:1076E000B85D0100B95D0100BA5D0100BB5D01003C
-:1076F000BC5D0100BD5D0100BE5D0100BF5D01001C
-:10770000C05D0100C15D0100C25D0100C35D0100FB
-:10771000C45D0100C55D0100C65D0100C75D0100DB
-:10772000C85D0100C95D0100CA5D0100CB5D0100BB
-:10773000CC5D0100CD5D0100CE5D0100CF5D01009B
-:10774000D05D0100D15D0100D25D0100D35D01007B
-:10775000D45D0100D55D0100D65D0100D75D01005B
-:10776000D85D0100D95D0100DA5D0100DB5D01003B
-:10777000DC5D0100DD5D0100DE5D0100DF5D01001B
-:10778000E05D0100E15D0100E25D0100E35D0100FB
-:10779000E45D0100E55D0100E65D0100E75D0100DB
-:1077A000E85D0100E95D0100EA5D0100EB5D0100BB
-:1077B000EC5D0100ED5D0100EE5D0100EF5D01009B
-:1077C000F05D0100F15D0100F25D0100F35D01007B
-:1077D000F45D0100F55D0100F65D0100F75D01005B
-:1077E000F85D0100F95D0100FA5D0100FB5D01003B
-:1077F000FC5D0100FD5D0100FE5D0100FF5D01001B
-:10780000005E0100015E0100025E0100035E0100F6
-:10781000045E0100055E0100065E0100075E0100D6
-:10782000085E0100095E01000A5E01000B5E0100B6
-:107830000C5E01000D5E01000E5E01000F5E010096
-:10784000105E0100115E0100125E0100135E010076
-:10785000145E0100155E0100165E0100175E010056
-:10786000185E0100195E01001A5E01001B5E010036
-:107870001C5E01001D5E01001E5E01001F5E010016
-:10788000205E0100215E0100225E0100235E0100F6
-:10789000245E0100255E0100265E0100275E0100D6
-:1078A000285E0100295E01002A5E01002B5E0100B6
-:1078B0002C5E01002D5E01002E5E01002F5E010096
-:1078C000305E0100315E0100325E0100335E010076
-:1078D000345E0100355E0100365E0100375E010056
-:1078E000385E0100395E01003A5E01003B5E010036
-:1078F0003C5E01003D5E01003E5E01003F5E010016
-:10790000405E0100415E0100425E0100435E0100F5
-:10791000445E0100455E0100465E0100475E0100D5
-:10792000485E0100495E01004A5E01004B5E0100B5
-:107930004C5E01004D5E01004E5E01004F5E010095
-:10794000505E0100515E0100525E0100535E010075
-:10795000545E0100555E0100565E0100575E010055
-:10796000585E0100595E01005A5E01005B5E010035
-:107970005C5E01005D5E01005E5E01005F5E010015
-:10798000605E0100615E0100625E0100635E0100F5
-:10799000645E0100655E0100665E0100675E0100D5
-:1079A000685E0100695E01006A5E01006B5E0100B5
-:1079B0006C5E01006D5E01006E5E01006F5E010095
-:1079C000705E0100715E0100725E0100735E010075
-:1079D000745E0100755E0100765E0100775E010055
-:1079E000785E0100795E01007A5E01007B5E010035
-:1079F0007C5E01007D5E01007E5E01007F5E010015
-:107A0000805E0100815E0100825E0100835E0100F4
-:107A1000845E0100855E0100865E0100875E0100D4
-:107A2000885E0100895E01008A5E01008B5E0100B4
-:107A30008C5E01008D5E01008E5E01008F5E010094
-:107A4000905E0100915E0100925E0100935E010074
-:107A5000945E0100955E0100965E0100975E010054
-:107A6000985E0100995E01009A5E01009B5E010034
-:107A70009C5E01009D5E01009E5E01009F5E010014
-:107A8000A05E0100A15E0100A25E0100A35E0100F4
-:107A9000A45E0100A55E0100A65E0100A75E0100D4
-:107AA000A85E0100A95E0100AA5E0100AB5E0100B4
-:107AB000AC5E0100AD5E0100AE5E0100AF5E010094
-:107AC000B05E0100B15E0100B25E0100B35E010074
-:107AD000B45E0100B55E0100B65E0100B75E010054
-:107AE000B85E0100B95E0100BA5E0100BB5E010034
-:107AF000BC5E0100BD5E0100BE5E0100BF5E010014
-:107B0000C05E0100C15E0100C25E0100C35E0100F3
-:107B1000C45E0100C55E0100C65E0100C75E0100D3
-:107B2000C85E0100C95E0100CA5E0100CB5E0100B3
-:107B3000CC5E0100CD5E0100CE5E0100CF5E010093
-:107B4000D05E0100D15E0100D25E0100D35E010073
-:107B5000D45E0100D55E0100D65E0100D75E010053
-:107B6000D85E0100D95E0100DA5E0100DB5E010033
-:107B7000DC5E0100DD5E0100DE5E0100DF5E010013
-:107B8000E05E0100E15E0100E25E0100E35E0100F3
-:107B9000E45E0100E55E0100E65E0100E75E0100D3
-:107BA000E85E0100E95E0100EA5E0100EB5E0100B3
-:107BB000EC5E0100ED5E0100EE5E0100EF5E010093
-:107BC000F05E0100F15E0100F25E0100F35E010073
-:107BD000F45E0100F55E0100F65E0100F75E010053
-:107BE000F85E0100F95E0100FA5E0100FB5E010033
-:107BF000FC5E0100FD5E0100FE5E0100FF5E010013
-:107C0000005F0100015F0100025F0100035F0100EE
-:107C1000045F0100055F0100065F0100075F0100CE
-:107C2000085F0100095F01000A5F01000B5F0100AE
-:107C30000C5F01000D5F01000E5F01000F5F01008E
-:107C4000105F0100115F0100125F0100135F01006E
-:107C5000145F0100155F0100165F0100175F01004E
-:107C6000185F0100195F01001A5F01001B5F01002E
-:107C70001C5F01001D5F01001E5F01001F5F01000E
-:107C8000205F0100215F0100225F0100235F0100EE
-:107C9000245F0100255F0100265F0100275F0100CE
-:107CA000285F0100295F01002A5F01002B5F0100AE
-:107CB0002C5F01002D5F01002E5F01002F5F01008E
-:107CC000305F0100315F0100325F0100335F01006E
-:107CD000345F0100355F0100365F0100375F01004E
-:107CE000385F0100395F01003A5F01003B5F01002E
-:107CF0003C5F01003D5F01003E5F01003F5F01000E
-:107D0000405F0100415F0100425F0100435F0100ED
-:107D1000445F0100455F0100465F0100475F0100CD
-:107D2000485F0100495F01004A5F01004B5F0100AD
-:107D30004C5F01004D5F01004E5F01004F5F01008D
-:107D4000505F0100515F0100525F0100535F01006D
-:107D5000545F0100555F0100565F0100575F01004D
-:107D6000585F0100595F01005A5F01005B5F01002D
-:107D70005C5F01005D5F01005E5F01005F5F01000D
-:107D8000605F0100615F0100625F0100635F0100ED
-:107D9000645F0100655F0100665F0100675F0100CD
-:107DA000685F0100695F01006A5F01006B5F0100AD
-:107DB0006C5F01006D5F01006E5F01006F5F01008D
-:107DC000705F0100715F0100725F0100735F01006D
-:107DD000745F0100755F0100765F0100775F01004D
-:107DE000785F0100795F01007A5F01007B5F01002D
-:107DF0007C5F01007D5F01007E5F01007F5F01000D
-:107E0000805F0100815F0100825F0100835F0100EC
-:107E1000845F0100855F0100865F0100875F0100CC
-:107E2000885F0100895F01008A5F01008B5F0100AC
-:107E30008C5F01008D5F01008E5F01008F5F01008C
-:107E4000905F0100915F0100925F0100935F01006C
-:107E5000945F0100955F0100965F0100975F01004C
-:107E6000985F0100995F01009A5F01009B5F01002C
-:107E70009C5F01009D5F01009E5F01009F5F01000C
-:107E8000A05F0100A15F0100A25F0100A35F0100EC
-:107E9000A45F0100A55F0100A65F0100A75F0100CC
-:107EA000A85F0100A95F0100AA5F0100AB5F0100AC
-:107EB000AC5F0100AD5F0100AE5F0100AF5F01008C
-:107EC000B05F0100B15F0100B25F0100B35F01006C
-:107ED000B45F0100B55F0100B65F0100B75F01004C
-:107EE000B85F0100B95F0100BA5F0100BB5F01002C
-:107EF000BC5F0100BD5F0100BE5F0100BF5F01000C
-:107F0000C05F0100C15F0100C25F0100C35F0100EB
-:107F1000C45F0100C55F0100C65F0100C75F0100CB
-:107F2000C85F0100C95F0100CA5F0100CB5F0100AB
-:107F3000CC5F0100CD5F0100CE5F0100CF5F01008B
-:107F4000D05F0100D15F0100D25F0100D35F01006B
-:107F5000D45F0100D55F0100D65F0100D75F01004B
-:107F6000D85F0100D95F0100DA5F0100DB5F01002B
-:107F7000DC5F0100DD5F0100DE5F0100DF5F01000B
-:107F8000E05F0100E15F0100E25F0100E35F0100EB
-:107F9000E45F0100E55F0100E65F0100E75F0100CB
-:107FA000E85F0100E95F0100EA5F0100EB5F0100AB
-:107FB000EC5F0100ED5F0100EE5F0100EF5F01008B
-:107FC000F05F0100F15F0100F25F0100F35F01006B
-:107FD000F45F0100F55F0100F65F0100F75F01004B
-:107FE000F85F0100F95F0100FA5F0100FB5F01002B
-:107FF000FC5F0100FD5F0100FE5F0100FF5F01000B
-:1080000000600100016001000260010003600100E6
-:1080100004600100056001000660010007600100C6
-:1080200008600100096001000A6001000B600100A6
-:108030000C6001000D6001000E6001000F60010086
-:108040001060010011600100126001001360010066
-:108050001460010015600100166001001760010046
-:1080600018600100196001001A6001001B60010026
-:108070001C6001001D6001001E6001001F60010006
-:1080800020600100216001002260010023600100E6
-:1080900024600100256001002660010027600100C6
-:1080A00028600100296001002A6001002B600100A6
-:1080B0002C6001002D6001002E6001002F60010086
-:1080C0003060010031600100326001003360010066
-:1080D0003460010035600100366001003760010046
-:1080E00038600100396001003A6001003B60010026
-:1080F0003C6001003D6001003E6001003F60010006
-:1081000040600100416001004260010043600100E5
-:1081100044600100456001004660010047600100C5
-:1081200048600100496001004A6001004B600100A5
-:108130004C6001004D6001004E6001004F60010085
-:108140005060010051600100526001005360010065
-:108150005460010055600100566001005760010045
-:1081600058600100596001005A6001005B60010025
-:108170005C6001005D6001005E6001005F60010005
-:1081800060600100616001006260010063600100E5
-:1081900064600100656001006660010067600100C5
-:1081A00068600100696001006A6001006B600100A5
-:1081B0006C6001006D6001006E6001006F60010085
-:1081C0007060010071600100726001007360010065
-:1081D0007460010075600100766001007760010045
-:1081E00078600100796001007A6001007B60010025
-:1081F0007C6001007D6001007E6001007F60010005
-:1082000080600100816001008260010083600100E4
-:1082100084600100856001008660010087600100C4
-:1082200088600100896001008A6001008B600100A4
-:108230008C6001008D6001008E6001008F60010084
-:108240009060010091600100926001009360010064
-:108250009460010095600100966001009760010044
-:1082600098600100996001009A6001009B60010024
-:108270009C6001009D6001009E6001009F60010004
-:10828000A0600100A1600100A2600100A3600100E4
-:10829000A4600100A5600100A6600100A7600100C4
-:1082A000A8600100A9600100AA600100AB600100A4
-:1082B000AC600100AD600100AE600100AF60010084
-:1082C000B0600100B1600100B2600100B360010064
-:1082D000B4600100B5600100B6600100B760010044
-:1082E000B8600100B9600100BA600100BB60010024
-:1082F000BC600100BD600100BE600100BF60010004
-:10830000C0600100C1600100C2600100C3600100E3
-:10831000C4600100C5600100C6600100C7600100C3
-:10832000C8600100C9600100CA600100CB600100A3
-:10833000CC600100CD600100CE600100CF60010083
-:10834000D0600100D1600100D2600100D360010063
-:10835000D4600100D5600100D6600100D760010043
-:10836000D8600100D9600100DA600100DB60010023
-:10837000DC600100DD600100DE600100DF60010003
-:10838000E0600100E1600100E2600100E3600100E3
-:10839000E4600100E5600100E6600100E7600100C3
-:1083A000E8600100E9600100EA600100EB600100A3
-:1083B000EC600100ED600100EE600100EF60010083
-:1083C000F0600100F1600100F2600100F360010063
-:1083D000F4600100F5600100F6600100F760010043
-:1083E000F8600100F9600100FA600100FB60010023
-:1083F000FC600100FD600100FE600100FF60010003
-:1084000000610100016101000261010003610100DE
-:1084100004610100056101000661010007610100BE
-:1084200008610100096101000A6101000B6101009E
-:108430000C6101000D6101000E6101000F6101007E
-:10844000106101001161010012610100136101005E
-:10845000146101001561010016610100176101003E
-:1084600018610100196101001A6101001B6101001E
-:108470001C6101001D6101001E6101001F610100FE
-:1084800020610100216101002261010023610100DE
-:1084900024610100256101002661010027610100BE
-:1084A00028610100296101002A6101002B6101009E
-:1084B0002C6101002D6101002E6101002F6101007E
-:1084C000306101003161010032610100336101005E
-:1084D000346101003561010036610100376101003E
-:1084E00038610100396101003A6101003B6101001E
-:1084F0003C6101003D6101003E6101003F610100FE
-:1085000040610100416101004261010043610100DD
-:1085100044610100456101004661010047610100BD
-:1085200048610100496101004A6101004B6101009D
-:108530004C6101004D6101004E6101004F6101007D
-:10854000506101005161010052610100536101005D
-:10855000546101005561010056610100576101003D
-:1085600058610100596101005A6101005B6101001D
-:108570005C6101005D6101005E6101005F610100FD
-:1085800060610100616101006261010063610100DD
-:1085900064610100656101006661010067610100BD
-:1085A00068610100696101006A6101006B6101009D
-:1085B0006C6101006D6101006E6101006F6101007D
-:1085C000706101007161010072610100736101005D
-:1085D000746101007561010076610100776101003D
-:1085E00078610100796101007A6101007B6101001D
-:1085F0007C6101007D6101007E6101007F610100FD
-:1086000080610100816101008261010083610100DC
-:1086100084610100856101008661010087610100BC
-:1086200088610100896101008A6101008B6101009C
-:108630008C6101008D6101008E6101008F6101007C
-:10864000906101009161010092610100936101005C
-:10865000946101009561010096610100976101003C
-:1086600098610100996101009A6101009B6101001C
-:108670009C6101009D6101009E6101009F610100FC
-:10868000A0610100A1610100A2610100A3610100DC
-:10869000A4610100A5610100A6610100A7610100BC
-:1086A000A8610100A9610100AA610100AB6101009C
-:1086B000AC610100AD610100AE610100AF6101007C
-:1086C000B0610100B1610100B2610100B36101005C
-:1086D000B4610100B5610100B6610100B76101003C
-:1086E000B8610100B9610100BA610100BB6101001C
-:1086F000BC610100BD610100BE610100BF610100FC
-:10870000C0610100C1610100C2610100C3610100DB
-:10871000C4610100C5610100C6610100C7610100BB
-:10872000C8610100C9610100CA610100CB6101009B
-:10873000CC610100CD610100CE610100CF6101007B
-:10874000D0610100D1610100D2610100D36101005B
-:10875000D4610100D5610100D6610100D76101003B
-:10876000D8610100D9610100DA610100DB6101001B
-:10877000DC610100DD610100DE610100DF610100FB
-:10878000E0610100E1610100E2610100E3610100DB
-:10879000E4610100E5610100E6610100E7610100BB
-:1087A000E8610100E9610100EA610100EB6101009B
-:1087B000EC610100ED610100EE610100EF6101007B
-:1087C000F0610100F1610100F2610100F36101005B
-:1087D000F4610100F5610100F6610100F76101003B
-:1087E000F8610100F9610100FA610100FB6101001B
-:1087F000FC610100FD610100FE610100FF610100FB
-:1088000000620100016201000262010003620100D6
-:1088100004620100056201000662010007620100B6
-:1088200008620100096201000A6201000B62010096
-:108830000C6201000D6201000E6201000F62010076
-:108840001062010011620100126201001362010056
-:108850001462010015620100166201001762010036
-:1088600018620100196201001A6201001B62010016
-:108870001C6201001D6201001E6201001F620100F6
-:1088800020620100216201002262010023620100D6
-:1088900024620100256201002662010027620100B6
-:1088A00028620100296201002A6201002B62010096
-:1088B0002C6201002D6201002E6201002F62010076
-:1088C0003062010031620100326201003362010056
-:1088D0003462010035620100366201003762010036
-:1088E00038620100396201003A6201003B62010016
-:1088F0003C6201003D6201003E6201003F620100F6
-:1089000040620100416201004262010043620100D5
-:1089100044620100456201004662010047620100B5
-:1089200048620100496201004A6201004B62010095
-:108930004C6201004D6201004E6201004F62010075
-:108940005062010051620100526201005362010055
-:108950005462010055620100566201005762010035
-:1089600058620100596201005A6201005B62010015
-:108970005C6201005D6201005E6201005F620100F5
-:1089800060620100616201006262010063620100D5
-:1089900064620100656201006662010067620100B5
-:1089A00068620100696201006A6201006B62010095
-:1089B0006C6201006D6201006E6201006F62010075
-:1089C0007062010071620100726201007362010055
-:1089D0007462010075620100766201007762010035
-:1089E00078620100796201007A6201007B62010015
-:1089F0007C6201007D6201007E6201007F620100F5
-:108A000080620100816201008262010083620100D4
-:108A100084620100856201008662010087620100B4
-:108A200088620100896201008A6201008B62010094
-:108A30008C6201008D6201008E6201008F62010074
-:108A40009062010091620100926201009362010054
-:108A50009462010095620100966201009762010034
-:108A600098620100996201009A6201009B62010014
-:108A70009C6201009D6201009E6201009F620100F4
-:108A8000A0620100A1620100A2620100A3620100D4
-:108A9000A4620100A5620100A6620100A7620100B4
-:108AA000A8620100A9620100AA620100AB62010094
-:108AB000AC620100AD620100AE620100AF62010074
-:108AC000B0620100B1620100B2620100B362010054
-:108AD000B4620100B5620100B6620100B762010034
-:108AE000B8620100B9620100BA620100BB62010014
-:108AF000BC620100BD620100BE620100BF620100F4
-:108B0000C0620100C1620100C2620100C3620100D3
-:108B1000C4620100C5620100C6620100C7620100B3
-:108B2000C8620100C9620100CA620100CB62010093
-:108B3000CC620100CD620100CE620100CF62010073
-:108B4000D0620100D1620100D2620100D362010053
-:108B5000D4620100D5620100D6620100D762010033
-:108B6000D8620100D9620100DA620100DB62010013
-:108B7000DC620100DD620100DE620100DF620100F3
-:108B8000E0620100E1620100E2620100E3620100D3
-:108B9000E4620100E5620100E6620100E7620100B3
-:108BA000E8620100E9620100EA620100EB62010093
-:108BB000EC620100ED620100EE620100EF62010073
-:108BC000F0620100F1620100F2620100F362010053
-:108BD000F4620100F5620100F6620100F762010033
-:108BE000F8620100F9620100FA620100FB62010013
-:108BF000FC620100FD620100FE620100FF620100F3
-:108C000000630100016301000263010003630100CE
-:108C100004630100056301000663010007630100AE
-:108C200008630100096301000A6301000B6301008E
-:108C30000C6301000D6301000E6301000F6301006E
-:108C4000106301001163010012630100136301004E
-:108C5000146301001563010016630100176301002E
-:108C600018630100196301001A6301001B6301000E
-:108C70001C6301001D6301001E6301001F630100EE
-:108C800020630100216301002263010023630100CE
-:108C900024630100256301002663010027630100AE
-:108CA00028630100296301002A6301002B6301008E
-:108CB0002C6301002D6301002E6301002F6301006E
-:108CC000306301003163010032630100336301004E
-:108CD000346301003563010036630100376301002E
-:108CE00038630100396301003A6301003B6301000E
-:108CF0003C6301003D6301003E6301003F630100EE
-:108D000040630100416301004263010043630100CD
-:108D100044630100456301004663010047630100AD
-:108D200048630100496301004A6301004B6301008D
-:108D30004C6301004D6301004E6301004F6301006D
-:108D4000506301005163010052630100536301004D
-:108D5000546301005563010056630100576301002D
-:108D600058630100596301005A6301005B6301000D
-:108D70005C6301005D6301005E6301005F630100ED
-:108D800060630100616301006263010063630100CD
-:108D900064630100656301006663010067630100AD
-:108DA00068630100696301006A6301006B6301008D
-:108DB0006C6301006D6301006E6301006F6301006D
-:108DC000706301007163010072630100736301004D
-:108DD000746301007563010076630100776301002D
-:108DE00078630100796301007A6301007B6301000D
-:108DF0007C6301007D6301007E6301007F630100ED
-:108E000080630100816301008263010083630100CC
-:108E100084630100856301008663010087630100AC
-:108E200088630100896301008A6301008B6301008C
-:108E30008C6301008D6301008E6301008F6301006C
-:108E4000906301009163010092630100936301004C
-:108E5000946301009563010096630100976301002C
-:108E600098630100996301009A6301009B6301000C
-:108E70009C6301009D6301009E6301009F630100EC
-:108E8000A0630100A1630100A2630100A3630100CC
-:108E9000A4630100A5630100A6630100A7630100AC
-:108EA000A8630100A9630100AA630100AB6301008C
-:108EB000AC630100AD630100AE630100AF6301006C
-:108EC000B0630100B1630100B2630100B36301004C
-:108ED000B4630100B5630100B6630100B76301002C
-:108EE000B8630100B9630100BA630100BB6301000C
-:108EF000BC630100BD630100BE630100BF630100EC
-:108F0000C0630100C1630100C2630100C3630100CB
-:108F1000C4630100C5630100C6630100C7630100AB
-:108F2000C8630100C9630100CA630100CB6301008B
-:108F3000CC630100CD630100CE630100CF6301006B
-:108F4000D0630100D1630100D2630100D36301004B
-:108F5000D4630100D5630100D6630100D76301002B
-:108F6000D8630100D9630100DA630100DB6301000B
-:108F7000DC630100DD630100DE630100DF630100EB
-:108F8000E0630100E1630100E2630100E3630100CB
-:108F9000E4630100E5630100E6630100E7630100AB
-:108FA000E8630100E9630100EA630100EB6301008B
-:108FB000EC630100ED630100EE630100EF6301006B
-:108FC000F0630100F1630100F2630100F36301004B
-:108FD000F4630100F5630100F6630100F76301002B
-:108FE000F8630100F9630100FA630100FB6301000B
-:108FF000FC630100FD630100FE630100FF630100EB
-:1090000000640100016401000264010003640100C6
-:1090100004640100056401000664010007640100A6
-:1090200008640100096401000A6401000B64010086
-:109030000C6401000D6401000E6401000F64010066
-:109040001064010011640100126401001364010046
-:109050001464010015640100166401001764010026
-:1090600018640100196401001A6401001B64010006
-:109070001C6401001D6401001E6401001F640100E6
-:1090800020640100216401002264010023640100C6
-:1090900024640100256401002664010027640100A6
-:1090A00028640100296401002A6401002B64010086
-:1090B0002C6401002D6401002E6401002F64010066
-:1090C0003064010031640100326401003364010046
-:1090D0003464010035640100366401003764010026
-:1090E00038640100396401003A6401003B64010006
-:1090F0003C6401003D6401003E6401003F640100E6
-:1091000040640100416401004264010043640100C5
-:1091100044640100456401004664010047640100A5
-:1091200048640100496401004A6401004B64010085
-:109130004C6401004D6401004E6401004F64010065
-:109140005064010051640100526401005364010045
-:109150005464010055640100566401005764010025
-:1091600058640100596401005A6401005B64010005
-:109170005C6401005D6401005E6401005F640100E5
-:1091800060640100616401006264010063640100C5
-:1091900064640100656401006664010067640100A5
-:1091A00068640100696401006A6401006B64010085
-:1091B0006C6401006D6401006E6401006F64010065
-:1091C0007064010071640100726401007364010045
-:1091D0007464010075640100766401007764010025
-:1091E00078640100796401007A6401007B64010005
-:1091F0007C6401007D6401007E6401007F640100E5
-:1092000080640100816401008264010083640100C4
-:1092100084640100856401008664010087640100A4
-:1092200088640100896401008A6401008B64010084
-:109230008C6401008D6401008E6401008F64010064
-:109240009064010091640100926401009364010044
-:109250009464010095640100966401009764010024
-:1092600098640100996401009A6401009B64010004
-:109270009C6401009D6401009E6401009F640100E4
-:10928000A0640100A1640100A2640100A3640100C4
-:10929000A4640100A5640100A6640100A7640100A4
-:1092A000A8640100A9640100AA640100AB64010084
-:1092B000AC640100AD640100AE640100AF64010064
-:1092C000B0640100B1640100B2640100B364010044
-:1092D000B4640100B5640100B6640100B764010024
-:1092E000B8640100B9640100BA640100BB64010004
-:1092F000BC640100BD640100BE640100BF640100E4
-:10930000C0640100C1640100C2640100C3640100C3
-:10931000C4640100C5640100C6640100C7640100A3
-:10932000C8640100C9640100CA640100CB64010083
-:10933000CC640100CD640100CE640100CF64010063
-:10934000D0640100D1640100D2640100D364010043
-:10935000D4640100D5640100D6640100D764010023
-:10936000D8640100D9640100DA640100DB64010003
-:10937000DC640100DD640100DE640100DF640100E3
-:10938000E0640100E1640100E2640100E3640100C3
-:10939000E4640100E5640100E6640100E7640100A3
-:1093A000E8640100E9640100EA640100EB64010083
-:1093B000EC640100ED640100EE640100EF64010063
-:1093C000F0640100F1640100F2640100F364010043
-:1093D000F4640100F5640100F6640100F764010023
-:1093E000F8640100F9640100FA640100FB64010003
-:1093F000FC640100FD640100FE640100FF640100E3
-:1094000000650100016501000265010003650100BE
-:10941000046501000565010006650100076501009E
-:1094200008650100096501000A6501000B6501007E
-:109430000C6501000D6501000E6501000F6501005E
-:10944000106501001165010012650100136501003E
-:10945000146501001565010016650100176501001E
-:1094600018650100196501001A6501001B650100FE
-:109470001C6501001D6501001E6501001F650100DE
-:1094800020650100216501002265010023650100BE
-:10949000246501002565010026650100276501009E
-:1094A00028650100296501002A6501002B6501007E
-:1094B0002C6501002D6501002E6501002F6501005E
-:1094C000306501003165010032650100336501003E
-:1094D000346501003565010036650100376501001E
-:1094E00038650100396501003A6501003B650100FE
-:1094F0003C6501003D6501003E6501003F650100DE
-:1095000040650100416501004265010043650100BD
-:10951000446501004565010046650100476501009D
-:1095200048650100496501004A6501004B6501007D
-:109530004C6501004D6501004E6501004F6501005D
-:10954000506501005165010052650100536501003D
-:10955000546501005565010056650100576501001D
-:1095600058650100596501005A6501005B650100FD
-:109570005C6501005D6501005E6501005F650100DD
-:1095800060650100616501006265010063650100BD
-:10959000646501006565010066650100676501009D
-:1095A00068650100696501006A6501006B6501007D
-:1095B0006C6501006D6501006E6501006F6501005D
-:1095C000706501007165010072650100736501003D
-:1095D000746501007565010076650100776501001D
-:1095E00078650100796501007A6501007B650100FD
-:1095F0007C6501007D6501007E6501007F650100DD
-:1096000080650100816501008265010083650100BC
-:10961000846501008565010086650100876501009C
-:1096200088650100896501008A6501008B6501007C
-:109630008C6501008D6501008E6501008F6501005C
-:10964000906501009165010092650100936501003C
-:10965000946501009565010096650100976501001C
-:1096600098650100996501009A6501009B650100FC
-:109670009C6501009D6501009E6501009F650100DC
-:10968000A0650100A1650100A2650100A3650100BC
-:10969000A4650100A5650100A6650100A76501009C
-:1096A000A8650100A9650100AA650100AB6501007C
-:1096B000AC650100AD650100AE650100AF6501005C
-:1096C000B0650100B1650100B2650100B36501003C
-:1096D000B4650100B5650100B6650100B76501001C
-:1096E000B8650100B9650100BA650100BB650100FC
-:1096F000BC650100BD650100BE650100BF650100DC
-:10970000C0650100C1650100C2650100C3650100BB
-:10971000C4650100C5650100C6650100C76501009B
-:10972000C8650100C9650100CA650100CB6501007B
-:10973000CC650100CD650100CE650100CF6501005B
-:10974000D0650100D1650100D2650100D36501003B
-:10975000D4650100D5650100D6650100D76501001B
-:10976000D8650100D9650100DA650100DB650100FB
-:10977000DC650100DD650100DE650100DF650100DB
-:10978000E0650100E1650100E2650100E3650100BB
-:10979000E4650100E5650100E6650100E76501009B
-:1097A000E8650100E9650100EA650100EB6501007B
-:1097B000EC650100ED650100EE650100EF6501005B
-:1097C000F0650100F1650100F2650100F36501003B
-:1097D000F4650100F5650100F6650100F76501001B
-:1097E000F8650100F9650100FA650100FB650100FB
-:1097F000FC650100FD650100FE650100FF650100DB
-:1098000000660100016601000266010003660100B6
-:109810000466010005660100066601000766010096
-:1098200008660100096601000A6601000B66010076
-:109830000C6601000D6601000E6601000F66010056
-:109840001066010011660100126601001366010036
-:109850001466010015660100166601001766010016
-:1098600018660100196601001A6601001B660100F6
-:109870001C6601001D6601001E6601001F660100D6
-:1098800020660100216601002266010023660100B6
-:109890002466010025660100266601002766010096
-:1098A00028660100296601002A6601002B66010076
-:1098B0002C6601002D6601002E6601002F66010056
-:1098C0003066010031660100326601003366010036
-:1098D0003466010035660100366601003766010016
-:1098E00038660100396601003A6601003B660100F6
-:1098F0003C6601003D6601003E6601003F660100D6
-:1099000040660100416601004266010043660100B5
-:109910004466010045660100466601004766010095
-:1099200048660100496601004A6601004B66010075
-:109930004C6601004D6601004E6601004F66010055
-:109940005066010051660100526601005366010035
-:109950005466010055660100566601005766010015
-:1099600058660100596601005A6601005B660100F5
-:109970005C6601005D6601005E6601005F660100D5
-:1099800060660100616601006266010063660100B5
-:109990006466010065660100666601006766010095
-:1099A00068660100696601006A6601006B66010075
-:1099B0006C6601006D6601006E6601006F66010055
-:1099C0007066010071660100726601007366010035
-:1099D0007466010075660100766601007766010015
-:1099E00078660100796601007A6601007B660100F5
-:1099F0007C6601007D6601007E6601007F660100D5
-:109A000080660100816601008266010083660100B4
-:109A10008466010085660100866601008766010094
-:109A200088660100896601008A6601008B66010074
-:109A30008C6601008D6601008E6601008F66010054
-:109A40009066010091660100926601009366010034
-:109A50009466010095660100966601009766010014
-:109A600098660100996601009A6601009B660100F4
-:109A70009C6601009D6601009E6601009F660100D4
-:109A8000A0660100A1660100A2660100A3660100B4
-:109A9000A4660100A5660100A6660100A766010094
-:109AA000A8660100A9660100AA660100AB66010074
-:109AB000AC660100AD660100AE660100AF66010054
-:109AC000B0660100B1660100B2660100B366010034
-:109AD000B4660100B5660100B6660100B766010014
-:109AE000B8660100B9660100BA660100BB660100F4
-:109AF000BC660100BD660100BE660100BF660100D4
-:109B0000C0660100C1660100C2660100C3660100B3
-:109B1000C4660100C5660100C6660100C766010093
-:109B2000C8660100C9660100CA660100CB66010073
-:109B3000CC660100CD660100CE660100CF66010053
-:109B4000D0660100D1660100D2660100D366010033
-:109B5000D4660100D5660100D6660100D766010013
-:109B6000D8660100D9660100DA660100DB660100F3
-:109B7000DC660100DD660100DE660100DF660100D3
-:109B8000E0660100E1660100E2660100E3660100B3
-:109B9000E4660100E5660100E6660100E766010093
-:109BA000E8660100E9660100EA660100EB66010073
-:109BB000EC660100ED660100EE660100EF66010053
-:109BC000F0660100F1660100F2660100F366010033
-:109BD000F4660100F5660100F6660100F766010013
-:109BE000F8660100F9660100FA660100FB660100F3
-:109BF000FC660100FD660100FE660100FF660100D3
-:109C000000670100016701000267010003670100AE
-:109C1000046701000567010006670100076701008E
-:109C200008670100096701000A6701000B6701006E
-:109C30000C6701000D6701000E6701000F6701004E
-:109C4000106701001167010012670100136701002E
-:109C5000146701001567010016670100176701000E
-:109C600018670100196701001A6701001B670100EE
-:109C70001C6701001D6701001E6701001F670100CE
-:109C800020670100216701002267010023670100AE
-:109C9000246701002567010026670100276701008E
-:109CA00028670100296701002A6701002B6701006E
-:109CB0002C6701002D6701002E6701002F6701004E
-:109CC000306701003167010032670100336701002E
-:109CD000346701003567010036670100376701000E
-:109CE00038670100396701003A6701003B670100EE
-:109CF0003C6701003D6701003E6701003F670100CE
-:109D000040670100416701004267010043670100AD
-:109D1000446701004567010046670100476701008D
-:109D200048670100496701004A6701004B6701006D
-:109D30004C6701004D6701004E6701004F6701004D
-:109D4000506701005167010052670100536701002D
-:109D5000546701005567010056670100576701000D
-:109D600058670100596701005A6701005B670100ED
-:109D70005C6701005D6701005E6701005F670100CD
-:109D800060670100616701006267010063670100AD
-:109D9000646701006567010066670100676701008D
-:109DA00068670100696701006A6701006B6701006D
-:109DB0006C6701006D6701006E6701006F6701004D
-:109DC000706701007167010072670100736701002D
-:109DD000746701007567010076670100776701000D
-:109DE00078670100796701007A6701007B670100ED
-:109DF0007C6701007D6701007E6701007F670100CD
-:109E000080670100816701008267010083670100AC
-:109E1000846701008567010086670100876701008C
-:109E200088670100896701008A6701008B6701006C
-:109E30008C6701008D6701008E6701008F6701004C
-:109E4000906701009167010092670100936701002C
-:109E5000946701009567010096670100976701000C
-:109E600098670100996701009A6701009B670100EC
-:109E70009C6701009D6701009E6701009F670100CC
-:109E8000A0670100A1670100A2670100A3670100AC
-:109E9000A4670100A5670100A6670100A76701008C
-:109EA000A8670100A9670100AA670100AB6701006C
-:109EB000AC670100AD670100AE670100AF6701004C
-:109EC000B0670100B1670100B2670100B36701002C
-:109ED000B4670100B5670100B6670100B76701000C
-:109EE000B8670100B9670100BA670100BB670100EC
-:109EF000BC670100BD670100BE670100BF670100CC
-:109F0000C0670100C1670100C2670100C3670100AB
-:109F1000C4670100C5670100C6670100C76701008B
-:109F2000C8670100C9670100CA670100CB6701006B
-:109F3000CC670100CD670100CE670100CF6701004B
-:109F4000D0670100D1670100D2670100D36701002B
-:109F5000D4670100D5670100D6670100D76701000B
-:109F6000D8670100D9670100DA670100DB670100EB
-:109F7000DC670100DD670100DE670100DF670100CB
-:109F8000E0670100E1670100E2670100E3670100AB
-:109F9000E4670100E5670100E6670100E76701008B
-:109FA000E8670100E9670100EA670100EB6701006B
-:109FB000EC670100ED670100EE670100EF6701004B
-:109FC000F0670100F1670100F2670100F36701002B
-:109FD000F4670100F5670100F6670100F76701000B
-:109FE000F8670100F9670100FA670100FB670100EB
-:109FF000FC670100FD670100FE670100FF670100CB
-:10A0000000680100016801000268010003680100A6
-:10A010000468010005680100066801000768010086
-:10A0200008680100096801000A6801000B68010066
-:10A030000C6801000D6801000E6801000F68010046
-:10A040001068010011680100126801001368010026
-:10A050001468010015680100166801001768010006
-:10A0600018680100196801001A6801001B680100E6
-:10A070001C6801001D6801001E6801001F680100C6
-:10A0800020680100216801002268010023680100A6
-:10A090002468010025680100266801002768010086
-:10A0A00028680100296801002A6801002B68010066
-:10A0B0002C6801002D6801002E6801002F68010046
-:10A0C0003068010031680100326801003368010026
-:10A0D0003468010035680100366801003768010006
-:10A0E00038680100396801003A6801003B680100E6
-:10A0F0003C6801003D6801003E6801003F680100C6
-:10A1000040680100416801004268010043680100A5
-:10A110004468010045680100466801004768010085
-:10A1200048680100496801004A6801004B68010065
-:10A130004C6801004D6801004E6801004F68010045
-:10A140005068010051680100526801005368010025
-:10A150005468010055680100566801005768010005
-:10A1600058680100596801005A6801005B680100E5
-:10A170005C6801005D6801005E6801005F680100C5
-:10A1800060680100616801006268010063680100A5
-:10A190006468010065680100666801006768010085
-:10A1A00068680100696801006A6801006B68010065
-:10A1B0006C6801006D6801006E6801006F68010045
-:10A1C0007068010071680100726801007368010025
-:10A1D0007468010075680100766801007768010005
-:10A1E00078680100796801007A6801007B680100E5
-:10A1F0007C6801007D6801007E6801007F680100C5
-:10A2000080680100816801008268010083680100A4
-:10A210008468010085680100866801008768010084
-:10A2200088680100896801008A6801008B68010064
-:10A230008C6801008D6801008E6801008F68010044
-:10A240009068010091680100926801009368010024
-:10A250009468010095680100966801009768010004
-:10A2600098680100996801009A6801009B680100E4
-:10A270009C6801009D6801009E6801009F680100C4
-:10A28000A0680100A1680100A2680100A3680100A4
-:10A29000A4680100A5680100A6680100A768010084
-:10A2A000A8680100A9680100AA680100AB68010064
-:10A2B000AC680100AD680100AE680100AF68010044
-:10A2C000B0680100B1680100B2680100B368010024
-:10A2D000B4680100B5680100B6680100B768010004
-:10A2E000B8680100B9680100BA680100BB680100E4
-:10A2F000BC680100BD680100BE680100BF680100C4
-:10A30000C0680100C1680100C2680100C3680100A3
-:10A31000C4680100C5680100C6680100C768010083
-:10A32000C8680100C9680100CA680100CB68010063
-:10A33000CC680100CD680100CE680100CF68010043
-:10A34000D0680100D1680100D2680100D368010023
-:10A35000D4680100D5680100D6680100D768010003
-:10A36000D8680100D9680100DA680100DB680100E3
-:10A37000DC680100DD680100DE680100DF680100C3
-:10A38000E0680100E1680100E2680100E3680100A3
-:10A39000E4680100E5680100E6680100E768010083
-:10A3A000E8680100E9680100EA680100EB68010063
-:10A3B000EC680100ED680100EE680100EF68010043
-:10A3C000F0680100F1680100F2680100F368010023
-:10A3D000F4680100F5680100F6680100F768010003
-:10A3E000F8680100F9680100FA680100FB680100E3
-:10A3F000FC680100FD680100FE680100FF680100C3
-:10A40000006901000169010002690100036901009E
-:10A41000046901000569010006690100076901007E
-:10A4200008690100096901000A6901000B6901005E
-:10A430000C6901000D6901000E6901000F6901003E
-:10A44000106901001169010012690100136901001E
-:10A4500014690100156901001669010017690100FE
-:10A4600018690100196901001A6901001B690100DE
-:10A470001C6901001D6901001E6901001F690100BE
-:10A48000206901002169010022690100236901009E
-:10A49000246901002569010026690100276901007E
-:10A4A00028690100296901002A6901002B6901005E
-:10A4B0002C6901002D6901002E6901002F6901003E
-:10A4C000306901003169010032690100336901001E
-:10A4D00034690100356901003669010037690100FE
-:10A4E00038690100396901003A6901003B690100DE
-:10A4F0003C6901003D6901003E6901003F690100BE
-:10A50000406901004169010042690100436901009D
-:10A51000446901004569010046690100476901007D
-:10A5200048690100496901004A6901004B6901005D
-:10A530004C6901004D6901004E6901004F6901003D
-:10A54000506901005169010052690100536901001D
-:10A5500054690100556901005669010057690100FD
-:10A5600058690100596901005A6901005B690100DD
-:10A570005C6901005D6901005E6901005F690100BD
-:10A58000606901006169010062690100636901009D
-:10A59000646901006569010066690100676901007D
-:10A5A00068690100696901006A6901006B6901005D
-:10A5B0006C6901006D6901006E6901006F6901003D
-:10A5C000706901007169010072690100736901001D
-:10A5D00074690100756901007669010077690100FD
-:10A5E00078690100796901007A6901007B690100DD
-:10A5F0007C6901007D6901007E6901007F690100BD
-:10A60000806901008169010082690100836901009C
-:10A61000846901008569010086690100876901007C
-:10A6200088690100896901008A6901008B6901005C
-:10A630008C6901008D6901008E6901008F6901003C
-:10A64000906901009169010092690100936901001C
-:10A6500094690100956901009669010097690100FC
-:10A6600098690100996901009A6901009B690100DC
-:10A670009C6901009D6901009E6901009F690100BC
-:10A68000A0690100A1690100A2690100A36901009C
-:10A69000A4690100A5690100A6690100A76901007C
-:10A6A000A8690100A9690100AA690100AB6901005C
-:10A6B000AC690100AD690100AE690100AF6901003C
-:10A6C000B0690100B1690100B2690100B36901001C
-:10A6D000B4690100B5690100B6690100B7690100FC
-:10A6E000B8690100B9690100BA690100BB690100DC
-:10A6F000BC690100BD690100BE690100BF690100BC
-:10A70000C0690100C1690100C2690100C36901009B
-:10A71000C4690100C5690100C6690100C76901007B
-:10A72000C8690100C9690100CA690100CB6901005B
-:10A73000CC690100CD690100CE690100CF6901003B
-:10A74000D0690100D1690100D2690100D36901001B
-:10A75000D4690100D5690100D6690100D7690100FB
-:10A76000D8690100D9690100DA690100DB690100DB
-:10A77000DC690100DD690100DE690100DF690100BB
-:10A78000E0690100E1690100E2690100E36901009B
-:10A79000E4690100E5690100E6690100E76901007B
-:10A7A000E8690100E9690100EA690100EB6901005B
-:10A7B000EC690100ED690100EE690100EF6901003B
-:10A7C000F0690100F1690100F2690100F36901001B
-:10A7D000F4690100F5690100F6690100F7690100FB
-:10A7E000F8690100F9690100FA690100FB690100DB
-:10A7F000FC690100FD690100FE690100FF690100BB
-:10A80000006A0100016A0100026A0100036A010096
-:10A81000046A0100056A0100066A0100076A010076
-:10A82000086A0100096A01000A6A01000B6A010056
-:10A830000C6A01000D6A01000E6A01000F6A010036
-:10A84000106A0100116A0100126A0100136A010016
-:10A85000146A0100156A0100166A0100176A0100F6
-:10A86000186A0100196A01001A6A01001B6A0100D6
-:10A870001C6A01001D6A01001E6A01001F6A0100B6
-:10A88000206A0100216A0100226A0100236A010096
-:10A89000246A0100256A0100266A0100276A010076
-:10A8A000286A0100296A01002A6A01002B6A010056
-:10A8B0002C6A01002D6A01002E6A01002F6A010036
-:10A8C000306A0100316A0100326A0100336A010016
-:10A8D000346A0100356A0100366A0100376A0100F6
-:10A8E000386A0100396A01003A6A01003B6A0100D6
-:10A8F0003C6A01003D6A01003E6A01003F6A0100B6
-:10A90000406A0100416A0100426A0100436A010095
-:10A91000446A0100456A0100466A0100476A010075
-:10A92000486A0100496A01004A6A01004B6A010055
-:10A930004C6A01004D6A01004E6A01004F6A010035
-:10A94000506A0100516A0100526A0100536A010015
-:10A95000546A0100556A0100566A0100576A0100F5
-:10A96000586A0100596A01005A6A01005B6A0100D5
-:10A970005C6A01005D6A01005E6A01005F6A0100B5
-:10A98000606A0100616A0100626A0100636A010095
-:10A99000646A0100656A0100666A0100676A010075
-:10A9A000686A0100696A01006A6A01006B6A010055
-:10A9B0006C6A01006D6A01006E6A01006F6A010035
-:10A9C000706A0100716A0100726A0100736A010015
-:10A9D000746A0100756A0100766A0100776A0100F5
-:10A9E000786A0100796A01007A6A01007B6A0100D5
-:10A9F0007C6A01007D6A01007E6A01007F6A0100B5
-:10AA0000806A0100816A0100826A0100836A010094
-:10AA1000846A0100856A0100866A0100876A010074
-:10AA2000886A0100896A01008A6A01008B6A010054
-:10AA30008C6A01008D6A01008E6A01008F6A010034
-:10AA4000906A0100916A0100926A0100936A010014
-:10AA5000946A0100956A0100966A0100976A0100F4
-:10AA6000986A0100996A01009A6A01009B6A0100D4
-:10AA70009C6A01009D6A01009E6A01009F6A0100B4
-:10AA8000A06A0100A16A0100A26A0100A36A010094
-:10AA9000A46A0100A56A0100A66A0100A76A010074
-:10AAA000A86A0100A96A0100AA6A0100AB6A010054
-:10AAB000AC6A0100AD6A0100AE6A0100AF6A010034
-:10AAC000B06A0100B16A0100B26A0100B36A010014
-:10AAD000B46A0100B56A0100B66A0100B76A0100F4
-:10AAE000B86A0100B96A0100BA6A0100BB6A0100D4
-:10AAF000BC6A0100BD6A0100BE6A0100BF6A0100B4
-:10AB0000C06A0100C16A0100C26A0100C36A010093
-:10AB1000C46A0100C56A0100C66A0100C76A010073
-:10AB2000C86A0100C96A0100CA6A0100CB6A010053
-:10AB3000CC6A0100CD6A0100CE6A0100CF6A010033
-:10AB4000D06A0100D16A0100D26A0100D36A010013
-:10AB5000D46A0100D56A0100D66A0100D76A0100F3
-:10AB6000D86A0100D96A0100DA6A0100DB6A0100D3
-:10AB7000DC6A0100DD6A0100DE6A0100DF6A0100B3
-:10AB8000E06A0100E16A0100E26A0100E36A010093
-:10AB9000E46A0100E56A0100E66A0100E76A010073
-:10ABA000E86A0100E96A0100EA6A0100EB6A010053
-:10ABB000EC6A0100ED6A0100EE6A0100EF6A010033
-:10ABC000F06A0100F16A0100F26A0100F36A010013
-:10ABD000F46A0100F56A0100F66A0100F76A0100F3
-:10ABE000F86A0100F96A0100FA6A0100FB6A0100D3
-:10ABF000FC6A0100FD6A0100FE6A0100FF6A0100B3
-:10AC0000006B0100016B0100026B0100036B01008E
-:10AC1000046B0100056B0100066B0100076B01006E
-:10AC2000086B0100096B01000A6B01000B6B01004E
-:10AC30000C6B01000D6B01000E6B01000F6B01002E
-:10AC4000106B0100116B0100126B0100136B01000E
-:10AC5000146B0100156B0100166B0100176B0100EE
-:10AC6000186B0100196B01001A6B01001B6B0100CE
-:10AC70001C6B01001D6B01001E6B01001F6B0100AE
-:10AC8000206B0100216B0100226B0100236B01008E
-:10AC9000246B0100256B0100266B0100276B01006E
-:10ACA000286B0100296B01002A6B01002B6B01004E
-:10ACB0002C6B01002D6B01002E6B01002F6B01002E
-:10ACC000306B0100316B0100326B0100336B01000E
-:10ACD000346B0100356B0100366B0100376B0100EE
-:10ACE000386B0100396B01003A6B01003B6B0100CE
-:10ACF0003C6B01003D6B01003E6B01003F6B0100AE
-:10AD0000406B0100416B0100426B0100436B01008D
-:10AD1000446B0100456B0100466B0100476B01006D
-:10AD2000486B0100496B01004A6B01004B6B01004D
-:10AD30004C6B01004D6B01004E6B01004F6B01002D
-:10AD4000506B0100516B0100526B0100536B01000D
-:10AD5000546B0100556B0100566B0100576B0100ED
-:10AD6000586B0100596B01005A6B01005B6B0100CD
-:10AD70005C6B01005D6B01005E6B01005F6B0100AD
-:10AD8000606B0100616B0100626B0100636B01008D
-:10AD9000646B0100656B0100666B0100676B01006D
-:10ADA000686B0100696B01006A6B01006B6B01004D
-:10ADB0006C6B01006D6B01006E6B01006F6B01002D
-:10ADC000706B0100716B0100726B0100736B01000D
-:10ADD000746B0100756B0100766B0100776B0100ED
-:10ADE000786B0100796B01007A6B01007B6B0100CD
-:10ADF0007C6B01007D6B01007E6B01007F6B0100AD
-:10AE0000806B0100816B0100826B0100836B01008C
-:10AE1000846B0100856B0100866B0100876B01006C
-:10AE2000886B0100896B01008A6B01008B6B01004C
-:10AE30008C6B01008D6B01008E6B01008F6B01002C
-:10AE4000906B0100916B0100926B0100936B01000C
-:10AE5000946B0100956B0100966B0100976B0100EC
-:10AE6000986B0100996B01009A6B01009B6B0100CC
-:10AE70009C6B01009D6B01009E6B01009F6B0100AC
-:10AE8000A06B0100A16B0100A26B0100A36B01008C
-:10AE9000A46B0100A56B0100A66B0100A76B01006C
-:10AEA000A86B0100A96B0100AA6B0100AB6B01004C
-:10AEB000AC6B0100AD6B0100AE6B0100AF6B01002C
-:10AEC000B06B0100B16B0100B26B0100B36B01000C
-:10AED000B46B0100B56B0100B66B0100B76B0100EC
-:10AEE000B86B0100B96B0100BA6B0100BB6B0100CC
-:10AEF000BC6B0100BD6B0100BE6B0100BF6B0100AC
-:10AF0000C06B0100C16B0100C26B0100C36B01008B
-:10AF1000C46B0100C56B0100C66B0100C76B01006B
-:10AF2000C86B0100C96B0100CA6B0100CB6B01004B
-:10AF3000CC6B0100CD6B0100CE6B0100CF6B01002B
-:10AF4000D06B0100D16B0100D26B0100D36B01000B
-:10AF5000D46B0100D56B0100D66B0100D76B0100EB
-:10AF6000D86B0100D96B0100DA6B0100DB6B0100CB
-:10AF7000DC6B0100DD6B0100DE6B0100DF6B0100AB
-:10AF8000E06B0100E16B0100E26B0100E36B01008B
-:10AF9000E46B0100E56B0100E66B0100E76B01006B
-:10AFA000E86B0100E96B0100EA6B0100EB6B01004B
-:10AFB000EC6B0100ED6B0100EE6B0100EF6B01002B
-:10AFC000F06B0100F16B0100F26B0100F36B01000B
-:10AFD000F46B0100F56B0100F66B0100F76B0100EB
-:10AFE000F86B0100F96B0100FA6B0100FB6B0100CB
-:10AFF000FC6B0100FD6B0100FE6B0100FF6B0100AB
-:10B00000006C0100016C0100026C0100036C010086
-:10B01000046C0100056C0100066C0100076C010066
-:10B02000086C0100096C01000A6C01000B6C010046
-:10B030000C6C01000D6C01000E6C01000F6C010026
-:10B04000106C0100116C0100126C0100136C010006
-:10B05000146C0100156C0100166C0100176C0100E6
-:10B06000186C0100196C01001A6C01001B6C0100C6
-:10B070001C6C01001D6C01001E6C01001F6C0100A6
-:10B08000206C0100216C0100226C0100236C010086
-:10B09000246C0100256C0100266C0100276C010066
-:10B0A000286C0100296C01002A6C01002B6C010046
-:10B0B0002C6C01002D6C01002E6C01002F6C010026
-:10B0C000306C0100316C0100326C0100336C010006
-:10B0D000346C0100356C0100366C0100376C0100E6
-:10B0E000386C0100396C01003A6C01003B6C0100C6
-:10B0F0003C6C01003D6C01003E6C01003F6C0100A6
-:10B10000406C0100416C0100426C0100436C010085
-:10B11000446C0100456C0100466C0100476C010065
-:10B12000486C0100496C01004A6C01004B6C010045
-:10B130004C6C01004D6C01004E6C01004F6C010025
-:10B14000506C0100516C0100526C0100536C010005
-:10B15000546C0100556C0100566C0100576C0100E5
-:10B16000586C0100596C01005A6C01005B6C0100C5
-:10B170005C6C01005D6C01005E6C01005F6C0100A5
-:10B18000606C0100616C0100626C0100636C010085
-:10B19000646C0100656C0100666C0100676C010065
-:10B1A000686C0100696C01006A6C01006B6C010045
-:10B1B0006C6C01006D6C01006E6C01006F6C010025
-:10B1C000706C0100716C0100726C0100736C010005
-:10B1D000746C0100756C0100766C0100776C0100E5
-:10B1E000786C0100796C01007A6C01007B6C0100C5
-:10B1F0007C6C01007D6C01007E6C01007F6C0100A5
-:10B20000806C0100816C0100826C0100836C010084
-:10B21000846C0100856C0100866C0100876C010064
-:10B22000886C0100896C01008A6C01008B6C010044
-:10B230008C6C01008D6C01008E6C01008F6C010024
-:10B24000906C0100916C0100926C0100936C010004
-:10B25000946C0100956C0100966C0100976C0100E4
-:10B26000986C0100996C01009A6C01009B6C0100C4
-:10B270009C6C01009D6C01009E6C01009F6C0100A4
-:10B28000A06C0100A16C0100A26C0100A36C010084
-:10B29000A46C0100A56C0100A66C0100A76C010064
-:10B2A000A86C0100A96C0100AA6C0100AB6C010044
-:10B2B000AC6C0100AD6C0100AE6C0100AF6C010024
-:10B2C000B06C0100B16C0100B26C0100B36C010004
-:10B2D000B46C0100B56C0100B66C0100B76C0100E4
-:10B2E000B86C0100B96C0100BA6C0100BB6C0100C4
-:10B2F000BC6C0100BD6C0100BE6C0100BF6C0100A4
-:10B30000C06C0100C16C0100C26C0100C36C010083
-:10B31000C46C0100C56C0100C66C0100C76C010063
-:10B32000C86C0100C96C0100CA6C0100CB6C010043
-:10B33000CC6C0100CD6C0100CE6C0100CF6C010023
-:10B34000D06C0100D16C0100D26C0100D36C010003
-:10B35000D46C0100D56C0100D66C0100D76C0100E3
-:10B36000D86C0100D96C0100DA6C0100DB6C0100C3
-:10B37000DC6C0100DD6C0100DE6C0100DF6C0100A3
-:10B38000E06C0100E16C0100E26C0100E36C010083
-:10B39000E46C0100E56C0100E66C0100E76C010063
-:10B3A000E86C0100E96C0100EA6C0100EB6C010043
-:10B3B000EC6C0100ED6C0100EE6C0100EF6C010023
-:10B3C000F06C0100F16C0100F26C0100F36C010003
-:10B3D000F46C0100F56C0100F66C0100F76C0100E3
-:10B3E000F86C0100F96C0100FA6C0100FB6C0100C3
-:10B3F000FC6C0100FD6C0100FE6C0100FF6C0100A3
-:10B40000006D0100016D0100026D0100036D01007E
-:10B41000046D0100056D0100066D0100076D01005E
-:10B42000086D0100096D01000A6D01000B6D01003E
-:10B430000C6D01000D6D01000E6D01000F6D01001E
-:10B44000106D0100116D0100126D0100136D0100FE
-:10B45000146D0100156D0100166D0100176D0100DE
-:10B46000186D0100196D01001A6D01001B6D0100BE
-:10B470001C6D01001D6D01001E6D01001F6D01009E
-:10B48000206D0100216D0100226D0100236D01007E
-:10B49000246D0100256D0100266D0100276D01005E
-:10B4A000286D0100296D01002A6D01002B6D01003E
-:10B4B0002C6D01002D6D01002E6D01002F6D01001E
-:10B4C000306D0100316D0100326D0100336D0100FE
-:10B4D000346D0100356D0100366D0100376D0100DE
-:10B4E000386D0100396D01003A6D01003B6D0100BE
-:10B4F0003C6D01003D6D01003E6D01003F6D01009E
-:10B50000406D0100416D0100426D0100436D01007D
-:10B51000446D0100456D0100466D0100476D01005D
-:10B52000486D0100496D01004A6D01004B6D01003D
-:10B530004C6D01004D6D01004E6D01004F6D01001D
-:10B54000506D0100516D0100526D0100536D0100FD
-:10B55000546D0100556D0100566D0100576D0100DD
-:10B56000586D0100596D01005A6D01005B6D0100BD
-:10B570005C6D01005D6D01005E6D01005F6D01009D
-:10B58000606D0100616D0100626D0100636D01007D
-:10B59000646D0100656D0100666D0100676D01005D
-:10B5A000686D0100696D01006A6D01006B6D01003D
-:10B5B0006C6D01006D6D01006E6D01006F6D01001D
-:10B5C000706D0100716D0100726D0100736D0100FD
-:10B5D000746D0100756D0100766D0100776D0100DD
-:10B5E000786D0100796D01007A6D01007B6D0100BD
-:10B5F0007C6D01007D6D01007E6D01007F6D01009D
-:10B60000806D0100816D0100826D0100836D01007C
-:10B61000846D0100856D0100866D0100876D01005C
-:10B62000886D0100896D01008A6D01008B6D01003C
-:10B630008C6D01008D6D01008E6D01008F6D01001C
-:10B64000906D0100916D0100926D0100936D0100FC
-:10B65000946D0100956D0100966D0100976D0100DC
-:10B66000986D0100996D01009A6D01009B6D0100BC
-:10B670009C6D01009D6D01009E6D01009F6D01009C
-:10B68000A06D0100A16D0100A26D0100A36D01007C
-:10B69000A46D0100A56D0100A66D0100A76D01005C
-:10B6A000A86D0100A96D0100AA6D0100AB6D01003C
-:10B6B000AC6D0100AD6D0100AE6D0100AF6D01001C
-:10B6C000B06D0100B16D0100B26D0100B36D0100FC
-:10B6D000B46D0100B56D0100B66D0100B76D0100DC
-:10B6E000B86D0100B96D0100BA6D0100BB6D0100BC
-:10B6F000BC6D0100BD6D0100BE6D0100BF6D01009C
-:10B70000C06D0100C16D0100C26D0100C36D01007B
-:10B71000C46D0100C56D0100C66D0100C76D01005B
-:10B72000C86D0100C96D0100CA6D0100CB6D01003B
-:10B73000CC6D0100CD6D0100CE6D0100CF6D01001B
-:10B74000D06D0100D16D0100D26D0100D36D0100FB
-:10B75000D46D0100D56D0100D66D0100D76D0100DB
-:10B76000D86D0100D96D0100DA6D0100DB6D0100BB
-:10B77000DC6D0100DD6D0100DE6D0100DF6D01009B
-:10B78000E06D0100E16D0100E26D0100E36D01007B
-:10B79000E46D0100E56D0100E66D0100E76D01005B
-:10B7A000E86D0100E96D0100EA6D0100EB6D01003B
-:10B7B000EC6D0100ED6D0100EE6D0100EF6D01001B
-:10B7C000F06D0100F16D0100F26D0100F36D0100FB
-:10B7D000F46D0100F56D0100F66D0100F76D0100DB
-:10B7E000F86D0100F96D0100FA6D0100FB6D0100BB
-:10B7F000FC6D0100FD6D0100FE6D0100FF6D01009B
-:10B80000006E0100016E0100026E0100036E010076
-:10B81000046E0100056E0100066E0100076E010056
-:10B82000086E0100096E01000A6E01000B6E010036
-:10B830000C6E01000D6E01000E6E01000F6E010016
-:10B84000106E0100116E0100126E0100136E0100F6
-:10B85000146E0100156E0100166E0100176E0100D6
-:10B86000186E0100196E01001A6E01001B6E0100B6
-:10B870001C6E01001D6E01001E6E01001F6E010096
-:10B88000206E0100216E0100226E0100236E010076
-:10B89000246E0100256E0100266E0100276E010056
-:10B8A000286E0100296E01002A6E01002B6E010036
-:10B8B0002C6E01002D6E01002E6E01002F6E010016
-:10B8C000306E0100316E0100326E0100336E0100F6
-:10B8D000346E0100356E0100366E0100376E0100D6
-:10B8E000386E0100396E01003A6E01003B6E0100B6
-:10B8F0003C6E01003D6E01003E6E01003F6E010096
-:10B90000406E0100416E0100426E0100436E010075
-:10B91000446E0100456E0100466E0100476E010055
-:10B92000486E0100496E01004A6E01004B6E010035
-:10B930004C6E01004D6E01004E6E01004F6E010015
-:10B94000506E0100516E0100526E0100536E0100F5
-:10B95000546E0100556E0100566E0100576E0100D5
-:10B96000586E0100596E01005A6E01005B6E0100B5
-:10B970005C6E01005D6E01005E6E01005F6E010095
-:10B98000606E0100616E0100626E0100636E010075
-:10B99000646E0100656E0100666E0100676E010055
-:10B9A000686E0100696E01006A6E01006B6E010035
-:10B9B0006C6E01006D6E01006E6E01006F6E010015
-:10B9C000706E0100716E0100726E0100736E0100F5
-:10B9D000746E0100756E0100766E0100776E0100D5
-:10B9E000786E0100796E01007A6E01007B6E0100B5
-:10B9F0007C6E01007D6E01007E6E01007F6E010095
-:10BA0000806E0100816E0100826E0100836E010074
-:10BA1000846E0100856E0100866E0100876E010054
-:10BA2000886E0100896E01008A6E01008B6E010034
-:10BA30008C6E01008D6E01008E6E01008F6E010014
-:10BA4000906E0100916E0100926E0100936E0100F4
-:10BA5000946E0100956E0100966E0100976E0100D4
-:10BA6000986E0100996E01009A6E01009B6E0100B4
-:10BA70009C6E01009D6E01009E6E01009F6E010094
-:10BA8000A06E0100A16E0100A26E0100A36E010074
-:10BA9000A46E0100A56E0100A66E0100A76E010054
-:10BAA000A86E0100A96E0100AA6E0100AB6E010034
-:10BAB000AC6E0100AD6E0100AE6E0100AF6E010014
-:10BAC000B06E0100B16E0100B26E0100B36E0100F4
-:10BAD000B46E0100B56E0100B66E0100B76E0100D4
-:10BAE000B86E0100B96E0100BA6E0100BB6E0100B4
-:10BAF000BC6E0100BD6E0100BE6E0100BF6E010094
-:10BB0000C06E0100C16E0100C26E0100C36E010073
-:10BB1000C46E0100C56E0100C66E0100C76E010053
-:10BB2000C86E0100C96E0100CA6E0100CB6E010033
-:10BB3000CC6E0100CD6E0100CE6E0100CF6E010013
-:10BB4000D06E0100D16E0100D26E0100D36E0100F3
-:10BB5000D46E0100D56E0100D66E0100D76E0100D3
-:10BB6000D86E0100D96E0100DA6E0100DB6E0100B3
-:10BB7000DC6E0100DD6E0100DE6E0100DF6E010093
-:10BB8000E06E0100E16E0100E26E0100E36E010073
-:10BB9000E46E0100E56E0100E66E0100E76E010053
-:10BBA000E86E0100E96E0100EA6E0100EB6E010033
-:10BBB000EC6E0100ED6E0100EE6E0100EF6E010013
-:10BBC000F06E0100F16E0100F26E0100F36E0100F3
-:10BBD000F46E0100F56E0100F66E0100F76E0100D3
-:10BBE000F86E0100F96E0100FA6E0100FB6E0100B3
-:10BBF000FC6E0100FD6E0100FE6E0100FF6E010093
-:10BC0000006F0100016F0100026F0100036F01006E
-:10BC1000046F0100056F0100066F0100076F01004E
-:10BC2000086F0100096F01000A6F01000B6F01002E
-:10BC30000C6F01000D6F01000E6F01000F6F01000E
-:10BC4000106F0100116F0100126F0100136F0100EE
-:10BC5000146F0100156F0100166F0100176F0100CE
-:10BC6000186F0100196F01001A6F01001B6F0100AE
-:10BC70001C6F01001D6F01001E6F01001F6F01008E
-:10BC8000206F0100216F0100226F0100236F01006E
-:10BC9000246F0100256F0100266F0100276F01004E
-:10BCA000286F0100296F01002A6F01002B6F01002E
-:10BCB0002C6F01002D6F01002E6F01002F6F01000E
-:10BCC000306F0100316F0100326F0100336F0100EE
-:10BCD000346F0100356F0100366F0100376F0100CE
-:10BCE000386F0100396F01003A6F01003B6F0100AE
-:10BCF0003C6F01003D6F01003E6F01003F6F01008E
-:10BD0000406F0100416F0100426F0100436F01006D
-:10BD1000446F0100456F0100466F0100476F01004D
-:10BD2000486F0100496F01004A6F01004B6F01002D
-:10BD30004C6F01004D6F01004E6F01004F6F01000D
-:10BD4000506F0100516F0100526F0100536F0100ED
-:10BD5000546F0100556F0100566F0100576F0100CD
-:10BD6000586F0100596F01005A6F01005B6F0100AD
-:10BD70005C6F01005D6F01005E6F01005F6F01008D
-:10BD8000606F0100616F0100626F0100636F01006D
-:10BD9000646F0100656F0100666F0100676F01004D
-:10BDA000686F0100696F01006A6F01006B6F01002D
-:10BDB0006C6F01006D6F01006E6F01006F6F01000D
-:10BDC000706F0100716F0100726F0100736F0100ED
-:10BDD000746F0100756F0100766F0100776F0100CD
-:10BDE000786F0100796F01007A6F01007B6F0100AD
-:10BDF0007C6F01007D6F01007E6F01007F6F01008D
-:10BE0000806F0100816F0100826F0100836F01006C
-:10BE1000846F0100856F0100866F0100876F01004C
-:10BE2000886F0100896F01008A6F01008B6F01002C
-:10BE30008C6F01008D6F01008E6F01008F6F01000C
-:10BE4000906F0100916F0100926F0100936F0100EC
-:10BE5000946F0100956F0100966F0100976F0100CC
-:10BE6000986F0100996F01009A6F01009B6F0100AC
-:10BE70009C6F01009D6F01009E6F01009F6F01008C
-:10BE8000A06F0100A16F0100A26F0100A36F01006C
-:10BE9000A46F0100A56F0100A66F0100A76F01004C
-:10BEA000A86F0100A96F0100AA6F0100AB6F01002C
-:10BEB000AC6F0100AD6F0100AE6F0100AF6F01000C
-:10BEC000B06F0100B16F0100B26F0100B36F0100EC
-:10BED000B46F0100B56F0100B66F0100B76F0100CC
-:10BEE000B86F0100B96F0100BA6F0100BB6F0100AC
-:10BEF000BC6F0100BD6F0100BE6F0100BF6F01008C
-:10BF0000C06F0100C16F0100C26F0100C36F01006B
-:10BF1000C46F0100C56F0100C66F0100C76F01004B
-:10BF2000C86F0100C96F0100CA6F0100CB6F01002B
-:10BF3000CC6F0100CD6F0100CE6F0100CF6F01000B
-:10BF4000D06F0100D16F0100D26F0100D36F0100EB
-:10BF5000D46F0100D56F0100D66F0100D76F0100CB
-:10BF6000D86F0100D96F0100DA6F0100DB6F0100AB
-:10BF7000DC6F0100DD6F0100DE6F0100DF6F01008B
-:10BF8000E06F0100E16F0100E26F0100E36F01006B
-:10BF9000E46F0100E56F0100E66F0100E76F01004B
-:10BFA000E86F0100E96F0100EA6F0100EB6F01002B
-:10BFB000EC6F0100ED6F0100EE6F0100EF6F01000B
-:10BFC000F06F0100F16F0100F26F0100F36F0100EB
-:10BFD000F46F0100F56F0100F66F0100F76F0100CB
-:10BFE000F86F0100F96F0100FA6F0100FB6F0100AB
-:10BFF000FC6F0100FD6F0100FE6F0100FF6F01008B
-:10C000000070010001700100027001000370010066
-:10C010000470010005700100067001000770010046
-:10C0200008700100097001000A7001000B70010026
-:10C030000C7001000D7001000E7001000F70010006
-:10C0400010700100117001001270010013700100E6
-:10C0500014700100157001001670010017700100C6
-:10C0600018700100197001001A7001001B700100A6
-:10C070001C7001001D7001001E7001001F70010086
-:10C080002070010021700100227001002370010066
-:10C090002470010025700100267001002770010046
-:10C0A00028700100297001002A7001002B70010026
-:10C0B0002C7001002D7001002E7001002F70010006
-:10C0C00030700100317001003270010033700100E6
-:10C0D00034700100357001003670010037700100C6
-:10C0E00038700100397001003A7001003B700100A6
-:10C0F0003C7001003D7001003E7001003F70010086
-:10C100004070010041700100427001004370010065
-:10C110004470010045700100467001004770010045
-:10C1200048700100497001004A7001004B70010025
-:10C130004C7001004D7001004E7001004F70010005
-:10C1400050700100517001005270010053700100E5
-:10C1500054700100557001005670010057700100C5
-:10C1600058700100597001005A7001005B700100A5
-:10C170005C7001005D7001005E7001005F70010085
-:10C180006070010061700100627001006370010065
-:10C190006470010065700100667001006770010045
-:10C1A00068700100697001006A7001006B70010025
-:10C1B0006C7001006D7001006E7001006F70010005
-:10C1C00070700100717001007270010073700100E5
-:10C1D00074700100757001007670010077700100C5
-:10C1E00078700100797001007A7001007B700100A5
-:10C1F0007C7001007D7001007E7001007F70010085
-:10C200008070010081700100827001008370010064
-:10C210008470010085700100867001008770010044
-:10C2200088700100897001008A7001008B70010024
-:10C230008C7001008D7001008E7001008F70010004
-:10C2400090700100917001009270010093700100E4
-:10C2500094700100957001009670010097700100C4
-:10C2600098700100997001009A7001009B700100A4
-:10C270009C7001009D7001009E7001009F70010084
-:10C28000A0700100A1700100A2700100A370010064
-:10C29000A4700100A5700100A6700100A770010044
-:10C2A000A8700100A9700100AA700100AB70010024
-:10C2B000AC700100AD700100AE700100AF70010004
-:10C2C000B0700100B1700100B2700100B3700100E4
-:10C2D000B4700100B5700100B6700100B7700100C4
-:10C2E000B8700100B9700100BA700100BB700100A4
-:10C2F000BC700100BD700100BE700100BF70010084
-:10C30000C0700100C1700100C2700100C370010063
-:10C31000C4700100C5700100C6700100C770010043
-:10C32000C8700100C9700100CA700100CB70010023
-:10C33000CC700100CD700100CE700100CF70010003
-:10C34000D0700100D1700100D2700100D3700100E3
-:10C35000D4700100D5700100D6700100D7700100C3
-:10C36000D8700100D9700100DA700100DB700100A3
-:10C37000DC700100DD700100DE700100DF70010083
-:10C38000E0700100E1700100E2700100E370010063
-:10C39000E4700100E5700100E6700100E770010043
-:10C3A000E8700100E9700100EA700100EB70010023
-:10C3B000EC700100ED700100EE700100EF70010003
-:10C3C000F0700100F1700100F2700100F3700100E3
-:10C3D000F4700100F5700100F6700100F7700100C3
-:10C3E000F8700100F9700100FA700100FB700100A3
-:10C3F000FC700100FD700100FE700100FF70010083
-:10C40000007101000171010002710100037101005E
-:10C41000047101000571010006710100077101003E
-:10C4200008710100097101000A7101000B7101001E
-:10C430000C7101000D7101000E7101000F710100FE
-:10C4400010710100117101001271010013710100DE
-:10C4500014710100157101001671010017710100BE
-:10C4600018710100197101001A7101001B7101009E
-:10C470001C7101001D7101001E7101001F7101007E
-:10C48000207101002171010022710100237101005E
-:10C49000247101002571010026710100277101003E
-:10C4A00028710100297101002A7101002B7101001E
-:10C4B0002C7101002D7101002E7101002F710100FE
-:10C4C00030710100317101003271010033710100DE
-:10C4D00034710100357101003671010037710100BE
-:10C4E00038710100397101003A7101003B7101009E
-:10C4F0003C7101003D7101003E7101003F7101007E
-:10C50000407101004171010042710100437101005D
-:10C51000447101004571010046710100477101003D
-:10C5200048710100497101004A7101004B7101001D
-:10C530004C7101004D7101004E7101004F710100FD
-:10C5400050710100517101005271010053710100DD
-:10C5500054710100557101005671010057710100BD
-:10C5600058710100597101005A7101005B7101009D
-:10C570005C7101005D7101005E7101005F7101007D
-:10C58000607101006171010062710100637101005D
-:10C59000647101006571010066710100677101003D
-:10C5A00068710100697101006A7101006B7101001D
-:10C5B0006C7101006D7101006E7101006F710100FD
-:10C5C00070710100717101007271010073710100DD
-:10C5D00074710100757101007671010077710100BD
-:10C5E00078710100797101007A7101007B7101009D
-:10C5F0007C7101007D7101007E7101007F7101007D
-:10C60000807101008171010082710100837101005C
-:10C61000847101008571010086710100877101003C
-:10C6200088710100897101008A7101008B7101001C
-:10C630008C7101008D7101008E7101008F710100FC
-:10C6400090710100917101009271010093710100DC
-:10C6500094710100957101009671010097710100BC
-:10C6600098710100997101009A7101009B7101009C
-:10C670009C7101009D7101009E7101009F7101007C
-:10C68000A0710100A1710100A2710100A37101005C
-:10C69000A4710100A5710100A6710100A77101003C
-:10C6A000A8710100A9710100AA710100AB7101001C
-:10C6B000AC710100AD710100AE710100AF710100FC
-:10C6C000B0710100B1710100B2710100B3710100DC
-:10C6D000B4710100B5710100B6710100B7710100BC
-:10C6E000B8710100B9710100BA710100BB7101009C
-:10C6F000BC710100BD710100BE710100BF7101007C
-:10C70000C0710100C1710100C2710100C37101005B
-:10C71000C4710100C5710100C6710100C77101003B
-:10C72000C8710100C9710100CA710100CB7101001B
-:10C73000CC710100CD710100CE710100CF710100FB
-:10C74000D0710100D1710100D2710100D3710100DB
-:10C75000D4710100D5710100D6710100D7710100BB
-:10C76000D8710100D9710100DA710100DB7101009B
-:10C77000DC710100DD710100DE710100DF7101007B
-:10C78000E0710100E1710100E2710100E37101005B
-:10C79000E4710100E5710100E6710100E77101003B
-:10C7A000E8710100E9710100EA710100EB7101001B
-:10C7B000EC710100ED710100EE710100EF710100FB
-:10C7C000F0710100F1710100F2710100F3710100DB
-:10C7D000F4710100F5710100F6710100F7710100BB
-:10C7E000F8710100F9710100FA710100FB7101009B
-:10C7F000FC710100FD710100FE710100FF7101007B
-:10C800000072010001720100027201000372010056
-:10C810000472010005720100067201000772010036
-:10C8200008720100097201000A7201000B72010016
-:10C830000C7201000D7201000E7201000F720100F6
-:10C8400010720100117201001272010013720100D6
-:10C8500014720100157201001672010017720100B6
-:10C8600018720100197201001A7201001B72010096
-:10C870001C7201001D7201001E7201001F72010076
-:10C880002072010021720100227201002372010056
-:10C890002472010025720100267201002772010036
-:10C8A00028720100297201002A7201002B72010016
-:10C8B0002C7201002D7201002E7201002F720100F6
-:10C8C00030720100317201003272010033720100D6
-:10C8D00034720100357201003672010037720100B6
-:10C8E00038720100397201003A7201003B72010096
-:10C8F0003C7201003D7201003E7201003F72010076
-:10C900004072010041720100427201004372010055
-:10C910004472010045720100467201004772010035
-:10C9200048720100497201004A7201004B72010015
-:10C930004C7201004D7201004E7201004F720100F5
-:10C9400050720100517201005272010053720100D5
-:10C9500054720100557201005672010057720100B5
-:10C9600058720100597201005A7201005B72010095
-:10C970005C7201005D7201005E7201005F72010075
-:10C980006072010061720100627201006372010055
-:10C990006472010065720100667201006772010035
-:10C9A00068720100697201006A7201006B72010015
-:10C9B0006C7201006D7201006E7201006F720100F5
-:10C9C00070720100717201007272010073720100D5
-:10C9D00074720100757201007672010077720100B5
-:10C9E00078720100797201007A7201007B72010095
-:10C9F0007C7201007D7201007E7201007F72010075
-:10CA00008072010081720100827201008372010054
-:10CA10008472010085720100867201008772010034
-:10CA200088720100897201008A7201008B72010014
-:10CA30008C7201008D7201008E7201008F720100F4
-:10CA400090720100917201009272010093720100D4
-:10CA500094720100957201009672010097720100B4
-:10CA600098720100997201009A7201009B72010094
-:10CA70009C7201009D7201009E7201009F72010074
-:10CA8000A0720100A1720100A2720100A372010054
-:10CA9000A4720100A5720100A6720100A772010034
-:10CAA000A8720100A9720100AA720100AB72010014
-:10CAB000AC720100AD720100AE720100AF720100F4
-:10CAC000B0720100B1720100B2720100B3720100D4
-:10CAD000B4720100B5720100B6720100B7720100B4
-:10CAE000B8720100B9720100BA720100BB72010094
-:10CAF000BC720100BD720100BE720100BF72010074
-:10CB0000C0720100C1720100C2720100C372010053
-:10CB1000C4720100C5720100C6720100C772010033
-:10CB2000C8720100C9720100CA720100CB72010013
-:10CB3000CC720100CD720100CE720100CF720100F3
-:10CB4000D0720100D1720100D2720100D3720100D3
-:10CB5000D4720100D5720100D6720100D7720100B3
-:10CB6000D8720100D9720100DA720100DB72010093
-:10CB7000DC720100DD720100DE720100DF72010073
-:10CB8000E0720100E1720100E2720100E372010053
-:10CB9000E4720100E5720100E6720100E772010033
-:10CBA000E8720100E9720100EA720100EB72010013
-:10CBB000EC720100ED720100EE720100EF720100F3
-:10CBC000F0720100F1720100F2720100F3720100D3
-:10CBD000F4720100F5720100F6720100F7720100B3
-:10CBE000F8720100F9720100FA720100FB72010093
-:10CBF000FC720100FD720100FE720100FF72010073
-:10CC0000007301000173010002730100037301004E
-:10CC1000047301000573010006730100077301002E
-:10CC200008730100097301000A7301000B7301000E
-:10CC30000C7301000D7301000E7301000F730100EE
-:10CC400010730100117301001273010013730100CE
-:10CC500014730100157301001673010017730100AE
-:10CC600018730100197301001A7301001B7301008E
-:10CC70001C7301001D7301001E7301001F7301006E
-:10CC8000207301002173010022730100237301004E
-:10CC9000247301002573010026730100277301002E
-:10CCA00028730100297301002A7301002B7301000E
-:10CCB0002C7301002D7301002E7301002F730100EE
-:10CCC00030730100317301003273010033730100CE
-:10CCD00034730100357301003673010037730100AE
-:10CCE00038730100397301003A7301003B7301008E
-:10CCF0003C7301003D7301003E7301003F7301006E
-:10CD0000407301004173010042730100437301004D
-:10CD1000447301004573010046730100477301002D
-:10CD200048730100497301004A7301004B7301000D
-:10CD30004C7301004D7301004E7301004F730100ED
-:10CD400050730100517301005273010053730100CD
-:10CD500054730100557301005673010057730100AD
-:10CD600058730100597301005A7301005B7301008D
-:10CD70005C7301005D7301005E7301005F7301006D
-:10CD8000607301006173010062730100637301004D
-:10CD9000647301006573010066730100677301002D
-:10CDA00068730100697301006A7301006B7301000D
-:10CDB0006C7301006D7301006E7301006F730100ED
-:10CDC00070730100717301007273010073730100CD
-:10CDD00074730100757301007673010077730100AD
-:10CDE00078730100797301007A7301007B7301008D
-:10CDF0007C7301007D7301007E7301007F7301006D
-:10CE0000807301008173010082730100837301004C
-:10CE1000847301008573010086730100877301002C
-:10CE200088730100897301008A7301008B7301000C
-:10CE30008C7301008D7301008E7301008F730100EC
-:10CE400090730100917301009273010093730100CC
-:10CE500094730100957301009673010097730100AC
-:10CE600098730100997301009A7301009B7301008C
-:10CE70009C7301009D7301009E7301009F7301006C
-:10CE8000A0730100A1730100A2730100A37301004C
-:10CE9000A4730100A5730100A6730100A77301002C
-:10CEA000A8730100A9730100AA730100AB7301000C
-:10CEB000AC730100AD730100AE730100AF730100EC
-:10CEC000B0730100B1730100B2730100B3730100CC
-:10CED000B4730100B5730100B6730100B7730100AC
-:10CEE000B8730100B9730100BA730100BB7301008C
-:10CEF000BC730100BD730100BE730100BF7301006C
-:10CF0000C0730100C1730100C2730100C37301004B
-:10CF1000C4730100C5730100C6730100C77301002B
-:10CF2000C8730100C9730100CA730100CB7301000B
-:10CF3000CC730100CD730100CE730100CF730100EB
-:10CF4000D0730100D1730100D2730100D3730100CB
-:10CF5000D4730100D5730100D6730100D7730100AB
-:10CF6000D8730100D9730100DA730100DB7301008B
-:10CF7000DC730100DD730100DE730100DF7301006B
-:10CF8000E0730100E1730100E2730100E37301004B
-:10CF9000E4730100E5730100E6730100E77301002B
-:10CFA000E8730100E9730100EA730100EB7301000B
-:10CFB000EC730100ED730100EE730100EF730100EB
-:10CFC000F0730100F1730100F2730100F3730100CB
-:10CFD000F4730100F5730100F6730100F7730100AB
-:10CFE000F8730100F9730100FA730100FB7301008B
-:10CFF000FC730100FD730100FE730100FF7301006B
-:10D000000074010001740100027401000374010046
-:10D010000474010005740100067401000774010026
-:10D0200008740100097401000A7401000B74010006
-:10D030000C7401000D7401000E7401000F740100E6
-:10D0400010740100117401001274010013740100C6
-:10D0500014740100157401001674010017740100A6
-:10D0600018740100197401001A7401001B74010086
-:10D070001C7401001D7401001E7401001F74010066
-:10D080002074010021740100227401002374010046
-:10D090002474010025740100267401002774010026
-:10D0A00028740100297401002A7401002B74010006
-:10D0B0002C7401002D7401002E7401002F740100E6
-:10D0C00030740100317401003274010033740100C6
-:10D0D00034740100357401003674010037740100A6
-:10D0E00038740100397401003A7401003B74010086
-:10D0F0003C7401003D7401003E7401003F74010066
-:10D100004074010041740100427401004374010045
-:10D110004474010045740100467401004774010025
-:10D1200048740100497401004A7401004B74010005
-:10D130004C7401004D7401004E7401004F740100E5
-:10D1400050740100517401005274010053740100C5
-:10D1500054740100557401005674010057740100A5
-:10D1600058740100597401005A7401005B74010085
-:10D170005C7401005D7401005E7401005F74010065
-:10D180006074010061740100627401006374010045
-:10D190006474010065740100667401006774010025
-:10D1A00068740100697401006A7401006B74010005
-:10D1B0006C7401006D7401006E7401006F740100E5
-:10D1C00070740100717401007274010073740100C5
-:10D1D00074740100757401007674010077740100A5
-:10D1E00078740100797401007A7401007B74010085
-:10D1F0007C7401007D7401007E7401007F74010065
-:10D200008074010081740100827401008374010044
-:10D210008474010085740100867401008774010024
-:10D2200088740100897401008A7401008B74010004
-:10D230008C7401008D7401008E7401008F740100E4
-:10D2400090740100917401009274010093740100C4
-:10D2500094740100957401009674010097740100A4
-:10D2600098740100997401009A7401009B74010084
-:10D270009C7401009D7401009E7401009F74010064
-:10D28000A0740100A1740100A2740100A374010044
-:10D29000A4740100A5740100A6740100A774010024
-:10D2A000A8740100A9740100AA740100AB74010004
-:10D2B000AC740100AD740100AE740100AF740100E4
-:10D2C000B0740100B1740100B2740100B3740100C4
-:10D2D000B4740100B5740100B6740100B7740100A4
-:10D2E000B8740100B9740100BA740100BB74010084
-:10D2F000BC740100BD740100BE740100BF74010064
-:10D30000C0740100C1740100C2740100C374010043
-:10D31000C4740100C5740100C6740100C774010023
-:10D32000C8740100C9740100CA740100CB74010003
-:10D33000CC740100CD740100CE740100CF740100E3
-:10D34000D0740100D1740100D2740100D3740100C3
-:10D35000D4740100D5740100D6740100D7740100A3
-:10D36000D8740100D9740100DA740100DB74010083
-:10D37000DC740100DD740100DE740100DF74010063
-:10D38000E0740100E1740100E2740100E374010043
-:10D39000E4740100E5740100E6740100E774010023
-:10D3A000E8740100E9740100EA740100EB74010003
-:10D3B000EC740100ED740100EE740100EF740100E3
-:10D3C000F0740100F1740100F2740100F3740100C3
-:10D3D000F4740100F5740100F6740100F7740100A3
-:10D3E000F8740100F9740100FA740100FB74010083
-:10D3F000FC740100FD740100FE740100FF74010063
-:10D40000007501000175010002750100037501003E
-:10D41000047501000575010006750100077501001E
-:10D4200008750100097501000A7501000B750100FE
-:10D430000C7501000D7501000E7501000F750100DE
-:10D4400010750100117501001275010013750100BE
-:10D45000147501001575010016750100177501009E
-:10D4600018750100197501001A7501001B7501007E
-:10D470001C7501001D7501001E7501001F7501005E
-:10D48000207501002175010022750100237501003E
-:10D49000247501002575010026750100277501001E
-:10D4A00028750100297501002A7501002B750100FE
-:10D4B0002C7501002D7501002E7501002F750100DE
-:10D4C00030750100317501003275010033750100BE
-:10D4D000347501003575010036750100377501009E
-:10D4E00038750100397501003A7501003B7501007E
-:10D4F0003C7501003D7501003E7501003F7501005E
-:10D50000407501004175010042750100437501003D
-:10D51000447501004575010046750100477501001D
-:10D5200048750100497501004A7501004B750100FD
-:10D530004C7501004D7501004E7501004F750100DD
-:10D5400050750100517501005275010053750100BD
-:10D55000547501005575010056750100577501009D
-:10D5600058750100597501005A7501005B7501007D
-:10D570005C7501005D7501005E7501005F7501005D
-:10D58000607501006175010062750100637501003D
-:10D59000647501006575010066750100677501001D
-:10D5A00068750100697501006A7501006B750100FD
-:10D5B0006C7501006D7501006E7501006F750100DD
-:10D5C00070750100717501007275010073750100BD
-:10D5D000747501007575010076750100777501009D
-:10D5E00078750100797501007A7501007B7501007D
-:10D5F0007C7501007D7501007E7501007F7501005D
-:10D60000807501008175010082750100837501003C
-:10D61000847501008575010086750100877501001C
-:10D6200088750100897501008A7501008B750100FC
-:10D630008C7501008D7501008E7501008F750100DC
-:10D6400090750100917501009275010093750100BC
-:10D65000947501009575010096750100977501009C
-:10D6600098750100997501009A7501009B7501007C
-:10D670009C7501009D7501009E7501009F7501005C
-:10D68000A0750100A1750100A2750100A37501003C
-:10D69000A4750100A5750100A6750100A77501001C
-:10D6A000A8750100A9750100AA750100AB750100FC
-:10D6B000AC750100AD750100AE750100AF750100DC
-:10D6C000B0750100B1750100B2750100B3750100BC
-:10D6D000B4750100B5750100B6750100B77501009C
-:10D6E000B8750100B9750100BA750100BB7501007C
-:10D6F000BC750100BD750100BE750100BF7501005C
-:10D70000C0750100C1750100C2750100C37501003B
-:10D71000C4750100C5750100C6750100C77501001B
-:10D72000C8750100C9750100CA750100CB750100FB
-:10D73000CC750100CD750100CE750100CF750100DB
-:10D74000D0750100D1750100D2750100D3750100BB
-:10D75000D4750100D5750100D6750100D77501009B
-:10D76000D8750100D9750100DA750100DB7501007B
-:10D77000DC750100DD750100DE750100DF7501005B
-:10D78000E0750100E1750100E2750100E37501003B
-:10D79000E4750100E5750100E6750100E77501001B
-:10D7A000E8750100E9750100EA750100EB750100FB
-:10D7B000EC750100ED750100EE750100EF750100DB
-:10D7C000F0750100F1750100F2750100F3750100BB
-:10D7D000F4750100F5750100F6750100F77501009B
-:10D7E000F8750100F9750100FA750100FB7501007B
-:10D7F000FC750100FD750100FE750100FF7501005B
-:10D800000076010001760100027601000376010036
-:10D810000476010005760100067601000776010016
-:10D8200008760100097601000A7601000B760100F6
-:10D830000C7601000D7601000E7601000F760100D6
-:10D8400010760100117601001276010013760100B6
-:10D850001476010015760100167601001776010096
-:10D8600018760100197601001A7601001B76010076
-:10D870001C7601001D7601001E7601001F76010056
-:10D880002076010021760100227601002376010036
-:10D890002476010025760100267601002776010016
-:10D8A00028760100297601002A7601002B760100F6
-:10D8B0002C7601002D7601002E7601002F760100D6
-:10D8C00030760100317601003276010033760100B6
-:10D8D0003476010035760100367601003776010096
-:10D8E00038760100397601003A7601003B76010076
-:10D8F0003C7601003D7601003E7601003F76010056
-:10D900004076010041760100427601004376010035
-:10D910004476010045760100467601004776010015
-:10D9200048760100497601004A7601004B760100F5
-:10D930004C7601004D7601004E7601004F760100D5
-:10D9400050760100517601005276010053760100B5
-:10D950005476010055760100567601005776010095
-:10D9600058760100597601005A7601005B76010075
-:10D970005C7601005D7601005E7601005F76010055
-:10D980006076010061760100627601006376010035
-:10D990006476010065760100667601006776010015
-:10D9A00068760100697601006A7601006B760100F5
-:10D9B0006C7601006D7601006E7601006F760100D5
-:10D9C00070760100717601007276010073760100B5
-:10D9D0007476010075760100767601007776010095
-:10D9E00078760100797601007A7601007B76010075
-:10D9F0007C7601007D7601007E7601007F76010055
-:10DA00008076010081760100827601008376010034
-:10DA10008476010085760100867601008776010014
-:10DA200088760100897601008A7601008B760100F4
-:10DA30008C7601008D7601008E7601008F760100D4
-:10DA400090760100917601009276010093760100B4
-:10DA50009476010095760100967601009776010094
-:10DA600098760100997601009A7601009B76010074
-:10DA70009C7601009D7601009E7601009F76010054
-:10DA8000A0760100A1760100A2760100A376010034
-:10DA9000A4760100A5760100A6760100A776010014
-:10DAA000A8760100A9760100AA760100AB760100F4
-:10DAB000AC760100AD760100AE760100AF760100D4
-:10DAC000B0760100B1760100B2760100B3760100B4
-:10DAD000B4760100B5760100B6760100B776010094
-:10DAE000B8760100B9760100BA760100BB76010074
-:10DAF000BC760100BD760100BE760100BF76010054
-:10DB0000C0760100C1760100C2760100C376010033
-:10DB1000C4760100C5760100C6760100C776010013
-:10DB2000C8760100C9760100CA760100CB760100F3
-:10DB3000CC760100CD760100CE760100CF760100D3
-:10DB4000D0760100D1760100D2760100D3760100B3
-:10DB5000D4760100D5760100D6760100D776010093
-:10DB6000D8760100D9760100DA760100DB76010073
-:10DB7000DC760100DD760100DE760100DF76010053
-:10DB8000E0760100E1760100E2760100E376010033
-:10DB9000E4760100E5760100E6760100E776010013
-:10DBA000E8760100E9760100EA760100EB760100F3
-:10DBB000EC760100ED760100EE760100EF760100D3
-:10DBC000F0760100F1760100F2760100F3760100B3
-:10DBD000F4760100F5760100F6760100F776010093
-:10DBE000F8760100F9760100FA760100FB76010073
-:10DBF000FC760100FD760100FE760100FF76010053
-:10DC0000007701000177010002770100037701002E
-:10DC1000047701000577010006770100077701000E
-:10DC200008770100097701000A7701000B770100EE
-:10DC30000C7701000D7701000E7701000F770100CE
-:10DC400010770100117701001277010013770100AE
-:10DC5000147701001577010016770100177701008E
-:10DC600018770100197701001A7701001B7701006E
-:10DC70001C7701001D7701001E7701001F7701004E
-:10DC8000207701002177010022770100237701002E
-:10DC9000247701002577010026770100277701000E
-:10DCA00028770100297701002A7701002B770100EE
-:10DCB0002C7701002D7701002E7701002F770100CE
-:10DCC00030770100317701003277010033770100AE
-:10DCD000347701003577010036770100377701008E
-:10DCE00038770100397701003A7701003B7701006E
-:10DCF0003C7701003D7701003E7701003F7701004E
-:10DD0000407701004177010042770100437701002D
-:10DD1000447701004577010046770100477701000D
-:10DD200048770100497701004A7701004B770100ED
-:10DD30004C7701004D7701004E7701004F770100CD
-:10DD400050770100517701005277010053770100AD
-:10DD5000547701005577010056770100577701008D
-:10DD600058770100597701005A7701005B7701006D
-:10DD70005C7701005D7701005E7701005F7701004D
-:10DD8000607701006177010062770100637701002D
-:10DD9000647701006577010066770100677701000D
-:10DDA00068770100697701006A7701006B770100ED
-:10DDB0006C7701006D7701006E7701006F770100CD
-:10DDC00070770100717701007277010073770100AD
-:10DDD000747701007577010076770100777701008D
-:10DDE00078770100797701007A7701007B7701006D
-:10DDF0007C7701007D7701007E7701007F7701004D
-:10DE0000807701008177010082770100837701002C
-:10DE1000847701008577010086770100877701000C
-:10DE200088770100897701008A7701008B770100EC
-:10DE30008C7701008D7701008E7701008F770100CC
-:10DE400090770100917701009277010093770100AC
-:10DE5000947701009577010096770100977701008C
-:10DE600098770100997701009A7701009B7701006C
-:10DE70009C7701009D7701009E7701009F7701004C
-:10DE8000A0770100A1770100A2770100A37701002C
-:10DE9000A4770100A5770100A6770100A77701000C
-:10DEA000A8770100A9770100AA770100AB770100EC
-:10DEB000AC770100AD770100AE770100AF770100CC
-:10DEC000B0770100B1770100B2770100B3770100AC
-:10DED000B4770100B5770100B6770100B77701008C
-:10DEE000B8770100B9770100BA770100BB7701006C
-:10DEF000BC770100BD770100BE770100BF7701004C
-:10DF0000C0770100C1770100C2770100C37701002B
-:10DF1000C4770100C5770100C6770100C77701000B
-:10DF2000C8770100C9770100CA770100CB770100EB
-:10DF3000CC770100CD770100CE770100CF770100CB
-:10DF4000D0770100D1770100D2770100D3770100AB
-:10DF5000D4770100D5770100D6770100D77701008B
-:10DF6000D8770100D9770100DA770100DB7701006B
-:10DF7000DC770100DD770100DE770100DF7701004B
-:10DF8000E0770100E1770100E2770100E37701002B
-:10DF9000E4770100E5770100E6770100E77701000B
-:10DFA000E8770100E9770100EA770100EB770100EB
-:10DFB000EC770100ED770100EE770100EF770100CB
-:10DFC000F0770100F1770100F2770100F3770100AB
-:10DFD000F4770100F5770100F6770100F77701008B
-:10DFE000F8770100F9770100FA770100FB7701006B
-:10DFF000FC770100FD770100FE770100FF7701004B
-:10E000000078010001780100027801000378010026
-:10E010000478010005780100067801000778010006
-:10E0200008780100097801000A7801000B780100E6
-:10E030000C7801000D7801000E7801000F780100C6
-:10E0400010780100117801001278010013780100A6
-:10E050001478010015780100167801001778010086
-:10E0600018780100197801001A7801001B78010066
-:10E070001C7801001D7801001E7801001F78010046
-:10E080002078010021780100227801002378010026
-:10E090002478010025780100267801002778010006
-:10E0A00028780100297801002A7801002B780100E6
-:10E0B0002C7801002D7801002E7801002F780100C6
-:10E0C00030780100317801003278010033780100A6
-:10E0D0003478010035780100367801003778010086
-:10E0E00038780100397801003A7801003B78010066
-:10E0F0003C7801003D7801003E7801003F78010046
-:10E100004078010041780100427801004378010025
-:10E110004478010045780100467801004778010005
-:10E1200048780100497801004A7801004B780100E5
-:10E130004C7801004D7801004E7801004F780100C5
-:10E1400050780100517801005278010053780100A5
-:10E150005478010055780100567801005778010085
-:10E1600058780100597801005A7801005B78010065
-:10E170005C7801005D7801005E7801005F78010045
-:10E180006078010061780100627801006378010025
-:10E190006478010065780100667801006778010005
-:10E1A00068780100697801006A7801006B780100E5
-:10E1B0006C7801006D7801006E7801006F780100C5
-:10E1C00070780100717801007278010073780100A5
-:10E1D0007478010075780100767801007778010085
-:10E1E00078780100797801007A7801007B78010065
-:10E1F0007C7801007D7801007E7801007F78010045
-:10E200008078010081780100827801008378010024
-:10E210008478010085780100867801008778010004
-:10E2200088780100897801008A7801008B780100E4
-:10E230008C7801008D7801008E7801008F780100C4
-:10E2400090780100917801009278010093780100A4
-:10E250009478010095780100967801009778010084
-:10E2600098780100997801009A7801009B78010064
-:10E270009C7801009D7801009E7801009F78010044
-:10E28000A0780100A1780100A2780100A378010024
-:10E29000A4780100A5780100A6780100A778010004
-:10E2A000A8780100A9780100AA780100AB780100E4
-:10E2B000AC780100AD780100AE780100AF780100C4
-:10E2C000B0780100B1780100B2780100B3780100A4
-:10E2D000B4780100B5780100B6780100B778010084
-:10E2E000B8780100B9780100BA780100BB78010064
-:10E2F000BC780100BD780100BE780100BF78010044
-:10E30000C0780100C1780100C2780100C378010023
-:10E31000C4780100C5780100C6780100C778010003
-:10E32000C8780100C9780100CA780100CB780100E3
-:10E33000CC780100CD780100CE780100CF780100C3
-:10E34000D0780100D1780100D2780100D3780100A3
-:10E35000D4780100D5780100D6780100D778010083
-:10E36000D8780100D9780100DA780100DB78010063
-:10E37000DC780100DD780100DE780100DF78010043
-:10E38000E0780100E1780100E2780100E378010023
-:10E39000E4780100E5780100E6780100E778010003
-:10E3A000E8780100E9780100EA780100EB780100E3
-:10E3B000EC780100ED780100EE780100EF780100C3
-:10E3C000F0780100F1780100F2780100F3780100A3
-:10E3D000F4780100F5780100F6780100F778010083
-:10E3E000F8780100F9780100FA780100FB78010063
-:10E3F000FC780100FD780100FE780100FF78010043
-:10E40000007901000179010002790100037901001E
-:10E4100004790100057901000679010007790100FE
-:10E4200008790100097901000A7901000B790100DE
-:10E430000C7901000D7901000E7901000F790100BE
-:10E44000107901001179010012790100137901009E
-:10E45000147901001579010016790100177901007E
-:10E4600018790100197901001A7901001B7901005E
-:10E470001C7901001D7901001E7901001F7901003E
-:10E48000207901002179010022790100237901001E
-:10E4900024790100257901002679010027790100FE
-:10E4A00028790100297901002A7901002B790100DE
-:10E4B0002C7901002D7901002E7901002F790100BE
-:10E4C000307901003179010032790100337901009E
-:10E4D000347901003579010036790100377901007E
-:10E4E00038790100397901003A7901003B7901005E
-:10E4F0003C7901003D7901003E7901003F7901003E
-:10E50000407901004179010042790100437901001D
-:10E5100044790100457901004679010047790100FD
-:10E5200048790100497901004A7901004B790100DD
-:10E530004C7901004D7901004E7901004F790100BD
-:10E54000507901005179010052790100537901009D
-:10E55000547901005579010056790100577901007D
-:10E5600058790100597901005A7901005B7901005D
-:10E570005C7901005D7901005E7901005F7901003D
-:10E58000607901006179010062790100637901001D
-:10E5900064790100657901006679010067790100FD
-:10E5A00068790100697901006A7901006B790100DD
-:10E5B0006C7901006D7901006E7901006F790100BD
-:10E5C000707901007179010072790100737901009D
-:10E5D000747901007579010076790100777901007D
-:10E5E00078790100797901007A7901007B7901005D
-:10E5F0007C7901007D7901007E7901007F7901003D
-:10E60000807901008179010082790100837901001C
-:10E6100084790100857901008679010087790100FC
-:10E6200088790100897901008A7901008B790100DC
-:10E630008C7901008D7901008E7901008F790100BC
-:10E64000907901009179010092790100937901009C
-:10E65000947901009579010096790100977901007C
-:10E6600098790100997901009A7901009B7901005C
-:10E670009C7901009D7901009E7901009F7901003C
-:10E68000A0790100A1790100A2790100A37901001C
-:10E69000A4790100A5790100A6790100A7790100FC
-:10E6A000A8790100A9790100AA790100AB790100DC
-:10E6B000AC790100AD790100AE790100AF790100BC
-:10E6C000B0790100B1790100B2790100B37901009C
-:10E6D000B4790100B5790100B6790100B77901007C
-:10E6E000B8790100B9790100BA790100BB7901005C
-:10E6F000BC790100BD790100BE790100BF7901003C
-:10E70000C0790100C1790100C2790100C37901001B
-:10E71000C4790100C5790100C6790100C7790100FB
-:10E72000C8790100C9790100CA790100CB790100DB
-:10E73000CC790100CD790100CE790100CF790100BB
-:10E74000D0790100D1790100D2790100D37901009B
-:10E75000D4790100D5790100D6790100D77901007B
-:10E76000D8790100D9790100DA790100DB7901005B
-:10E77000DC790100DD790100DE790100DF7901003B
-:10E78000E0790100E1790100E2790100E37901001B
-:10E79000E4790100E5790100E6790100E7790100FB
-:10E7A000E8790100E9790100EA790100EB790100DB
-:10E7B000EC790100ED790100EE790100EF790100BB
-:10E7C000F0790100F1790100F2790100F37901009B
-:10E7D000F4790100F5790100F6790100F77901007B
-:10E7E000F8790100F9790100FA790100FB7901005B
-:10E7F000FC790100FD790100FE790100FF7901003B
-:10E80000007A0100017A0100027A0100037A010016
-:10E81000047A0100057A0100067A0100077A0100F6
-:10E82000087A0100097A01000A7A01000B7A0100D6
-:10E830000C7A01000D7A01000E7A01000F7A0100B6
-:10E84000107A0100117A0100127A0100137A010096
-:10E85000147A0100157A0100167A0100177A010076
-:10E86000187A0100197A01001A7A01001B7A010056
-:10E870001C7A01001D7A01001E7A01001F7A010036
-:10E88000207A0100217A0100227A0100237A010016
-:10E89000247A0100257A0100267A0100277A0100F6
-:10E8A000287A0100297A01002A7A01002B7A0100D6
-:10E8B0002C7A01002D7A01002E7A01002F7A0100B6
-:10E8C000307A0100317A0100327A0100337A010096
-:10E8D000347A0100357A0100367A0100377A010076
-:10E8E000387A0100397A01003A7A01003B7A010056
-:10E8F0003C7A01003D7A01003E7A01003F7A010036
-:10E90000407A0100417A0100427A0100437A010015
-:10E91000447A0100457A0100467A0100477A0100F5
-:10E92000487A0100497A01004A7A01004B7A0100D5
-:10E930004C7A01004D7A01004E7A01004F7A0100B5
-:10E94000507A0100517A0100527A0100537A010095
-:10E95000547A0100557A0100567A0100577A010075
-:10E96000587A0100597A01005A7A01005B7A010055
-:10E970005C7A01005D7A01005E7A01005F7A010035
-:10E98000607A0100617A0100627A0100637A010015
-:10E99000647A0100657A0100667A0100677A0100F5
-:10E9A000687A0100697A01006A7A01006B7A0100D5
-:10E9B0006C7A01006D7A01006E7A01006F7A0100B5
-:10E9C000707A0100717A0100727A0100737A010095
-:10E9D000747A0100757A0100767A0100777A010075
-:10E9E000787A0100797A01007A7A01007B7A010055
-:10E9F0007C7A01007D7A01007E7A01007F7A010035
-:10EA0000807A0100817A0100827A0100837A010014
-:10EA1000847A0100857A0100867A0100877A0100F4
-:10EA2000887A0100897A01008A7A01008B7A0100D4
-:10EA30008C7A01008D7A01008E7A01008F7A0100B4
-:10EA4000907A0100917A0100927A0100937A010094
-:10EA5000947A0100957A0100967A0100977A010074
-:10EA6000987A0100997A01009A7A01009B7A010054
-:10EA70009C7A01009D7A01009E7A01009F7A010034
-:10EA8000A07A0100A17A0100A27A0100A37A010014
-:10EA9000A47A0100A57A0100A67A0100A77A0100F4
-:10EAA000A87A0100A97A0100AA7A0100AB7A0100D4
-:10EAB000AC7A0100AD7A0100AE7A0100AF7A0100B4
-:10EAC000B07A0100B17A0100B27A0100B37A010094
-:10EAD000B47A0100B57A0100B67A0100B77A010074
-:10EAE000B87A0100B97A0100BA7A0100BB7A010054
-:10EAF000BC7A0100BD7A0100BE7A0100BF7A010034
-:10EB0000C07A0100C17A0100C27A0100C37A010013
-:10EB1000C47A0100C57A0100C67A0100C77A0100F3
-:10EB2000C87A0100C97A0100CA7A0100CB7A0100D3
-:10EB3000CC7A0100CD7A0100CE7A0100CF7A0100B3
-:10EB4000D07A0100D17A0100D27A0100D37A010093
-:10EB5000D47A0100D57A0100D67A0100D77A010073
-:10EB6000D87A0100D97A0100DA7A0100DB7A010053
-:10EB7000DC7A0100DD7A0100DE7A0100DF7A010033
-:10EB8000E07A0100E17A0100E27A0100E37A010013
-:10EB9000E47A0100E57A0100E67A0100E77A0100F3
-:10EBA000E87A0100E97A0100EA7A0100EB7A0100D3
-:10EBB000EC7A0100ED7A0100EE7A0100EF7A0100B3
-:10EBC000F07A0100F17A0100F27A0100F37A010093
-:10EBD000F47A0100F57A0100F67A0100F77A010073
-:10EBE000F87A0100F97A0100FA7A0100FB7A010053
-:10EBF000FC7A0100FD7A0100FE7A0100FF7A010033
-:10EC0000007B0100017B0100027B0100037B01000E
-:10EC1000047B0100057B0100067B0100077B0100EE
-:10EC2000087B0100097B01000A7B01000B7B0100CE
-:10EC30000C7B01000D7B01000E7B01000F7B0100AE
-:10EC4000107B0100117B0100127B0100137B01008E
-:10EC5000147B0100157B0100167B0100177B01006E
-:10EC6000187B0100197B01001A7B01001B7B01004E
-:10EC70001C7B01001D7B01001E7B01001F7B01002E
-:10EC8000207B0100217B0100227B0100237B01000E
-:10EC9000247B0100257B0100267B0100277B0100EE
-:10ECA000287B0100297B01002A7B01002B7B0100CE
-:10ECB0002C7B01002D7B01002E7B01002F7B0100AE
-:10ECC000307B0100317B0100327B0100337B01008E
-:10ECD000347B0100357B0100367B0100377B01006E
-:10ECE000387B0100397B01003A7B01003B7B01004E
-:10ECF0003C7B01003D7B01003E7B01003F7B01002E
-:10ED0000407B0100417B0100427B0100437B01000D
-:10ED1000447B0100457B0100467B0100477B0100ED
-:10ED2000487B0100497B01004A7B01004B7B0100CD
-:10ED30004C7B01004D7B01004E7B01004F7B0100AD
-:10ED4000507B0100517B0100527B0100537B01008D
-:10ED5000547B0100557B0100567B0100577B01006D
-:10ED6000587B0100597B01005A7B01005B7B01004D
-:10ED70005C7B01005D7B01005E7B01005F7B01002D
-:10ED8000607B0100617B0100627B0100637B01000D
-:10ED9000647B0100657B0100667B0100677B0100ED
-:10EDA000687B0100697B01006A7B01006B7B0100CD
-:10EDB0006C7B01006D7B01006E7B01006F7B0100AD
-:10EDC000707B0100717B0100727B0100737B01008D
-:10EDD000747B0100757B0100767B0100777B01006D
-:10EDE000787B0100797B01007A7B01007B7B01004D
-:10EDF0007C7B01007D7B01007E7B01007F7B01002D
-:10EE0000807B0100817B0100827B0100837B01000C
-:10EE1000847B0100857B0100867B0100877B0100EC
-:10EE2000887B0100897B01008A7B01008B7B0100CC
-:10EE30008C7B01008D7B01008E7B01008F7B0100AC
-:10EE4000907B0100917B0100927B0100937B01008C
-:10EE5000947B0100957B0100967B0100977B01006C
-:10EE6000987B0100997B01009A7B01009B7B01004C
-:10EE70009C7B01009D7B01009E7B01009F7B01002C
-:10EE8000A07B0100A17B0100A27B0100A37B01000C
-:10EE9000A47B0100A57B0100A67B0100A77B0100EC
-:10EEA000A87B0100A97B0100AA7B0100AB7B0100CC
-:10EEB000AC7B0100AD7B0100AE7B0100AF7B0100AC
-:10EEC000B07B0100B17B0100B27B0100B37B01008C
-:10EED000B47B0100B57B0100B67B0100B77B01006C
-:10EEE000B87B0100B97B0100BA7B0100BB7B01004C
-:10EEF000BC7B0100BD7B0100BE7B0100BF7B01002C
-:10EF0000C07B0100C17B0100C27B0100C37B01000B
-:10EF1000C47B0100C57B0100C67B0100C77B0100EB
-:10EF2000C87B0100C97B0100CA7B0100CB7B0100CB
-:10EF3000CC7B0100CD7B0100CE7B0100CF7B0100AB
-:10EF4000D07B0100D17B0100D27B0100D37B01008B
-:10EF5000D47B0100D57B0100D67B0100D77B01006B
-:10EF6000D87B0100D97B0100DA7B0100DB7B01004B
-:10EF7000DC7B0100DD7B0100DE7B0100DF7B01002B
-:10EF8000E07B0100E17B0100E27B0100E37B01000B
-:10EF9000E47B0100E57B0100E67B0100E77B0100EB
-:10EFA000E87B0100E97B0100EA7B0100EB7B0100CB
-:10EFB000EC7B0100ED7B0100EE7B0100EF7B0100AB
-:10EFC000F07B0100F17B0100F27B0100F37B01008B
-:10EFD000F47B0100F57B0100F67B0100F77B01006B
-:10EFE000F87B0100F97B0100FA7B0100FB7B01004B
-:10EFF000FC7B0100FD7B0100FE7B0100FF7B01002B
-:10F00000007C0100017C0100027C0100037C010006
-:10F01000047C0100057C0100067C0100077C0100E6
-:10F02000087C0100097C01000A7C01000B7C0100C6
-:10F030000C7C01000D7C01000E7C01000F7C0100A6
-:10F04000107C0100117C0100127C0100137C010086
-:10F05000147C0100157C0100167C0100177C010066
-:10F06000187C0100197C01001A7C01001B7C010046
-:10F070001C7C01001D7C01001E7C01001F7C010026
-:10F08000207C0100217C0100227C0100237C010006
-:10F09000247C0100257C0100267C0100277C0100E6
-:10F0A000287C0100297C01002A7C01002B7C0100C6
-:10F0B0002C7C01002D7C01002E7C01002F7C0100A6
-:10F0C000307C0100317C0100327C0100337C010086
-:10F0D000347C0100357C0100367C0100377C010066
-:10F0E000387C0100397C01003A7C01003B7C010046
-:10F0F0003C7C01003D7C01003E7C01003F7C010026
-:10F10000407C0100417C0100427C0100437C010005
-:10F11000447C0100457C0100467C0100477C0100E5
-:10F12000487C0100497C01004A7C01004B7C0100C5
-:10F130004C7C01004D7C01004E7C01004F7C0100A5
-:10F14000507C0100517C0100527C0100537C010085
-:10F15000547C0100557C0100567C0100577C010065
-:10F16000587C0100597C01005A7C01005B7C010045
-:10F170005C7C01005D7C01005E7C01005F7C010025
-:10F18000607C0100617C0100627C0100637C010005
-:10F19000647C0100657C0100667C0100677C0100E5
-:10F1A000687C0100697C01006A7C01006B7C0100C5
-:10F1B0006C7C01006D7C01006E7C01006F7C0100A5
-:10F1C000707C0100717C0100727C0100737C010085
-:10F1D000747C0100757C0100767C0100777C010065
-:10F1E000787C0100797C01007A7C01007B7C010045
-:10F1F0007C7C01007D7C01007E7C01007F7C010025
-:10F20000807C0100817C0100827C0100837C010004
-:10F21000847C0100857C0100867C0100877C0100E4
-:10F22000887C0100897C01008A7C01008B7C0100C4
-:10F230008C7C01008D7C01008E7C01008F7C0100A4
-:10F24000907C0100917C0100927C0100937C010084
-:10F25000947C0100957C0100967C0100977C010064
-:10F26000987C0100997C01009A7C01009B7C010044
-:10F270009C7C01009D7C01009E7C01009F7C010024
-:10F28000A07C0100A17C0100A27C0100A37C010004
-:10F29000A47C0100A57C0100A67C0100A77C0100E4
-:10F2A000A87C0100A97C0100AA7C0100AB7C0100C4
-:10F2B000AC7C0100AD7C0100AE7C0100AF7C0100A4
-:10F2C000B07C0100B17C0100B27C0100B37C010084
-:10F2D000B47C0100B57C0100B67C0100B77C010064
-:10F2E000B87C0100B97C0100BA7C0100BB7C010044
-:10F2F000BC7C0100BD7C0100BE7C0100BF7C010024
-:10F30000C07C0100C17C0100C27C0100C37C010003
-:10F31000C47C0100C57C0100C67C0100C77C0100E3
-:10F32000C87C0100C97C0100CA7C0100CB7C0100C3
-:10F33000CC7C0100CD7C0100CE7C0100CF7C0100A3
-:10F34000D07C0100D17C0100D27C0100D37C010083
-:10F35000D47C0100D57C0100D67C0100D77C010063
-:10F36000D87C0100D97C0100DA7C0100DB7C010043
-:10F37000DC7C0100DD7C0100DE7C0100DF7C010023
-:10F38000E07C0100E17C0100E27C0100E37C010003
-:10F39000E47C0100E57C0100E67C0100E77C0100E3
-:10F3A000E87C0100E97C0100EA7C0100EB7C0100C3
-:10F3B000EC7C0100ED7C0100EE7C0100EF7C0100A3
-:10F3C000F07C0100F17C0100F27C0100F37C010083
-:10F3D000F47C0100F57C0100F67C0100F77C010063
-:10F3E000F87C0100F97C0100FA7C0100FB7C010043
-:10F3F000FC7C0100FD7C0100FE7C0100FF7C010023
-:10F40000007D0100017D0100027D0100037D0100FE
-:10F41000047D0100057D0100067D0100077D0100DE
-:10F42000087D0100097D01000A7D01000B7D0100BE
-:10F430000C7D01000D7D01000E7D01000F7D01009E
-:10F44000107D0100117D0100127D0100137D01007E
-:10F45000147D0100157D0100167D0100177D01005E
-:10F46000187D0100197D01001A7D01001B7D01003E
-:10F470001C7D01001D7D01001E7D01001F7D01001E
-:10F48000207D0100217D0100227D0100237D0100FE
-:10F49000247D0100257D0100267D0100277D0100DE
-:10F4A000287D0100297D01002A7D01002B7D0100BE
-:10F4B0002C7D01002D7D01002E7D01002F7D01009E
-:10F4C000307D0100317D0100327D0100337D01007E
-:10F4D000347D0100357D0100367D0100377D01005E
-:10F4E000387D0100397D01003A7D01003B7D01003E
-:10F4F0003C7D01003D7D01003E7D01003F7D01001E
-:10F50000407D0100417D0100427D0100437D0100FD
-:10F51000447D0100457D0100467D0100477D0100DD
-:10F52000487D0100497D01004A7D01004B7D0100BD
-:10F530004C7D01004D7D01004E7D01004F7D01009D
-:10F54000507D0100517D0100527D0100537D01007D
-:10F55000547D0100557D0100567D0100577D01005D
-:10F56000587D0100597D01005A7D01005B7D01003D
-:10F570005C7D01005D7D01005E7D01005F7D01001D
-:10F58000607D0100617D0100627D0100637D0100FD
-:10F59000647D0100657D0100667D0100677D0100DD
-:10F5A000687D0100697D01006A7D01006B7D0100BD
-:10F5B0006C7D01006D7D01006E7D01006F7D01009D
-:10F5C000707D0100717D0100727D0100737D01007D
-:10F5D000747D0100757D0100767D0100777D01005D
-:10F5E000787D0100797D01007A7D01007B7D01003D
-:10F5F0007C7D01007D7D01007E7D01007F7D01001D
-:10F60000807D0100817D0100827D0100837D0100FC
-:10F61000847D0100857D0100867D0100877D0100DC
-:10F62000887D0100897D01008A7D01008B7D0100BC
-:10F630008C7D01008D7D01008E7D01008F7D01009C
-:10F64000907D0100917D0100927D0100937D01007C
-:10F65000947D0100957D0100967D0100977D01005C
-:10F66000987D0100997D01009A7D01009B7D01003C
-:10F670009C7D01009D7D01009E7D01009F7D01001C
-:10F68000A07D0100A17D0100A27D0100A37D0100FC
-:10F69000A47D0100A57D0100A67D0100A77D0100DC
-:10F6A000A87D0100A97D0100AA7D0100AB7D0100BC
-:10F6B000AC7D0100AD7D0100AE7D0100AF7D01009C
-:10F6C000B07D0100B17D0100B27D0100B37D01007C
-:10F6D000B47D0100B57D0100B67D0100B77D01005C
-:10F6E000B87D0100B97D0100BA7D0100BB7D01003C
-:10F6F000BC7D0100BD7D0100BE7D0100BF7D01001C
-:10F70000C07D0100C17D0100C27D0100C37D0100FB
-:10F71000C47D0100C57D0100C67D0100C77D0100DB
-:10F72000C87D0100C97D0100CA7D0100CB7D0100BB
-:10F73000CC7D0100CD7D0100CE7D0100CF7D01009B
-:10F74000D07D0100D17D0100D27D0100D37D01007B
-:10F75000D47D0100D57D0100D67D0100D77D01005B
-:10F76000D87D0100D97D0100DA7D0100DB7D01003B
-:10F77000DC7D0100DD7D0100DE7D0100DF7D01001B
-:10F78000E07D0100E17D0100E27D0100E37D0100FB
-:10F79000E47D0100E57D0100E67D0100E77D0100DB
-:10F7A000E87D0100E97D0100EA7D0100EB7D0100BB
-:10F7B000EC7D0100ED7D0100EE7D0100EF7D01009B
-:10F7C000F07D0100F17D0100F27D0100F37D01007B
-:10F7D000F47D0100F57D0100F67D0100F77D01005B
-:10F7E000F87D0100F97D0100FA7D0100FB7D01003B
-:10F7F000FC7D0100FD7D0100FE7D0100FF7D01001B
-:10F80000007E0100017E0100027E0100037E0100F6
-:10F81000047E0100057E0100067E0100077E0100D6
-:10F82000087E0100097E01000A7E01000B7E0100B6
-:10F830000C7E01000D7E01000E7E01000F7E010096
-:10F84000107E0100117E0100127E0100137E010076
-:10F85000147E0100157E0100167E0100177E010056
-:10F86000187E0100197E01001A7E01001B7E010036
-:10F870001C7E01001D7E01001E7E01001F7E010016
-:10F88000207E0100217E0100227E0100237E0100F6
-:10F89000247E0100257E0100267E0100277E0100D6
-:10F8A000287E0100297E01002A7E01002B7E0100B6
-:10F8B0002C7E01002D7E01002E7E01002F7E010096
-:10F8C000307E0100317E0100327E0100337E010076
-:10F8D000347E0100357E0100367E0100377E010056
-:10F8E000387E0100397E01003A7E01003B7E010036
-:10F8F0003C7E01003D7E01003E7E01003F7E010016
-:10F90000407E0100417E0100427E0100437E0100F5
-:10F91000447E0100457E0100467E0100477E0100D5
-:10F92000487E0100497E01004A7E01004B7E0100B5
-:10F930004C7E01004D7E01004E7E01004F7E010095
-:10F94000507E0100517E0100527E0100537E010075
-:10F95000547E0100557E0100567E0100577E010055
-:10F96000587E0100597E01005A7E01005B7E010035
-:10F970005C7E01005D7E01005E7E01005F7E010015
-:10F98000607E0100617E0100627E0100637E0100F5
-:10F99000647E0100657E0100667E0100677E0100D5
-:10F9A000687E0100697E01006A7E01006B7E0100B5
-:10F9B0006C7E01006D7E01006E7E01006F7E010095
-:10F9C000707E0100717E0100727E0100737E010075
-:10F9D000747E0100757E0100767E0100777E010055
-:10F9E000787E0100797E01007A7E01007B7E010035
-:10F9F0007C7E01007D7E01007E7E01007F7E010015
-:10FA0000807E0100817E0100827E0100837E0100F4
-:10FA1000847E0100857E0100867E0100877E0100D4
-:10FA2000887E0100897E01008A7E01008B7E0100B4
-:10FA30008C7E01008D7E01008E7E01008F7E010094
-:10FA4000907E0100917E0100927E0100937E010074
-:10FA5000947E0100957E0100967E0100977E010054
-:10FA6000987E0100997E01009A7E01009B7E010034
-:10FA70009C7E01009D7E01009E7E01009F7E010014
-:10FA8000A07E0100A17E0100A27E0100A37E0100F4
-:10FA9000A47E0100A57E0100A67E0100A77E0100D4
-:10FAA000A87E0100A97E0100AA7E0100AB7E0100B4
-:10FAB000AC7E0100AD7E0100AE7E0100AF7E010094
-:10FAC000B07E0100B17E0100B27E0100B37E010074
-:10FAD000B47E0100B57E0100B67E0100B77E010054
-:10FAE000B87E0100B97E0100BA7E0100BB7E010034
-:10FAF000BC7E0100BD7E0100BE7E0100BF7E010014
-:10FB0000C07E0100C17E0100C27E0100C37E0100F3
-:10FB1000C47E0100C57E0100C67E0100C77E0100D3
-:10FB2000C87E0100C97E0100CA7E0100CB7E0100B3
-:10FB3000CC7E0100CD7E0100CE7E0100CF7E010093
-:10FB4000D07E0100D17E0100D27E0100D37E010073
-:10FB5000D47E0100D57E0100D67E0100D77E010053
-:10FB6000D87E0100D97E0100DA7E0100DB7E010033
-:10FB7000DC7E0100DD7E0100DE7E0100DF7E010013
-:10FB8000E07E0100E17E0100E27E0100E37E0100F3
-:10FB9000E47E0100E57E0100E67E0100E77E0100D3
-:10FBA000E87E0100E97E0100EA7E0100EB7E0100B3
-:10FBB000EC7E0100ED7E0100EE7E0100EF7E010093
-:10FBC000F07E0100F17E0100F27E0100F37E010073
-:10FBD000F47E0100F57E0100F67E0100F77E010053
-:10FBE000F87E0100F97E0100FA7E0100FB7E010033
-:10FBF000FC7E0100FD7E0100FE7E0100FF7E010013
-:10FC0000007F0100017F0100027F0100037F0100EE
-:10FC1000047F0100057F0100067F0100077F0100CE
-:10FC2000087F0100097F01000A7F01000B7F0100AE
-:10FC30000C7F01000D7F01000E7F01000F7F01008E
-:10FC4000107F0100117F0100127F0100137F01006E
-:10FC5000147F0100157F0100167F0100177F01004E
-:10FC6000187F0100197F01001A7F01001B7F01002E
-:10FC70001C7F01001D7F01001E7F01001F7F01000E
-:10FC8000207F0100217F0100227F0100237F0100EE
-:10FC9000247F0100257F0100267F0100277F0100CE
-:10FCA000287F0100297F01002A7F01002B7F0100AE
-:10FCB0002C7F01002D7F01002E7F01002F7F01008E
-:10FCC000307F0100317F0100327F0100337F01006E
-:10FCD000347F0100357F0100367F0100377F01004E
-:10FCE000387F0100397F01003A7F01003B7F01002E
-:10FCF0003C7F01003D7F01003E7F01003F7F01000E
-:10FD0000407F0100417F0100427F0100437F0100ED
-:10FD1000447F0100457F0100467F0100477F0100CD
-:10FD2000487F0100497F01004A7F01004B7F0100AD
-:10FD30004C7F01004D7F01004E7F01004F7F01008D
-:10FD4000507F0100517F0100527F0100537F01006D
-:10FD5000547F0100557F0100567F0100577F01004D
-:10FD6000587F0100597F01005A7F01005B7F01002D
-:10FD70005C7F01005D7F01005E7F01005F7F01000D
-:10FD8000607F0100617F0100627F0100637F0100ED
-:10FD9000647F0100657F0100667F0100677F0100CD
-:10FDA000687F0100697F01006A7F01006B7F0100AD
-:10FDB0006C7F01006D7F01006E7F01006F7F01008D
-:10FDC000707F0100717F0100727F0100737F01006D
-:10FDD000747F0100757F0100767F0100777F01004D
-:10FDE000787F0100797F01007A7F01007B7F01002D
-:10FDF0007C7F01007D7F01007E7F01007F7F01000D
-:10FE0000807F0100817F0100827F0100837F0100EC
-:10FE1000847F0100857F0100867F0100877F0100CC
-:10FE2000887F0100897F01008A7F01008B7F0100AC
-:10FE30008C7F01008D7F01008E7F01008F7F01008C
-:10FE4000907F0100917F0100927F0100937F01006C
-:10FE5000947F0100957F0100967F0100977F01004C
-:10FE6000987F0100997F01009A7F01009B7F01002C
-:10FE70009C7F01009D7F01009E7F01009F7F01000C
-:10FE8000A07F0100A17F0100A27F0100A37F0100EC
-:10FE9000A47F0100A57F0100A67F0100A77F0100CC
-:10FEA000A87F0100A97F0100AA7F0100AB7F0100AC
-:10FEB000AC7F0100AD7F0100AE7F0100AF7F01008C
-:10FEC000B07F0100B17F0100B27F0100B37F01006C
-:10FED000B47F0100B57F0100B67F0100B77F01004C
-:10FEE000B87F0100B97F0100BA7F0100BB7F01002C
-:10FEF000BC7F0100BD7F0100BE7F0100BF7F01000C
-:10FF0000C07F0100C17F0100C27F0100C37F0100EB
-:10FF1000C47F0100C57F0100C67F0100C77F0100CB
-:10FF2000C87F0100C97F0100CA7F0100CB7F0100AB
-:10FF3000CC7F0100CD7F0100CE7F0100CF7F01008B
-:10FF4000D07F0100D17F0100D27F0100D37F01006B
-:10FF5000D47F0100D57F0100D67F0100D77F01004B
-:10FF6000D87F0100D97F0100DA7F0100DB7F01002B
-:10FF7000DC7F0100DD7F0100DE7F0100DF7F01000B
-:10FF8000E07F0100E17F0100E27F0100E37F0100EB
-:10FF9000E47F0100E57F0100E67F0100E77F0100CB
-:10FFA000E87F0100E97F0100EA7F0100EB7F0100AB
-:10FFB000EC7F0100ED7F0100EE7F0100EF7F01008B
-:10FFC000F07F0100F17F0100F27F0100F37F01006B
-:10FFD000F47F0100F57F0100F67F0100F77F01004B
-:10FFE000F87F0100F97F0100FA7F0100FB7F01002B
-:10FFF000FC7F0100FD7F0100FE7F0100FF7F01000B
-:0200000260009C
-:1000000000800100018001000280010003800100E6
-:1000100004800100058001000680010007800100C6
-:1000200008800100098001000A8001000B800100A6
-:100030000C8001000D8001000E8001000F80010086
-:100040001080010011800100128001001380010066
-:100050001480010015800100168001001780010046
-:1000600018800100198001001A8001001B80010026
-:100070001C8001001D8001001E8001001F80010006
-:1000800020800100218001002280010023800100E6
-:1000900024800100258001002680010027800100C6
-:1000A00028800100298001002A8001002B800100A6
-:1000B0002C8001002D8001002E8001002F80010086
-:1000C0003080010031800100328001003380010066
-:1000D0003480010035800100368001003780010046
-:1000E00038800100398001003A8001003B80010026
-:1000F0003C8001003D8001003E8001003F80010006
-:1001000040800100418001004280010043800100E5
-:1001100044800100458001004680010047800100C5
-:1001200048800100498001004A8001004B800100A5
-:100130004C8001004D8001004E8001004F80010085
-:100140005080010051800100528001005380010065
-:100150005480010055800100568001005780010045
-:1001600058800100598001005A8001005B80010025
-:100170005C8001005D8001005E8001005F80010005
-:1001800060800100618001006280010063800100E5
-:1001900064800100658001006680010067800100C5
-:1001A00068800100698001006A8001006B800100A5
-:1001B0006C8001006D8001006E8001006F80010085
-:1001C0007080010071800100728001007380010065
-:1001D0007480010075800100768001007780010045
-:1001E00078800100798001007A8001007B80010025
-:1001F0007C8001007D8001007E8001007F80010005
-:1002000080800100818001008280010083800100E4
-:1002100084800100858001008680010087800100C4
-:1002200088800100898001008A8001008B800100A4
-:100230008C8001008D8001008E8001008F80010084
-:100240009080010091800100928001009380010064
-:100250009480010095800100968001009780010044
-:1002600098800100998001009A8001009B80010024
-:100270009C8001009D8001009E8001009F80010004
-:10028000A0800100A1800100A2800100A3800100E4
-:10029000A4800100A5800100A6800100A7800100C4
-:1002A000A8800100A9800100AA800100AB800100A4
-:1002B000AC800100AD800100AE800100AF80010084
-:1002C000B0800100B1800100B2800100B380010064
-:1002D000B4800100B5800100B6800100B780010044
-:1002E000B8800100B9800100BA800100BB80010024
-:1002F000BC800100BD800100BE800100BF80010004
-:10030000C0800100C1800100C2800100C3800100E3
-:10031000C4800100C5800100C6800100C7800100C3
-:10032000C8800100C9800100CA800100CB800100A3
-:10033000CC800100CD800100CE800100CF80010083
-:10034000D0800100D1800100D2800100D380010063
-:10035000D4800100D5800100D6800100D780010043
-:10036000D8800100D9800100DA800100DB80010023
-:10037000DC800100DD800100DE800100DF80010003
-:10038000E0800100E1800100E2800100E3800100E3
-:10039000E4800100E5800100E6800100E7800100C3
-:1003A000E8800100E9800100EA800100EB800100A3
-:1003B000EC800100ED800100EE800100EF80010083
-:1003C000F0800100F1800100F2800100F380010063
-:1003D000F4800100F5800100F6800100F780010043
-:1003E000F8800100F9800100FA800100FB80010023
-:1003F000FC800100FD800100FE800100FF80010003
-:1004000000810100018101000281010003810100DE
-:1004100004810100058101000681010007810100BE
-:1004200008810100098101000A8101000B8101009E
-:100430000C8101000D8101000E8101000F8101007E
-:10044000108101001181010012810100138101005E
-:10045000148101001581010016810100178101003E
-:1004600018810100198101001A8101001B8101001E
-:100470001C8101001D8101001E8101001F810100FE
-:1004800020810100218101002281010023810100DE
-:1004900024810100258101002681010027810100BE
-:1004A00028810100298101002A8101002B8101009E
-:1004B0002C8101002D8101002E8101002F8101007E
-:1004C000308101003181010032810100338101005E
-:1004D000348101003581010036810100378101003E
-:1004E00038810100398101003A8101003B8101001E
-:1004F0003C8101003D8101003E8101003F810100FE
-:1005000040810100418101004281010043810100DD
-:1005100044810100458101004681010047810100BD
-:1005200048810100498101004A8101004B8101009D
-:100530004C8101004D8101004E8101004F8101007D
-:10054000508101005181010052810100538101005D
-:10055000548101005581010056810100578101003D
-:1005600058810100598101005A8101005B8101001D
-:100570005C8101005D8101005E8101005F810100FD
-:1005800060810100618101006281010063810100DD
-:1005900064810100658101006681010067810100BD
-:1005A00068810100698101006A8101006B8101009D
-:1005B0006C8101006D8101006E8101006F8101007D
-:1005C000708101007181010072810100738101005D
-:1005D000748101007581010076810100778101003D
-:1005E00078810100798101007A8101007B8101001D
-:1005F0007C8101007D8101007E8101007F810100FD
-:1006000080810100818101008281010083810100DC
-:1006100084810100858101008681010087810100BC
-:1006200088810100898101008A8101008B8101009C
-:100630008C8101008D8101008E8101008F8101007C
-:10064000908101009181010092810100938101005C
-:10065000948101009581010096810100978101003C
-:1006600098810100998101009A8101009B8101001C
-:100670009C8101009D8101009E8101009F810100FC
-:10068000A0810100A1810100A2810100A3810100DC
-:10069000A4810100A5810100A6810100A7810100BC
-:1006A000A8810100A9810100AA810100AB8101009C
-:1006B000AC810100AD810100AE810100AF8101007C
-:1006C000B0810100B1810100B2810100B38101005C
-:1006D000B4810100B5810100B6810100B78101003C
-:1006E000B8810100B9810100BA810100BB8101001C
-:1006F000BC810100BD810100BE810100BF810100FC
-:10070000C0810100C1810100C2810100C3810100DB
-:10071000C4810100C5810100C6810100C7810100BB
-:10072000C8810100C9810100CA810100CB8101009B
-:10073000CC810100CD810100CE810100CF8101007B
-:10074000D0810100D1810100D2810100D38101005B
-:10075000D4810100D5810100D6810100D78101003B
-:10076000D8810100D9810100DA810100DB8101001B
-:10077000DC810100DD810100DE810100DF810100FB
-:10078000E0810100E1810100E2810100E3810100DB
-:10079000E4810100E5810100E6810100E7810100BB
-:1007A000E8810100E9810100EA810100EB8101009B
-:1007B000EC810100ED810100EE810100EF8101007B
-:1007C000F0810100F1810100F2810100F38101005B
-:1007D000F4810100F5810100F6810100F78101003B
-:1007E000F8810100F9810100FA810100FB8101001B
-:1007F000FC810100FD810100FE810100FF810100FB
-:1008000000820100018201000282010003820100D6
-:1008100004820100058201000682010007820100B6
-:1008200008820100098201000A8201000B82010096
-:100830000C8201000D8201000E8201000F82010076
-:100840001082010011820100128201001382010056
-:100850001482010015820100168201001782010036
-:1008600018820100198201001A8201001B82010016
-:100870001C8201001D8201001E8201001F820100F6
-:1008800020820100218201002282010023820100D6
-:1008900024820100258201002682010027820100B6
-:1008A00028820100298201002A8201002B82010096
-:1008B0002C8201002D8201002E8201002F82010076
-:1008C0003082010031820100328201003382010056
-:1008D0003482010035820100368201003782010036
-:1008E00038820100398201003A8201003B82010016
-:1008F0003C8201003D8201003E8201003F820100F6
-:1009000040820100418201004282010043820100D5
-:1009100044820100458201004682010047820100B5
-:1009200048820100498201004A8201004B82010095
-:100930004C8201004D8201004E8201004F82010075
-:100940005082010051820100528201005382010055
-:100950005482010055820100568201005782010035
-:1009600058820100598201005A8201005B82010015
-:100970005C8201005D8201005E8201005F820100F5
-:1009800060820100618201006282010063820100D5
-:1009900064820100658201006682010067820100B5
-:1009A00068820100698201006A8201006B82010095
-:1009B0006C8201006D8201006E8201006F82010075
-:1009C0007082010071820100728201007382010055
-:1009D0007482010075820100768201007782010035
-:1009E00078820100798201007A8201007B82010015
-:1009F0007C8201007D8201007E8201007F820100F5
-:100A000080820100818201008282010083820100D4
-:100A100084820100858201008682010087820100B4
-:100A200088820100898201008A8201008B82010094
-:100A30008C8201008D8201008E8201008F82010074
-:100A40009082010091820100928201009382010054
-:100A50009482010095820100968201009782010034
-:100A600098820100998201009A8201009B82010014
-:100A70009C8201009D8201009E8201009F820100F4
-:100A8000A0820100A1820100A2820100A3820100D4
-:100A9000A4820100A5820100A6820100A7820100B4
-:100AA000A8820100A9820100AA820100AB82010094
-:100AB000AC820100AD820100AE820100AF82010074
-:100AC000B0820100B1820100B2820100B382010054
-:100AD000B4820100B5820100B6820100B782010034
-:100AE000B8820100B9820100BA820100BB82010014
-:100AF000BC820100BD820100BE820100BF820100F4
-:100B0000C0820100C1820100C2820100C3820100D3
-:100B1000C4820100C5820100C6820100C7820100B3
-:100B2000C8820100C9820100CA820100CB82010093
-:100B3000CC820100CD820100CE820100CF82010073
-:100B4000D0820100D1820100D2820100D382010053
-:100B5000D4820100D5820100D6820100D782010033
-:100B6000D8820100D9820100DA820100DB82010013
-:100B7000DC820100DD820100DE820100DF820100F3
-:100B8000E0820100E1820100E2820100E3820100D3
-:100B9000E4820100E5820100E6820100E7820100B3
-:100BA000E8820100E9820100EA820100EB82010093
-:100BB000EC820100ED820100EE820100EF82010073
-:100BC000F0820100F1820100F2820100F382010053
-:100BD000F4820100F5820100F6820100F782010033
-:100BE000F8820100F9820100FA820100FB82010013
-:100BF000FC820100FD820100FE820100FF820100F3
-:100C000000830100018301000283010003830100CE
-:100C100004830100058301000683010007830100AE
-:100C200008830100098301000A8301000B8301008E
-:100C30000C8301000D8301000E8301000F8301006E
-:100C4000108301001183010012830100138301004E
-:100C5000148301001583010016830100178301002E
-:100C600018830100198301001A8301001B8301000E
-:100C70001C8301001D8301001E8301001F830100EE
-:100C800020830100218301002283010023830100CE
-:100C900024830100258301002683010027830100AE
-:100CA00028830100298301002A8301002B8301008E
-:100CB0002C8301002D8301002E8301002F8301006E
-:100CC000308301003183010032830100338301004E
-:100CD000348301003583010036830100378301002E
-:100CE00038830100398301003A8301003B8301000E
-:100CF0003C8301003D8301003E8301003F830100EE
-:100D000040830100418301004283010043830100CD
-:100D100044830100458301004683010047830100AD
-:100D200048830100498301004A8301004B8301008D
-:100D30004C8301004D8301004E8301004F8301006D
-:100D4000508301005183010052830100538301004D
-:100D5000548301005583010056830100578301002D
-:100D600058830100598301005A8301005B8301000D
-:100D70005C8301005D8301005E8301005F830100ED
-:100D800060830100618301006283010063830100CD
-:100D900064830100658301006683010067830100AD
-:100DA00068830100698301006A8301006B8301008D
-:100DB0006C8301006D8301006E8301006F8301006D
-:100DC000708301007183010072830100738301004D
-:100DD000748301007583010076830100778301002D
-:100DE00078830100798301007A8301007B8301000D
-:100DF0007C8301007D8301007E8301007F830100ED
-:100E000080830100818301008283010083830100CC
-:100E100084830100858301008683010087830100AC
-:100E200088830100898301008A8301008B8301008C
-:100E30008C8301008D8301008E8301008F8301006C
-:100E4000908301009183010092830100938301004C
-:100E5000948301009583010096830100978301002C
-:100E600098830100998301009A8301009B8301000C
-:100E70009C8301009D8301009E8301009F830100EC
-:100E8000A0830100A1830100A2830100A3830100CC
-:100E9000A4830100A5830100A6830100A7830100AC
-:100EA000A8830100A9830100AA830100AB8301008C
-:100EB000AC830100AD830100AE830100AF8301006C
-:100EC000B0830100B1830100B2830100B38301004C
-:100ED000B4830100B5830100B6830100B78301002C
-:100EE000B8830100B9830100BA830100BB8301000C
-:100EF000BC830100BD830100BE830100BF830100EC
-:100F0000C0830100C1830100C2830100C3830100CB
-:100F1000C4830100C5830100C6830100C7830100AB
-:100F2000C8830100C9830100CA830100CB8301008B
-:100F3000CC830100CD830100CE830100CF8301006B
-:100F4000D0830100D1830100D2830100D38301004B
-:100F5000D4830100D5830100D6830100D78301002B
-:100F6000D8830100D9830100DA830100DB8301000B
-:100F7000DC830100DD830100DE830100DF830100EB
-:100F8000E0830100E1830100E2830100E3830100CB
-:100F9000E4830100E5830100E6830100E7830100AB
-:100FA000E8830100E9830100EA830100EB8301008B
-:100FB000EC830100ED830100EE830100EF8301006B
-:100FC000F0830100F1830100F2830100F38301004B
-:100FD000F4830100F5830100F6830100F78301002B
-:100FE000F8830100F9830100FA830100FB8301000B
-:100FF000FC830100FD830100FE830100FF830100EB
-:1010000000840100018401000284010003840100C6
-:1010100004840100058401000684010007840100A6
-:1010200008840100098401000A8401000B84010086
-:101030000C8401000D8401000E8401000F84010066
-:101040001084010011840100128401001384010046
-:101050001484010015840100168401001784010026
-:1010600018840100198401001A8401001B84010006
-:101070001C8401001D8401001E8401001F840100E6
-:1010800020840100218401002284010023840100C6
-:1010900024840100258401002684010027840100A6
-:1010A00028840100298401002A8401002B84010086
-:1010B0002C8401002D8401002E8401002F84010066
-:1010C0003084010031840100328401003384010046
-:1010D0003484010035840100368401003784010026
-:1010E00038840100398401003A8401003B84010006
-:1010F0003C8401003D8401003E8401003F840100E6
-:1011000040840100418401004284010043840100C5
-:1011100044840100458401004684010047840100A5
-:1011200048840100498401004A8401004B84010085
-:101130004C8401004D8401004E8401004F84010065
-:101140005084010051840100528401005384010045
-:101150005484010055840100568401005784010025
-:1011600058840100598401005A8401005B84010005
-:101170005C8401005D8401005E8401005F840100E5
-:1011800060840100618401006284010063840100C5
-:1011900064840100658401006684010067840100A5
-:1011A00068840100698401006A8401006B84010085
-:1011B0006C8401006D8401006E8401006F84010065
-:1011C0007084010071840100728401007384010045
-:1011D0007484010075840100768401007784010025
-:1011E00078840100798401007A8401007B84010005
-:1011F0007C8401007D8401007E8401007F840100E5
-:1012000080840100818401008284010083840100C4
-:1012100084840100858401008684010087840100A4
-:1012200088840100898401008A8401008B84010084
-:101230008C8401008D8401008E8401008F84010064
-:101240009084010091840100928401009384010044
-:101250009484010095840100968401009784010024
-:1012600098840100998401009A8401009B84010004
-:101270009C8401009D8401009E8401009F840100E4
-:10128000A0840100A1840100A2840100A3840100C4
-:10129000A4840100A5840100A6840100A7840100A4
-:1012A000A8840100A9840100AA840100AB84010084
-:1012B000AC840100AD840100AE840100AF84010064
-:1012C000B0840100B1840100B2840100B384010044
-:1012D000B4840100B5840100B6840100B784010024
-:1012E000B8840100B9840100BA840100BB84010004
-:1012F000BC840100BD840100BE840100BF840100E4
-:10130000C0840100C1840100C2840100C3840100C3
-:10131000C4840100C5840100C6840100C7840100A3
-:10132000C8840100C9840100CA840100CB84010083
-:10133000CC840100CD840100CE840100CF84010063
-:10134000D0840100D1840100D2840100D384010043
-:10135000D4840100D5840100D6840100D784010023
-:10136000D8840100D9840100DA840100DB84010003
-:10137000DC840100DD840100DE840100DF840100E3
-:10138000E0840100E1840100E2840100E3840100C3
-:10139000E4840100E5840100E6840100E7840100A3
-:1013A000E8840100E9840100EA840100EB84010083
-:1013B000EC840100ED840100EE840100EF84010063
-:1013C000F0840100F1840100F2840100F384010043
-:1013D000F4840100F5840100F6840100F784010023
-:1013E000F8840100F9840100FA840100FB84010003
-:1013F000FC840100FD840100FE840100FF840100E3
-:1014000000850100018501000285010003850100BE
-:10141000048501000585010006850100078501009E
-:1014200008850100098501000A8501000B8501007E
-:101430000C8501000D8501000E8501000F8501005E
-:10144000108501001185010012850100138501003E
-:10145000148501001585010016850100178501001E
-:1014600018850100198501001A8501001B850100FE
-:101470001C8501001D8501001E8501001F850100DE
-:1014800020850100218501002285010023850100BE
-:10149000248501002585010026850100278501009E
-:1014A00028850100298501002A8501002B8501007E
-:1014B0002C8501002D8501002E8501002F8501005E
-:1014C000308501003185010032850100338501003E
-:1014D000348501003585010036850100378501001E
-:1014E00038850100398501003A8501003B850100FE
-:1014F0003C8501003D8501003E8501003F850100DE
-:1015000040850100418501004285010043850100BD
-:10151000448501004585010046850100478501009D
-:1015200048850100498501004A8501004B8501007D
-:101530004C8501004D8501004E8501004F8501005D
-:10154000508501005185010052850100538501003D
-:10155000548501005585010056850100578501001D
-:1015600058850100598501005A8501005B850100FD
-:101570005C8501005D8501005E8501005F850100DD
-:1015800060850100618501006285010063850100BD
-:10159000648501006585010066850100678501009D
-:1015A00068850100698501006A8501006B8501007D
-:1015B0006C8501006D8501006E8501006F8501005D
-:1015C000708501007185010072850100738501003D
-:1015D000748501007585010076850100778501001D
-:1015E00078850100798501007A8501007B850100FD
-:1015F0007C8501007D8501007E8501007F850100DD
-:1016000080850100818501008285010083850100BC
-:10161000848501008585010086850100878501009C
-:1016200088850100898501008A8501008B8501007C
-:101630008C8501008D8501008E8501008F8501005C
-:10164000908501009185010092850100938501003C
-:10165000948501009585010096850100978501001C
-:1016600098850100998501009A8501009B850100FC
-:101670009C8501009D8501009E8501009F850100DC
-:10168000A0850100A1850100A2850100A3850100BC
-:10169000A4850100A5850100A6850100A78501009C
-:1016A000A8850100A9850100AA850100AB8501007C
-:1016B000AC850100AD850100AE850100AF8501005C
-:1016C000B0850100B1850100B2850100B38501003C
-:1016D000B4850100B5850100B6850100B78501001C
-:1016E000B8850100B9850100BA850100BB850100FC
-:1016F000BC850100BD850100BE850100BF850100DC
-:10170000C0850100C1850100C2850100C3850100BB
-:10171000C4850100C5850100C6850100C78501009B
-:10172000C8850100C9850100CA850100CB8501007B
-:10173000CC850100CD850100CE850100CF8501005B
-:10174000D0850100D1850100D2850100D38501003B
-:10175000D4850100D5850100D6850100D78501001B
-:10176000D8850100D9850100DA850100DB850100FB
-:10177000DC850100DD850100DE850100DF850100DB
-:10178000E0850100E1850100E2850100E3850100BB
-:10179000E4850100E5850100E6850100E78501009B
-:1017A000E8850100E9850100EA850100EB8501007B
-:1017B000EC850100ED850100EE850100EF8501005B
-:1017C000F0850100F1850100F2850100F38501003B
-:1017D000F4850100F5850100F6850100F78501001B
-:1017E000F8850100F9850100FA850100FB850100FB
-:1017F000FC850100FD850100FE850100FF850100DB
-:1018000000860100018601000286010003860100B6
-:101810000486010005860100068601000786010096
-:1018200008860100098601000A8601000B86010076
-:101830000C8601000D8601000E8601000F86010056
-:101840001086010011860100128601001386010036
-:101850001486010015860100168601001786010016
-:1018600018860100198601001A8601001B860100F6
-:101870001C8601001D8601001E8601001F860100D6
-:1018800020860100218601002286010023860100B6
-:101890002486010025860100268601002786010096
-:1018A00028860100298601002A8601002B86010076
-:1018B0002C8601002D8601002E8601002F86010056
-:1018C0003086010031860100328601003386010036
-:1018D0003486010035860100368601003786010016
-:1018E00038860100398601003A8601003B860100F6
-:1018F0003C8601003D8601003E8601003F860100D6
-:1019000040860100418601004286010043860100B5
-:101910004486010045860100468601004786010095
-:1019200048860100498601004A8601004B86010075
-:101930004C8601004D8601004E8601004F86010055
-:101940005086010051860100528601005386010035
-:101950005486010055860100568601005786010015
-:1019600058860100598601005A8601005B860100F5
-:101970005C8601005D8601005E8601005F860100D5
-:1019800060860100618601006286010063860100B5
-:101990006486010065860100668601006786010095
-:1019A00068860100698601006A8601006B86010075
-:1019B0006C8601006D8601006E8601006F86010055
-:1019C0007086010071860100728601007386010035
-:1019D0007486010075860100768601007786010015
-:1019E00078860100798601007A8601007B860100F5
-:1019F0007C8601007D8601007E8601007F860100D5
-:101A000080860100818601008286010083860100B4
-:101A10008486010085860100868601008786010094
-:101A200088860100898601008A8601008B86010074
-:101A30008C8601008D8601008E8601008F86010054
-:101A40009086010091860100928601009386010034
-:101A50009486010095860100968601009786010014
-:101A600098860100998601009A8601009B860100F4
-:101A70009C8601009D8601009E8601009F860100D4
-:101A8000A0860100A1860100A2860100A3860100B4
-:101A9000A4860100A5860100A6860100A786010094
-:101AA000A8860100A9860100AA860100AB86010074
-:101AB000AC860100AD860100AE860100AF86010054
-:101AC000B0860100B1860100B2860100B386010034
-:101AD000B4860100B5860100B6860100B786010014
-:101AE000B8860100B9860100BA860100BB860100F4
-:101AF000BC860100BD860100BE860100BF860100D4
-:101B0000C0860100C1860100C2860100C3860100B3
-:101B1000C4860100C5860100C6860100C786010093
-:101B2000C8860100C9860100CA860100CB86010073
-:101B3000CC860100CD860100CE860100CF86010053
-:101B4000D0860100D1860100D2860100D386010033
-:101B5000D4860100D5860100D6860100D786010013
-:101B6000D8860100D9860100DA860100DB860100F3
-:101B7000DC860100DD860100DE860100DF860100D3
-:101B8000E0860100E1860100E2860100E3860100B3
-:101B9000E4860100E5860100E6860100E786010093
-:101BA000E8860100E9860100EA860100EB86010073
-:101BB000EC860100ED860100EE860100EF86010053
-:101BC000F0860100F1860100F2860100F386010033
-:101BD000F4860100F5860100F6860100F786010013
-:101BE000F8860100F9860100FA860100FB860100F3
-:101BF000FC860100FD860100FE860100FF860100D3
-:101C000000870100018701000287010003870100AE
-:101C1000048701000587010006870100078701008E
-:101C200008870100098701000A8701000B8701006E
-:101C30000C8701000D8701000E8701000F8701004E
-:101C4000108701001187010012870100138701002E
-:101C5000148701001587010016870100178701000E
-:101C600018870100198701001A8701001B870100EE
-:101C70001C8701001D8701001E8701001F870100CE
-:101C800020870100218701002287010023870100AE
-:101C9000248701002587010026870100278701008E
-:101CA00028870100298701002A8701002B8701006E
-:101CB0002C8701002D8701002E8701002F8701004E
-:101CC000308701003187010032870100338701002E
-:101CD000348701003587010036870100378701000E
-:101CE00038870100398701003A8701003B870100EE
-:101CF0003C8701003D8701003E8701003F870100CE
-:101D000040870100418701004287010043870100AD
-:101D1000448701004587010046870100478701008D
-:101D200048870100498701004A8701004B8701006D
-:101D30004C8701004D8701004E8701004F8701004D
-:101D4000508701005187010052870100538701002D
-:101D5000548701005587010056870100578701000D
-:101D600058870100598701005A8701005B870100ED
-:101D70005C8701005D8701005E8701005F870100CD
-:101D800060870100618701006287010063870100AD
-:101D9000648701006587010066870100678701008D
-:101DA00068870100698701006A8701006B8701006D
-:101DB0006C8701006D8701006E8701006F8701004D
-:101DC000708701007187010072870100738701002D
-:101DD000748701007587010076870100778701000D
-:101DE00078870100798701007A8701007B870100ED
-:101DF0007C8701007D8701007E8701007F870100CD
-:101E000080870100818701008287010083870100AC
-:101E1000848701008587010086870100878701008C
-:101E200088870100898701008A8701008B8701006C
-:101E30008C8701008D8701008E8701008F8701004C
-:101E4000908701009187010092870100938701002C
-:101E5000948701009587010096870100978701000C
-:101E600098870100998701009A8701009B870100EC
-:101E70009C8701009D8701009E8701009F870100CC
-:101E8000A0870100A1870100A2870100A3870100AC
-:101E9000A4870100A5870100A6870100A78701008C
-:101EA000A8870100A9870100AA870100AB8701006C
-:101EB000AC870100AD870100AE870100AF8701004C
-:101EC000B0870100B1870100B2870100B38701002C
-:101ED000B4870100B5870100B6870100B78701000C
-:101EE000B8870100B9870100BA870100BB870100EC
-:101EF000BC870100BD870100BE870100BF870100CC
-:101F0000C0870100C1870100C2870100C3870100AB
-:101F1000C4870100C5870100C6870100C78701008B
-:101F2000C8870100C9870100CA870100CB8701006B
-:101F3000CC870100CD870100CE870100CF8701004B
-:101F4000D0870100D1870100D2870100D38701002B
-:101F5000D4870100D5870100D6870100D78701000B
-:101F6000D8870100D9870100DA870100DB870100EB
-:101F7000DC870100DD870100DE870100DF870100CB
-:101F8000E0870100E1870100E2870100E3870100AB
-:101F9000E4870100E5870100E6870100E78701008B
-:101FA000E8870100E9870100EA870100EB8701006B
-:101FB000EC870100ED870100EE870100EF8701004B
-:101FC000F0870100F1870100F2870100F38701002B
-:101FD000F4870100F5870100F6870100F78701000B
-:101FE000F8870100F9870100FA870100FB870100EB
-:101FF000FC870100FD870100FE870100FF870100CB
-:1020000000880100018801000288010003880100A6
-:102010000488010005880100068801000788010086
-:1020200008880100098801000A8801000B88010066
-:102030000C8801000D8801000E8801000F88010046
-:102040001088010011880100128801001388010026
-:102050001488010015880100168801001788010006
-:1020600018880100198801001A8801001B880100E6
-:102070001C8801001D8801001E8801001F880100C6
-:1020800020880100218801002288010023880100A6
-:102090002488010025880100268801002788010086
-:1020A00028880100298801002A8801002B88010066
-:1020B0002C8801002D8801002E8801002F88010046
-:1020C0003088010031880100328801003388010026
-:1020D0003488010035880100368801003788010006
-:1020E00038880100398801003A8801003B880100E6
-:1020F0003C8801003D8801003E8801003F880100C6
-:1021000040880100418801004288010043880100A5
-:102110004488010045880100468801004788010085
-:1021200048880100498801004A8801004B88010065
-:102130004C8801004D8801004E8801004F88010045
-:102140005088010051880100528801005388010025
-:102150005488010055880100568801005788010005
-:1021600058880100598801005A8801005B880100E5
-:102170005C8801005D8801005E8801005F880100C5
-:1021800060880100618801006288010063880100A5
-:102190006488010065880100668801006788010085
-:1021A00068880100698801006A8801006B88010065
-:1021B0006C8801006D8801006E8801006F88010045
-:1021C0007088010071880100728801007388010025
-:1021D0007488010075880100768801007788010005
-:1021E00078880100798801007A8801007B880100E5
-:1021F0007C8801007D8801007E8801007F880100C5
-:1022000080880100818801008288010083880100A4
-:102210008488010085880100868801008788010084
-:1022200088880100898801008A8801008B88010064
-:102230008C8801008D8801008E8801008F88010044
-:102240009088010091880100928801009388010024
-:102250009488010095880100968801009788010004
-:1022600098880100998801009A8801009B880100E4
-:102270009C8801009D8801009E8801009F880100C4
-:10228000A0880100A1880100A2880100A3880100A4
-:10229000A4880100A5880100A6880100A788010084
-:1022A000A8880100A9880100AA880100AB88010064
-:1022B000AC880100AD880100AE880100AF88010044
-:1022C000B0880100B1880100B2880100B388010024
-:1022D000B4880100B5880100B6880100B788010004
-:1022E000B8880100B9880100BA880100BB880100E4
-:1022F000BC880100BD880100BE880100BF880100C4
-:10230000C0880100C1880100C2880100C3880100A3
-:10231000C4880100C5880100C6880100C788010083
-:10232000C8880100C9880100CA880100CB88010063
-:10233000CC880100CD880100CE880100CF88010043
-:10234000D0880100D1880100D2880100D388010023
-:10235000D4880100D5880100D6880100D788010003
-:10236000D8880100D9880100DA880100DB880100E3
-:10237000DC880100DD880100DE880100DF880100C3
-:10238000E0880100E1880100E2880100E3880100A3
-:10239000E4880100E5880100E6880100E788010083
-:1023A000E8880100E9880100EA880100EB88010063
-:1023B000EC880100ED880100EE880100EF88010043
-:1023C000F0880100F1880100F2880100F388010023
-:1023D000F4880100F5880100F6880100F788010003
-:1023E000F8880100F9880100FA880100FB880100E3
-:1023F000FC880100FD880100FE880100FF880100C3
-:10240000008901000189010002890100038901009E
-:10241000048901000589010006890100078901007E
-:1024200008890100098901000A8901000B8901005E
-:102430000C8901000D8901000E8901000F8901003E
-:10244000108901001189010012890100138901001E
-:1024500014890100158901001689010017890100FE
-:1024600018890100198901001A8901001B890100DE
-:102470001C8901001D8901001E8901001F890100BE
-:10248000208901002189010022890100238901009E
-:10249000248901002589010026890100278901007E
-:1024A00028890100298901002A8901002B8901005E
-:1024B0002C8901002D8901002E8901002F8901003E
-:1024C000308901003189010032890100338901001E
-:1024D00034890100358901003689010037890100FE
-:1024E00038890100398901003A8901003B890100DE
-:1024F0003C8901003D8901003E8901003F890100BE
-:10250000408901004189010042890100438901009D
-:10251000448901004589010046890100478901007D
-:1025200048890100498901004A8901004B8901005D
-:102530004C8901004D8901004E8901004F8901003D
-:10254000508901005189010052890100538901001D
-:1025500054890100558901005689010057890100FD
-:1025600058890100598901005A8901005B890100DD
-:102570005C8901005D8901005E8901005F890100BD
-:10258000608901006189010062890100638901009D
-:10259000648901006589010066890100678901007D
-:1025A00068890100698901006A8901006B8901005D
-:1025B0006C8901006D8901006E8901006F8901003D
-:1025C000708901007189010072890100738901001D
-:1025D00074890100758901007689010077890100FD
-:1025E00078890100798901007A8901007B890100DD
-:1025F0007C8901007D8901007E8901007F890100BD
-:10260000808901008189010082890100838901009C
-:10261000848901008589010086890100878901007C
-:1026200088890100898901008A8901008B8901005C
-:102630008C8901008D8901008E8901008F8901003C
-:10264000908901009189010092890100938901001C
-:1026500094890100958901009689010097890100FC
-:1026600098890100998901009A8901009B890100DC
-:102670009C8901009D8901009E8901009F890100BC
-:10268000A0890100A1890100A2890100A38901009C
-:10269000A4890100A5890100A6890100A78901007C
-:1026A000A8890100A9890100AA890100AB8901005C
-:1026B000AC890100AD890100AE890100AF8901003C
-:1026C000B0890100B1890100B2890100B38901001C
-:1026D000B4890100B5890100B6890100B7890100FC
-:1026E000B8890100B9890100BA890100BB890100DC
-:1026F000BC890100BD890100BE890100BF890100BC
-:10270000C0890100C1890100C2890100C38901009B
-:10271000C4890100C5890100C6890100C78901007B
-:10272000C8890100C9890100CA890100CB8901005B
-:10273000CC890100CD890100CE890100CF8901003B
-:10274000D0890100D1890100D2890100D38901001B
-:10275000D4890100D5890100D6890100D7890100FB
-:10276000D8890100D9890100DA890100DB890100DB
-:10277000DC890100DD890100DE890100DF890100BB
-:10278000E0890100E1890100E2890100E38901009B
-:10279000E4890100E5890100E6890100E78901007B
-:1027A000E8890100E9890100EA890100EB8901005B
-:1027B000EC890100ED890100EE890100EF8901003B
-:1027C000F0890100F1890100F2890100F38901001B
-:1027D000F4890100F5890100F6890100F7890100FB
-:1027E000F8890100F9890100FA890100FB890100DB
-:1027F000FC890100FD890100FE890100FF890100BB
-:10280000008A0100018A0100028A0100038A010096
-:10281000048A0100058A0100068A0100078A010076
-:10282000088A0100098A01000A8A01000B8A010056
-:102830000C8A01000D8A01000E8A01000F8A010036
-:10284000108A0100118A0100128A0100138A010016
-:10285000148A0100158A0100168A0100178A0100F6
-:10286000188A0100198A01001A8A01001B8A0100D6
-:102870001C8A01001D8A01001E8A01001F8A0100B6
-:10288000208A0100218A0100228A0100238A010096
-:10289000248A0100258A0100268A0100278A010076
-:1028A000288A0100298A01002A8A01002B8A010056
-:1028B0002C8A01002D8A01002E8A01002F8A010036
-:1028C000308A0100318A0100328A0100338A010016
-:1028D000348A0100358A0100368A0100378A0100F6
-:1028E000388A0100398A01003A8A01003B8A0100D6
-:1028F0003C8A01003D8A01003E8A01003F8A0100B6
-:10290000408A0100418A0100428A0100438A010095
-:10291000448A0100458A0100468A0100478A010075
-:10292000488A0100498A01004A8A01004B8A010055
-:102930004C8A01004D8A01004E8A01004F8A010035
-:10294000508A0100518A0100528A0100538A010015
-:10295000548A0100558A0100568A0100578A0100F5
-:10296000588A0100598A01005A8A01005B8A0100D5
-:102970005C8A01005D8A01005E8A01005F8A0100B5
-:10298000608A0100618A0100628A0100638A010095
-:10299000648A0100658A0100668A0100678A010075
-:1029A000688A0100698A01006A8A01006B8A010055
-:1029B0006C8A01006D8A01006E8A01006F8A010035
-:1029C000708A0100718A0100728A0100738A010015
-:1029D000748A0100758A0100768A0100778A0100F5
-:1029E000788A0100798A01007A8A01007B8A0100D5
-:1029F0007C8A01007D8A01007E8A01007F8A0100B5
-:102A0000808A0100818A0100828A0100838A010094
-:102A1000848A0100858A0100868A0100878A010074
-:102A2000888A0100898A01008A8A01008B8A010054
-:102A30008C8A01008D8A01008E8A01008F8A010034
-:102A4000908A0100918A0100928A0100938A010014
-:102A5000948A0100958A0100968A0100978A0100F4
-:102A6000988A0100998A01009A8A01009B8A0100D4
-:102A70009C8A01009D8A01009E8A01009F8A0100B4
-:102A8000A08A0100A18A0100A28A0100A38A010094
-:102A9000A48A0100A58A0100A68A0100A78A010074
-:102AA000A88A0100A98A0100AA8A0100AB8A010054
-:102AB000AC8A0100AD8A0100AE8A0100AF8A010034
-:102AC000B08A0100B18A0100B28A0100B38A010014
-:102AD000B48A0100B58A0100B68A0100B78A0100F4
-:102AE000B88A0100B98A0100BA8A0100BB8A0100D4
-:102AF000BC8A0100BD8A0100BE8A0100BF8A0100B4
-:102B0000C08A0100C18A0100C28A0100C38A010093
-:102B1000C48A0100C58A0100C68A0100C78A010073
-:102B2000C88A0100C98A0100CA8A0100CB8A010053
-:102B3000CC8A0100CD8A0100CE8A0100CF8A010033
-:102B4000D08A0100D18A0100D28A0100D38A010013
-:102B5000D48A0100D58A0100D68A0100D78A0100F3
-:102B6000D88A0100D98A0100DA8A0100DB8A0100D3
-:102B7000DC8A0100DD8A0100DE8A0100DF8A0100B3
-:102B8000E08A0100E18A0100E28A0100E38A010093
-:102B9000E48A0100E58A0100E68A0100E78A010073
-:102BA000E88A0100E98A0100EA8A0100EB8A010053
-:102BB000EC8A0100ED8A0100EE8A0100EF8A010033
-:102BC000F08A0100F18A0100F28A0100F38A010013
-:102BD000F48A0100F58A0100F68A0100F78A0100F3
-:102BE000F88A0100F98A0100FA8A0100FB8A0100D3
-:102BF000FC8A0100FD8A0100FE8A0100FF8A0100B3
-:102C0000008B0100018B0100028B0100038B01008E
-:102C1000048B0100058B0100068B0100078B01006E
-:102C2000088B0100098B01000A8B01000B8B01004E
-:102C30000C8B01000D8B01000E8B01000F8B01002E
-:102C4000108B0100118B0100128B0100138B01000E
-:102C5000148B0100158B0100168B0100178B0100EE
-:102C6000188B0100198B01001A8B01001B8B0100CE
-:102C70001C8B01001D8B01001E8B01001F8B0100AE
-:102C8000208B0100218B0100228B0100238B01008E
-:102C9000248B0100258B0100268B0100278B01006E
-:102CA000288B0100298B01002A8B01002B8B01004E
-:102CB0002C8B01002D8B01002E8B01002F8B01002E
-:102CC000308B0100318B0100328B0100338B01000E
-:102CD000348B0100358B0100368B0100378B0100EE
-:102CE000388B0100398B01003A8B01003B8B0100CE
-:102CF0003C8B01003D8B01003E8B01003F8B0100AE
-:102D0000408B0100418B0100428B0100438B01008D
-:102D1000448B0100458B0100468B0100478B01006D
-:102D2000488B0100498B01004A8B01004B8B01004D
-:102D30004C8B01004D8B01004E8B01004F8B01002D
-:102D4000508B0100518B0100528B0100538B01000D
-:102D5000548B0100558B0100568B0100578B0100ED
-:102D6000588B0100598B01005A8B01005B8B0100CD
-:102D70005C8B01005D8B01005E8B01005F8B0100AD
-:102D8000608B0100618B0100628B0100638B01008D
-:102D9000648B0100658B0100668B0100678B01006D
-:102DA000688B0100698B01006A8B01006B8B01004D
-:102DB0006C8B01006D8B01006E8B01006F8B01002D
-:102DC000708B0100718B0100728B0100738B01000D
-:102DD000748B0100758B0100768B0100778B0100ED
-:102DE000788B0100798B01007A8B01007B8B0100CD
-:102DF0007C8B01007D8B01007E8B01007F8B0100AD
-:102E0000808B0100818B0100828B0100838B01008C
-:102E1000848B0100858B0100868B0100878B01006C
-:102E2000888B0100898B01008A8B01008B8B01004C
-:102E30008C8B01008D8B01008E8B01008F8B01002C
-:102E4000908B0100918B0100928B0100938B01000C
-:102E5000948B0100958B0100968B0100978B0100EC
-:102E6000988B0100998B01009A8B01009B8B0100CC
-:102E70009C8B01009D8B01009E8B01009F8B0100AC
-:102E8000A08B0100A18B0100A28B0100A38B01008C
-:102E9000A48B0100A58B0100A68B0100A78B01006C
-:102EA000A88B0100A98B0100AA8B0100AB8B01004C
-:102EB000AC8B0100AD8B0100AE8B0100AF8B01002C
-:102EC000B08B0100B18B0100B28B0100B38B01000C
-:102ED000B48B0100B58B0100B68B0100B78B0100EC
-:102EE000B88B0100B98B0100BA8B0100BB8B0100CC
-:102EF000BC8B0100BD8B0100BE8B0100BF8B0100AC
-:102F0000C08B0100C18B0100C28B0100C38B01008B
-:102F1000C48B0100C58B0100C68B0100C78B01006B
-:102F2000C88B0100C98B0100CA8B0100CB8B01004B
-:102F3000CC8B0100CD8B0100CE8B0100CF8B01002B
-:102F4000D08B0100D18B0100D28B0100D38B01000B
-:102F5000D48B0100D58B0100D68B0100D78B0100EB
-:102F6000D88B0100D98B0100DA8B0100DB8B0100CB
-:102F7000DC8B0100DD8B0100DE8B0100DF8B0100AB
-:102F8000E08B0100E18B0100E28B0100E38B01008B
-:102F9000E48B0100E58B0100E68B0100E78B01006B
-:102FA000E88B0100E98B0100EA8B0100EB8B01004B
-:102FB000EC8B0100ED8B0100EE8B0100EF8B01002B
-:102FC000F08B0100F18B0100F28B0100F38B01000B
-:102FD000F48B0100F58B0100F68B0100F78B0100EB
-:102FE000F88B0100F98B0100FA8B0100FB8B0100CB
-:102FF000FC8B0100FD8B0100FE8B0100FF8B0100AB
-:10300000008C0100018C0100028C0100038C010086
-:10301000048C0100058C0100068C0100078C010066
-:10302000088C0100098C01000A8C01000B8C010046
-:103030000C8C01000D8C01000E8C01000F8C010026
-:10304000108C0100118C0100128C0100138C010006
-:10305000148C0100158C0100168C0100178C0100E6
-:10306000188C0100198C01001A8C01001B8C0100C6
-:103070001C8C01001D8C01001E8C01001F8C0100A6
-:10308000208C0100218C0100228C0100238C010086
-:10309000248C0100258C0100268C0100278C010066
-:1030A000288C0100298C01002A8C01002B8C010046
-:1030B0002C8C01002D8C01002E8C01002F8C010026
-:1030C000308C0100318C0100328C0100338C010006
-:1030D000348C0100358C0100368C0100378C0100E6
-:1030E000388C0100398C01003A8C01003B8C0100C6
-:1030F0003C8C01003D8C01003E8C01003F8C0100A6
-:10310000408C0100418C0100428C0100438C010085
-:10311000448C0100458C0100468C0100478C010065
-:10312000488C0100498C01004A8C01004B8C010045
-:103130004C8C01004D8C01004E8C01004F8C010025
-:10314000508C0100518C0100528C0100538C010005
-:10315000548C0100558C0100568C0100578C0100E5
-:10316000588C0100598C01005A8C01005B8C0100C5
-:103170005C8C01005D8C01005E8C01005F8C0100A5
-:10318000608C0100618C0100628C0100638C010085
-:10319000648C0100658C0100668C0100678C010065
-:1031A000688C0100698C01006A8C01006B8C010045
-:1031B0006C8C01006D8C01006E8C01006F8C010025
-:1031C000708C0100718C0100728C0100738C010005
-:1031D000748C0100758C0100768C0100778C0100E5
-:1031E000788C0100798C01007A8C01007B8C0100C5
-:1031F0007C8C01007D8C01007E8C01007F8C0100A5
-:10320000808C0100818C0100828C0100838C010084
-:10321000848C0100858C0100868C0100878C010064
-:10322000888C0100898C01008A8C01008B8C010044
-:103230008C8C01008D8C01008E8C01008F8C010024
-:10324000908C0100918C0100928C0100938C010004
-:10325000948C0100958C0100968C0100978C0100E4
-:10326000988C0100998C01009A8C01009B8C0100C4
-:103270009C8C01009D8C01009E8C01009F8C0100A4
-:10328000A08C0100A18C0100A28C0100A38C010084
-:10329000A48C0100A58C0100A68C0100A78C010064
-:1032A000A88C0100A98C0100AA8C0100AB8C010044
-:1032B000AC8C0100AD8C0100AE8C0100AF8C010024
-:1032C000B08C0100B18C0100B28C0100B38C010004
-:1032D000B48C0100B58C0100B68C0100B78C0100E4
-:1032E000B88C0100B98C0100BA8C0100BB8C0100C4
-:1032F000BC8C0100BD8C0100BE8C0100BF8C0100A4
-:10330000C08C0100C18C0100C28C0100C38C010083
-:10331000C48C0100C58C0100C68C0100C78C010063
-:10332000C88C0100C98C0100CA8C0100CB8C010043
-:10333000CC8C0100CD8C0100CE8C0100CF8C010023
-:10334000D08C0100D18C0100D28C0100D38C010003
-:10335000D48C0100D58C0100D68C0100D78C0100E3
-:10336000D88C0100D98C0100DA8C0100DB8C0100C3
-:10337000DC8C0100DD8C0100DE8C0100DF8C0100A3
-:10338000E08C0100E18C0100E28C0100E38C010083
-:10339000E48C0100E58C0100E68C0100E78C010063
-:1033A000E88C0100E98C0100EA8C0100EB8C010043
-:1033B000EC8C0100ED8C0100EE8C0100EF8C010023
-:1033C000F08C0100F18C0100F28C0100F38C010003
-:1033D000F48C0100F58C0100F68C0100F78C0100E3
-:1033E000F88C0100F98C0100FA8C0100FB8C0100C3
-:1033F000FC8C0100FD8C0100FE8C0100FF8C0100A3
-:10340000008D0100018D0100028D0100038D01007E
-:10341000048D0100058D0100068D0100078D01005E
-:10342000088D0100098D01000A8D01000B8D01003E
-:103430000C8D01000D8D01000E8D01000F8D01001E
-:10344000108D0100118D0100128D0100138D0100FE
-:10345000148D0100158D0100168D0100178D0100DE
-:10346000188D0100198D01001A8D01001B8D0100BE
-:103470001C8D01001D8D01001E8D01001F8D01009E
-:10348000208D0100218D0100228D0100238D01007E
-:10349000248D0100258D0100268D0100278D01005E
-:1034A000288D0100298D01002A8D01002B8D01003E
-:1034B0002C8D01002D8D01002E8D01002F8D01001E
-:1034C000308D0100318D0100328D0100338D0100FE
-:1034D000348D0100358D0100368D0100378D0100DE
-:1034E000388D0100398D01003A8D01003B8D0100BE
-:1034F0003C8D01003D8D01003E8D01003F8D01009E
-:10350000408D0100418D0100428D0100438D01007D
-:10351000448D0100458D0100468D0100478D01005D
-:10352000488D0100498D01004A8D01004B8D01003D
-:103530004C8D01004D8D01004E8D01004F8D01001D
-:10354000508D0100518D0100528D0100538D0100FD
-:10355000548D0100558D0100568D0100578D0100DD
-:10356000588D0100598D01005A8D01005B8D0100BD
-:103570005C8D01005D8D01005E8D01005F8D01009D
-:10358000608D0100618D0100628D0100638D01007D
-:10359000648D0100658D0100668D0100678D01005D
-:1035A000688D0100698D01006A8D01006B8D01003D
-:1035B0006C8D01006D8D01006E8D01006F8D01001D
-:1035C000708D0100718D0100728D0100738D0100FD
-:1035D000748D0100758D0100768D0100778D0100DD
-:1035E000788D0100798D01007A8D01007B8D0100BD
-:1035F0007C8D01007D8D01007E8D01007F8D01009D
-:10360000808D0100818D0100828D0100838D01007C
-:10361000848D0100858D0100868D0100878D01005C
-:10362000888D0100898D01008A8D01008B8D01003C
-:103630008C8D01008D8D01008E8D01008F8D01001C
-:10364000908D0100918D0100928D0100938D0100FC
-:10365000948D0100958D0100968D0100978D0100DC
-:10366000988D0100998D01009A8D01009B8D0100BC
-:103670009C8D01009D8D01009E8D01009F8D01009C
-:10368000A08D0100A18D0100A28D0100A38D01007C
-:10369000A48D0100A58D0100A68D0100A78D01005C
-:1036A000A88D0100A98D0100AA8D0100AB8D01003C
-:1036B000AC8D0100AD8D0100AE8D0100AF8D01001C
-:1036C000B08D0100B18D0100B28D0100B38D0100FC
-:1036D000B48D0100B58D0100B68D0100B78D0100DC
-:1036E000B88D0100B98D0100BA8D0100BB8D0100BC
-:1036F000BC8D0100BD8D0100BE8D0100BF8D01009C
-:10370000C08D0100C18D0100C28D0100C38D01007B
-:10371000C48D0100C58D0100C68D0100C78D01005B
-:10372000C88D0100C98D0100CA8D0100CB8D01003B
-:10373000CC8D0100CD8D0100CE8D0100CF8D01001B
-:10374000D08D0100D18D0100D28D0100D38D0100FB
-:10375000D48D0100D58D0100D68D0100D78D0100DB
-:10376000D88D0100D98D0100DA8D0100DB8D0100BB
-:10377000DC8D0100DD8D0100DE8D0100DF8D01009B
-:10378000E08D0100E18D0100E28D0100E38D01007B
-:10379000E48D0100E58D0100E68D0100E78D01005B
-:1037A000E88D0100E98D0100EA8D0100EB8D01003B
-:1037B000EC8D0100ED8D0100EE8D0100EF8D01001B
-:1037C000F08D0100F18D0100F28D0100F38D0100FB
-:1037D000F48D0100F58D0100F68D0100F78D0100DB
-:1037E000F88D0100F98D0100FA8D0100FB8D0100BB
-:1037F000FC8D0100FD8D0100FE8D0100FF8D01009B
-:10380000008E0100018E0100028E0100038E010076
-:10381000048E0100058E0100068E0100078E010056
-:10382000088E0100098E01000A8E01000B8E010036
-:103830000C8E01000D8E01000E8E01000F8E010016
-:10384000108E0100118E0100128E0100138E0100F6
-:10385000148E0100158E0100168E0100178E0100D6
-:10386000188E0100198E01001A8E01001B8E0100B6
-:103870001C8E01001D8E01001E8E01001F8E010096
-:10388000208E0100218E0100228E0100238E010076
-:10389000248E0100258E0100268E0100278E010056
-:1038A000288E0100298E01002A8E01002B8E010036
-:1038B0002C8E01002D8E01002E8E01002F8E010016
-:1038C000308E0100318E0100328E0100338E0100F6
-:1038D000348E0100358E0100368E0100378E0100D6
-:1038E000388E0100398E01003A8E01003B8E0100B6
-:1038F0003C8E01003D8E01003E8E01003F8E010096
-:10390000408E0100418E0100428E0100438E010075
-:10391000448E0100458E0100468E0100478E010055
-:10392000488E0100498E01004A8E01004B8E010035
-:103930004C8E01004D8E01004E8E01004F8E010015
-:10394000508E0100518E0100528E0100538E0100F5
-:10395000548E0100558E0100568E0100578E0100D5
-:10396000588E0100598E01005A8E01005B8E0100B5
-:103970005C8E01005D8E01005E8E01005F8E010095
-:10398000608E0100618E0100628E0100638E010075
-:10399000648E0100658E0100668E0100678E010055
-:1039A000688E0100698E01006A8E01006B8E010035
-:1039B0006C8E01006D8E01006E8E01006F8E010015
-:1039C000708E0100718E0100728E0100738E0100F5
-:1039D000748E0100758E0100768E0100778E0100D5
-:1039E000788E0100798E01007A8E01007B8E0100B5
-:1039F0007C8E01007D8E01007E8E01007F8E010095
-:103A0000808E0100818E0100828E0100838E010074
-:103A1000848E0100858E0100868E0100878E010054
-:103A2000888E0100898E01008A8E01008B8E010034
-:103A30008C8E01008D8E01008E8E01008F8E010014
-:103A4000908E0100918E0100928E0100938E0100F4
-:103A5000948E0100958E0100968E0100978E0100D4
-:103A6000988E0100998E01009A8E01009B8E0100B4
-:103A70009C8E01009D8E01009E8E01009F8E010094
-:103A8000A08E0100A18E0100A28E0100A38E010074
-:103A9000A48E0100A58E0100A68E0100A78E010054
-:103AA000A88E0100A98E0100AA8E0100AB8E010034
-:103AB000AC8E0100AD8E0100AE8E0100AF8E010014
-:103AC000B08E0100B18E0100B28E0100B38E0100F4
-:103AD000B48E0100B58E0100B68E0100B78E0100D4
-:103AE000B88E0100B98E0100BA8E0100BB8E0100B4
-:103AF000BC8E0100BD8E0100BE8E0100BF8E010094
-:103B0000C08E0100C18E0100C28E0100C38E010073
-:103B1000C48E0100C58E0100C68E0100C78E010053
-:103B2000C88E0100C98E0100CA8E0100CB8E010033
-:103B3000CC8E0100CD8E0100CE8E0100CF8E010013
-:103B4000D08E0100D18E0100D28E0100D38E0100F3
-:103B5000D48E0100D58E0100D68E0100D78E0100D3
-:103B6000D88E0100D98E0100DA8E0100DB8E0100B3
-:103B7000DC8E0100DD8E0100DE8E0100DF8E010093
-:103B8000E08E0100E18E0100E28E0100E38E010073
-:103B9000E48E0100E58E0100E68E0100E78E010053
-:103BA000E88E0100E98E0100EA8E0100EB8E010033
-:103BB000EC8E0100ED8E0100EE8E0100EF8E010013
-:103BC000F08E0100F18E0100F28E0100F38E0100F3
-:103BD000F48E0100F58E0100F68E0100F78E0100D3
-:103BE000F88E0100F98E0100FA8E0100FB8E0100B3
-:103BF000FC8E0100FD8E0100FE8E0100FF8E010093
-:103C0000008F0100018F0100028F0100038F01006E
-:103C1000048F0100058F0100068F0100078F01004E
-:103C2000088F0100098F01000A8F01000B8F01002E
-:103C30000C8F01000D8F01000E8F01000F8F01000E
-:103C4000108F0100118F0100128F0100138F0100EE
-:103C5000148F0100158F0100168F0100178F0100CE
-:103C6000188F0100198F01001A8F01001B8F0100AE
-:103C70001C8F01001D8F01001E8F01001F8F01008E
-:103C8000208F0100218F0100228F0100238F01006E
-:103C9000248F0100258F0100268F0100278F01004E
-:103CA000288F0100298F01002A8F01002B8F01002E
-:103CB0002C8F01002D8F01002E8F01002F8F01000E
-:103CC000308F0100318F0100328F0100338F0100EE
-:103CD000348F0100358F0100368F0100378F0100CE
-:103CE000388F0100398F01003A8F01003B8F0100AE
-:103CF0003C8F01003D8F01003E8F01003F8F01008E
-:103D0000408F0100418F0100428F0100438F01006D
-:103D1000448F0100458F0100468F0100478F01004D
-:103D2000488F0100498F01004A8F01004B8F01002D
-:103D30004C8F01004D8F01004E8F01004F8F01000D
-:103D4000508F0100518F0100528F0100538F0100ED
-:103D5000548F0100558F0100568F0100578F0100CD
-:103D6000588F0100598F01005A8F01005B8F0100AD
-:103D70005C8F01005D8F01005E8F01005F8F01008D
-:103D8000608F0100618F0100628F0100638F01006D
-:103D9000648F0100658F0100668F0100678F01004D
-:103DA000688F0100698F01006A8F01006B8F01002D
-:103DB0006C8F01006D8F01006E8F01006F8F01000D
-:103DC000708F0100718F0100728F0100738F0100ED
-:103DD000748F0100758F0100768F0100778F0100CD
-:103DE000788F0100798F01007A8F01007B8F0100AD
-:103DF0007C8F01007D8F01007E8F01007F8F01008D
-:103E0000808F0100818F0100828F0100838F01006C
-:103E1000848F0100858F0100868F0100878F01004C
-:103E2000888F0100898F01008A8F01008B8F01002C
-:103E30008C8F01008D8F01008E8F01008F8F01000C
-:103E4000908F0100918F0100928F0100938F0100EC
-:103E5000948F0100958F0100968F0100978F0100CC
-:103E6000988F0100998F01009A8F01009B8F0100AC
-:103E70009C8F01009D8F01009E8F01009F8F01008C
-:103E8000A08F0100A18F0100A28F0100A38F01006C
-:103E9000A48F0100A58F0100A68F0100A78F01004C
-:103EA000A88F0100A98F0100AA8F0100AB8F01002C
-:103EB000AC8F0100AD8F0100AE8F0100AF8F01000C
-:103EC000B08F0100B18F0100B28F0100B38F0100EC
-:103ED000B48F0100B58F0100B68F0100B78F0100CC
-:103EE000B88F0100B98F0100BA8F0100BB8F0100AC
-:103EF000BC8F0100BD8F0100BE8F0100BF8F01008C
-:103F0000C08F0100C18F0100C28F0100C38F01006B
-:103F1000C48F0100C58F0100C68F0100C78F01004B
-:103F2000C88F0100C98F0100CA8F0100CB8F01002B
-:103F3000CC8F0100CD8F0100CE8F0100CF8F01000B
-:103F4000D08F0100D18F0100D28F0100D38F0100EB
-:103F5000D48F0100D58F0100D68F0100D78F0100CB
-:103F6000D88F0100D98F0100DA8F0100DB8F0100AB
-:103F7000DC8F0100DD8F0100DE8F0100DF8F01008B
-:103F8000E08F0100E18F0100E28F0100E38F01006B
-:103F9000E48F0100E58F0100E68F0100E78F01004B
-:103FA000E88F0100E98F0100EA8F0100EB8F01002B
-:103FB000EC8F0100ED8F0100EE8F0100EF8F01000B
-:103FC000F08F0100F18F0100F28F0100F38F0100EB
-:103FD000F48F0100F58F0100F68F0100F78F0100CB
-:103FE000F88F0100F98F0100FA8F0100FB8F0100AB
-:103FF000FC8F0100FD8F0100FE8F0100FF8F01008B
-:104000000090010001900100029001000390010066
-:104010000490010005900100069001000790010046
-:1040200008900100099001000A9001000B90010026
-:104030000C9001000D9001000E9001000F90010006
-:1040400010900100119001001290010013900100E6
-:1040500014900100159001001690010017900100C6
-:1040600018900100199001001A9001001B900100A6
-:104070001C9001001D9001001E9001001F90010086
-:104080002090010021900100229001002390010066
-:104090002490010025900100269001002790010046
-:1040A00028900100299001002A9001002B90010026
-:1040B0002C9001002D9001002E9001002F90010006
-:1040C00030900100319001003290010033900100E6
-:1040D00034900100359001003690010037900100C6
-:1040E00038900100399001003A9001003B900100A6
-:1040F0003C9001003D9001003E9001003F90010086
-:104100004090010041900100429001004390010065
-:104110004490010045900100469001004790010045
-:1041200048900100499001004A9001004B90010025
-:104130004C9001004D9001004E9001004F90010005
-:1041400050900100519001005290010053900100E5
-:1041500054900100559001005690010057900100C5
-:1041600058900100599001005A9001005B900100A5
-:104170005C9001005D9001005E9001005F90010085
-:104180006090010061900100629001006390010065
-:104190006490010065900100669001006790010045
-:1041A00068900100699001006A9001006B90010025
-:1041B0006C9001006D9001006E9001006F90010005
-:1041C00070900100719001007290010073900100E5
-:1041D00074900100759001007690010077900100C5
-:1041E00078900100799001007A9001007B900100A5
-:1041F0007C9001007D9001007E9001007F90010085
-:104200008090010081900100829001008390010064
-:104210008490010085900100869001008790010044
-:1042200088900100899001008A9001008B90010024
-:104230008C9001008D9001008E9001008F90010004
-:1042400090900100919001009290010093900100E4
-:1042500094900100959001009690010097900100C4
-:1042600098900100999001009A9001009B900100A4
-:104270009C9001009D9001009E9001009F90010084
-:10428000A0900100A1900100A2900100A390010064
-:10429000A4900100A5900100A6900100A790010044
-:1042A000A8900100A9900100AA900100AB90010024
-:1042B000AC900100AD900100AE900100AF90010004
-:1042C000B0900100B1900100B2900100B3900100E4
-:1042D000B4900100B5900100B6900100B7900100C4
-:1042E000B8900100B9900100BA900100BB900100A4
-:1042F000BC900100BD900100BE900100BF90010084
-:10430000C0900100C1900100C2900100C390010063
-:10431000C4900100C5900100C6900100C790010043
-:10432000C8900100C9900100CA900100CB90010023
-:10433000CC900100CD900100CE900100CF90010003
-:10434000D0900100D1900100D2900100D3900100E3
-:10435000D4900100D5900100D6900100D7900100C3
-:10436000D8900100D9900100DA900100DB900100A3
-:10437000DC900100DD900100DE900100DF90010083
-:10438000E0900100E1900100E2900100E390010063
-:10439000E4900100E5900100E6900100E790010043
-:1043A000E8900100E9900100EA900100EB90010023
-:1043B000EC900100ED900100EE900100EF90010003
-:1043C000F0900100F1900100F2900100F3900100E3
-:1043D000F4900100F5900100F6900100F7900100C3
-:1043E000F8900100F9900100FA900100FB900100A3
-:1043F000FC900100FD900100FE900100FF90010083
-:10440000009101000191010002910100039101005E
-:10441000049101000591010006910100079101003E
-:1044200008910100099101000A9101000B9101001E
-:104430000C9101000D9101000E9101000F910100FE
-:1044400010910100119101001291010013910100DE
-:1044500014910100159101001691010017910100BE
-:1044600018910100199101001A9101001B9101009E
-:104470001C9101001D9101001E9101001F9101007E
-:10448000209101002191010022910100239101005E
-:10449000249101002591010026910100279101003E
-:1044A00028910100299101002A9101002B9101001E
-:1044B0002C9101002D9101002E9101002F910100FE
-:1044C00030910100319101003291010033910100DE
-:1044D00034910100359101003691010037910100BE
-:1044E00038910100399101003A9101003B9101009E
-:1044F0003C9101003D9101003E9101003F9101007E
-:10450000409101004191010042910100439101005D
-:10451000449101004591010046910100479101003D
-:1045200048910100499101004A9101004B9101001D
-:104530004C9101004D9101004E9101004F910100FD
-:1045400050910100519101005291010053910100DD
-:1045500054910100559101005691010057910100BD
-:1045600058910100599101005A9101005B9101009D
-:104570005C9101005D9101005E9101005F9101007D
-:10458000609101006191010062910100639101005D
-:10459000649101006591010066910100679101003D
-:1045A00068910100699101006A9101006B9101001D
-:1045B0006C9101006D9101006E9101006F910100FD
-:1045C00070910100719101007291010073910100DD
-:1045D00074910100759101007691010077910100BD
-:1045E00078910100799101007A9101007B9101009D
-:1045F0007C9101007D9101007E9101007F9101007D
-:10460000809101008191010082910100839101005C
-:10461000849101008591010086910100879101003C
-:1046200088910100899101008A9101008B9101001C
-:104630008C9101008D9101008E9101008F910100FC
-:1046400090910100919101009291010093910100DC
-:1046500094910100959101009691010097910100BC
-:1046600098910100999101009A9101009B9101009C
-:104670009C9101009D9101009E9101009F9101007C
-:10468000A0910100A1910100A2910100A39101005C
-:10469000A4910100A5910100A6910100A79101003C
-:1046A000A8910100A9910100AA910100AB9101001C
-:1046B000AC910100AD910100AE910100AF910100FC
-:1046C000B0910100B1910100B2910100B3910100DC
-:1046D000B4910100B5910100B6910100B7910100BC
-:1046E000B8910100B9910100BA910100BB9101009C
-:1046F000BC910100BD910100BE910100BF9101007C
-:10470000C0910100C1910100C2910100C39101005B
-:10471000C4910100C5910100C6910100C79101003B
-:10472000C8910100C9910100CA910100CB9101001B
-:10473000CC910100CD910100CE910100CF910100FB
-:10474000D0910100D1910100D2910100D3910100DB
-:10475000D4910100D5910100D6910100D7910100BB
-:10476000D8910100D9910100DA910100DB9101009B
-:10477000DC910100DD910100DE910100DF9101007B
-:10478000E0910100E1910100E2910100E39101005B
-:10479000E4910100E5910100E6910100E79101003B
-:1047A000E8910100E9910100EA910100EB9101001B
-:1047B000EC910100ED910100EE910100EF910100FB
-:1047C000F0910100F1910100F2910100F3910100DB
-:1047D000F4910100F5910100F6910100F7910100BB
-:1047E000F8910100F9910100FA910100FB9101009B
-:1047F000FC910100FD910100FE910100FF9101007B
-:104800000092010001920100029201000392010056
-:104810000492010005920100069201000792010036
-:1048200008920100099201000A9201000B92010016
-:104830000C9201000D9201000E9201000F920100F6
-:1048400010920100119201001292010013920100D6
-:1048500014920100159201001692010017920100B6
-:1048600018920100199201001A9201001B92010096
-:104870001C9201001D9201001E9201001F92010076
-:104880002092010021920100229201002392010056
-:104890002492010025920100269201002792010036
-:1048A00028920100299201002A9201002B92010016
-:1048B0002C9201002D9201002E9201002F920100F6
-:1048C00030920100319201003292010033920100D6
-:1048D00034920100359201003692010037920100B6
-:1048E00038920100399201003A9201003B92010096
-:1048F0003C9201003D9201003E9201003F92010076
-:104900004092010041920100429201004392010055
-:104910004492010045920100469201004792010035
-:1049200048920100499201004A9201004B92010015
-:104930004C9201004D9201004E9201004F920100F5
-:1049400050920100519201005292010053920100D5
-:1049500054920100559201005692010057920100B5
-:1049600058920100599201005A9201005B92010095
-:104970005C9201005D9201005E9201005F92010075
-:104980006092010061920100629201006392010055
-:104990006492010065920100669201006792010035
-:1049A00068920100699201006A9201006B92010015
-:1049B0006C9201006D9201006E9201006F920100F5
-:1049C00070920100719201007292010073920100D5
-:1049D00074920100759201007692010077920100B5
-:1049E00078920100799201007A9201007B92010095
-:1049F0007C9201007D9201007E9201007F92010075
-:104A00008092010081920100829201008392010054
-:104A10008492010085920100869201008792010034
-:104A200088920100899201008A9201008B92010014
-:104A30008C9201008D9201008E9201008F920100F4
-:104A400090920100919201009292010093920100D4
-:104A500094920100959201009692010097920100B4
-:104A600098920100999201009A9201009B92010094
-:104A70009C9201009D9201009E9201009F92010074
-:104A8000A0920100A1920100A2920100A392010054
-:104A9000A4920100A5920100A6920100A792010034
-:104AA000A8920100A9920100AA920100AB92010014
-:104AB000AC920100AD920100AE920100AF920100F4
-:104AC000B0920100B1920100B2920100B3920100D4
-:104AD000B4920100B5920100B6920100B7920100B4
-:104AE000B8920100B9920100BA920100BB92010094
-:104AF000BC920100BD920100BE920100BF92010074
-:104B0000C0920100C1920100C2920100C392010053
-:104B1000C4920100C5920100C6920100C792010033
-:104B2000C8920100C9920100CA920100CB92010013
-:104B3000CC920100CD920100CE920100CF920100F3
-:104B4000D0920100D1920100D2920100D3920100D3
-:104B5000D4920100D5920100D6920100D7920100B3
-:104B6000D8920100D9920100DA920100DB92010093
-:104B7000DC920100DD920100DE920100DF92010073
-:104B8000E0920100E1920100E2920100E392010053
-:104B9000E4920100E5920100E6920100E792010033
-:104BA000E8920100E9920100EA920100EB92010013
-:104BB000EC920100ED920100EE920100EF920100F3
-:104BC000F0920100F1920100F2920100F3920100D3
-:104BD000F4920100F5920100F6920100F7920100B3
-:104BE000F8920100F9920100FA920100FB92010093
-:104BF000FC920100FD920100FE920100FF92010073
-:104C0000009301000193010002930100039301004E
-:104C1000049301000593010006930100079301002E
-:104C200008930100099301000A9301000B9301000E
-:104C30000C9301000D9301000E9301000F930100EE
-:104C400010930100119301001293010013930100CE
-:104C500014930100159301001693010017930100AE
-:104C600018930100199301001A9301001B9301008E
-:104C70001C9301001D9301001E9301001F9301006E
-:104C8000209301002193010022930100239301004E
-:104C9000249301002593010026930100279301002E
-:104CA00028930100299301002A9301002B9301000E
-:104CB0002C9301002D9301002E9301002F930100EE
-:104CC00030930100319301003293010033930100CE
-:104CD00034930100359301003693010037930100AE
-:104CE00038930100399301003A9301003B9301008E
-:104CF0003C9301003D9301003E9301003F9301006E
-:104D0000409301004193010042930100439301004D
-:104D1000449301004593010046930100479301002D
-:104D200048930100499301004A9301004B9301000D
-:104D30004C9301004D9301004E9301004F930100ED
-:104D400050930100519301005293010053930100CD
-:104D500054930100559301005693010057930100AD
-:104D600058930100599301005A9301005B9301008D
-:104D70005C9301005D9301005E9301005F9301006D
-:104D8000609301006193010062930100639301004D
-:104D9000649301006593010066930100679301002D
-:104DA00068930100699301006A9301006B9301000D
-:104DB0006C9301006D9301006E9301006F930100ED
-:104DC00070930100719301007293010073930100CD
-:104DD00074930100759301007693010077930100AD
-:104DE00078930100799301007A9301007B9301008D
-:104DF0007C9301007D9301007E9301007F9301006D
-:104E0000809301008193010082930100839301004C
-:104E1000849301008593010086930100879301002C
-:104E200088930100899301008A9301008B9301000C
-:104E30008C9301008D9301008E9301008F930100EC
-:104E400090930100919301009293010093930100CC
-:104E500094930100959301009693010097930100AC
-:104E600098930100999301009A9301009B9301008C
-:104E70009C9301009D9301009E9301009F9301006C
-:104E8000A0930100A1930100A2930100A39301004C
-:104E9000A4930100A5930100A6930100A79301002C
-:104EA000A8930100A9930100AA930100AB9301000C
-:104EB000AC930100AD930100AE930100AF930100EC
-:104EC000B0930100B1930100B2930100B3930100CC
-:104ED000B4930100B5930100B6930100B7930100AC
-:104EE000B8930100B9930100BA930100BB9301008C
-:104EF000BC930100BD930100BE930100BF9301006C
-:104F0000C0930100C1930100C2930100C39301004B
-:104F1000C4930100C5930100C6930100C79301002B
-:104F2000C8930100C9930100CA930100CB9301000B
-:104F3000CC930100CD930100CE930100CF930100EB
-:104F4000D0930100D1930100D2930100D3930100CB
-:104F5000D4930100D5930100D6930100D7930100AB
-:104F6000D8930100D9930100DA930100DB9301008B
-:104F7000DC930100DD930100DE930100DF9301006B
-:104F8000E0930100E1930100E2930100E39301004B
-:104F9000E4930100E5930100E6930100E79301002B
-:104FA000E8930100E9930100EA930100EB9301000B
-:104FB000EC930100ED930100EE930100EF930100EB
-:104FC000F0930100F1930100F2930100F3930100CB
-:104FD000F4930100F5930100F6930100F7930100AB
-:104FE000F8930100F9930100FA930100FB9301008B
-:104FF000FC930100FD930100FE930100FF9301006B
-:105000000094010001940100029401000394010046
-:105010000494010005940100069401000794010026
-:1050200008940100099401000A9401000B94010006
-:105030000C9401000D9401000E9401000F940100E6
-:1050400010940100119401001294010013940100C6
-:1050500014940100159401001694010017940100A6
-:1050600018940100199401001A9401001B94010086
-:105070001C9401001D9401001E9401001F94010066
-:105080002094010021940100229401002394010046
-:105090002494010025940100269401002794010026
-:1050A00028940100299401002A9401002B94010006
-:1050B0002C9401002D9401002E9401002F940100E6
-:1050C00030940100319401003294010033940100C6
-:1050D00034940100359401003694010037940100A6
-:1050E00038940100399401003A9401003B94010086
-:1050F0003C9401003D9401003E9401003F94010066
-:105100004094010041940100429401004394010045
-:105110004494010045940100469401004794010025
-:1051200048940100499401004A9401004B94010005
-:105130004C9401004D9401004E9401004F940100E5
-:1051400050940100519401005294010053940100C5
-:1051500054940100559401005694010057940100A5
-:1051600058940100599401005A9401005B94010085
-:105170005C9401005D9401005E9401005F94010065
-:105180006094010061940100629401006394010045
-:105190006494010065940100669401006794010025
-:1051A00068940100699401006A9401006B94010005
-:1051B0006C9401006D9401006E9401006F940100E5
-:1051C00070940100719401007294010073940100C5
-:1051D00074940100759401007694010077940100A5
-:1051E00078940100799401007A9401007B94010085
-:1051F0007C9401007D9401007E9401007F94010065
-:105200008094010081940100829401008394010044
-:105210008494010085940100869401008794010024
-:1052200088940100899401008A9401008B94010004
-:105230008C9401008D9401008E9401008F940100E4
-:1052400090940100919401009294010093940100C4
-:1052500094940100959401009694010097940100A4
-:1052600098940100999401009A9401009B94010084
-:105270009C9401009D9401009E9401009F94010064
-:10528000A0940100A1940100A2940100A394010044
-:10529000A4940100A5940100A6940100A794010024
-:1052A000A8940100A9940100AA940100AB94010004
-:1052B000AC940100AD940100AE940100AF940100E4
-:1052C000B0940100B1940100B2940100B3940100C4
-:1052D000B4940100B5940100B6940100B7940100A4
-:1052E000B8940100B9940100BA940100BB94010084
-:1052F000BC940100BD940100BE940100BF94010064
-:10530000C0940100C1940100C2940100C394010043
-:10531000C4940100C5940100C6940100C794010023
-:10532000C8940100C9940100CA940100CB94010003
-:10533000CC940100CD940100CE940100CF940100E3
-:10534000D0940100D1940100D2940100D3940100C3
-:10535000D4940100D5940100D6940100D7940100A3
-:10536000D8940100D9940100DA940100DB94010083
-:10537000DC940100DD940100DE940100DF94010063
-:10538000E0940100E1940100E2940100E394010043
-:10539000E4940100E5940100E6940100E794010023
-:1053A000E8940100E9940100EA940100EB94010003
-:1053B000EC940100ED940100EE940100EF940100E3
-:1053C000F0940100F1940100F2940100F3940100C3
-:1053D000F4940100F5940100F6940100F7940100A3
-:1053E000F8940100F9940100FA940100FB94010083
-:1053F000FC940100FD940100FE940100FF94010063
-:10540000009501000195010002950100039501003E
-:10541000049501000595010006950100079501001E
-:1054200008950100099501000A9501000B950100FE
-:105430000C9501000D9501000E9501000F950100DE
-:1054400010950100119501001295010013950100BE
-:10545000149501001595010016950100179501009E
-:1054600018950100199501001A9501001B9501007E
-:105470001C9501001D9501001E9501001F9501005E
-:10548000209501002195010022950100239501003E
-:10549000249501002595010026950100279501001E
-:1054A00028950100299501002A9501002B950100FE
-:1054B0002C9501002D9501002E9501002F950100DE
-:1054C00030950100319501003295010033950100BE
-:1054D000349501003595010036950100379501009E
-:1054E00038950100399501003A9501003B9501007E
-:1054F0003C9501003D9501003E9501003F9501005E
-:10550000409501004195010042950100439501003D
-:10551000449501004595010046950100479501001D
-:1055200048950100499501004A9501004B950100FD
-:105530004C9501004D9501004E9501004F950100DD
-:1055400050950100519501005295010053950100BD
-:10555000549501005595010056950100579501009D
-:1055600058950100599501005A9501005B9501007D
-:105570005C9501005D9501005E9501005F9501005D
-:10558000609501006195010062950100639501003D
-:10559000649501006595010066950100679501001D
-:1055A00068950100699501006A9501006B950100FD
-:1055B0006C9501006D9501006E9501006F950100DD
-:1055C00070950100719501007295010073950100BD
-:1055D000749501007595010076950100779501009D
-:1055E00078950100799501007A9501007B9501007D
-:1055F0007C9501007D9501007E9501007F9501005D
-:10560000809501008195010082950100839501003C
-:10561000849501008595010086950100879501001C
-:1056200088950100899501008A9501008B950100FC
-:105630008C9501008D9501008E9501008F950100DC
-:1056400090950100919501009295010093950100BC
-:10565000949501009595010096950100979501009C
-:1056600098950100999501009A9501009B9501007C
-:105670009C9501009D9501009E9501009F9501005C
-:10568000A0950100A1950100A2950100A39501003C
-:10569000A4950100A5950100A6950100A79501001C
-:1056A000A8950100A9950100AA950100AB950100FC
-:1056B000AC950100AD950100AE950100AF950100DC
-:1056C000B0950100B1950100B2950100B3950100BC
-:1056D000B4950100B5950100B6950100B79501009C
-:1056E000B8950100B9950100BA950100BB9501007C
-:1056F000BC950100BD950100BE950100BF9501005C
-:10570000C0950100C1950100C2950100C39501003B
-:10571000C4950100C5950100C6950100C79501001B
-:10572000C8950100C9950100CA950100CB950100FB
-:10573000CC950100CD950100CE950100CF950100DB
-:10574000D0950100D1950100D2950100D3950100BB
-:10575000D4950100D5950100D6950100D79501009B
-:10576000D8950100D9950100DA950100DB9501007B
-:10577000DC950100DD950100DE950100DF9501005B
-:10578000E0950100E1950100E2950100E39501003B
-:10579000E4950100E5950100E6950100E79501001B
-:1057A000E8950100E9950100EA950100EB950100FB
-:1057B000EC950100ED950100EE950100EF950100DB
-:1057C000F0950100F1950100F2950100F3950100BB
-:1057D000F4950100F5950100F6950100F79501009B
-:1057E000F8950100F9950100FA950100FB9501007B
-:1057F000FC950100FD950100FE950100FF9501005B
-:105800000096010001960100029601000396010036
-:105810000496010005960100069601000796010016
-:1058200008960100099601000A9601000B960100F6
-:105830000C9601000D9601000E9601000F960100D6
-:1058400010960100119601001296010013960100B6
-:105850001496010015960100169601001796010096
-:1058600018960100199601001A9601001B96010076
-:105870001C9601001D9601001E9601001F96010056
-:105880002096010021960100229601002396010036
-:105890002496010025960100269601002796010016
-:1058A00028960100299601002A9601002B960100F6
-:1058B0002C9601002D9601002E9601002F960100D6
-:1058C00030960100319601003296010033960100B6
-:1058D0003496010035960100369601003796010096
-:1058E00038960100399601003A9601003B96010076
-:1058F0003C9601003D9601003E9601003F96010056
-:105900004096010041960100429601004396010035
-:105910004496010045960100469601004796010015
-:1059200048960100499601004A9601004B960100F5
-:105930004C9601004D9601004E9601004F960100D5
-:1059400050960100519601005296010053960100B5
-:105950005496010055960100569601005796010095
-:1059600058960100599601005A9601005B96010075
-:105970005C9601005D9601005E9601005F96010055
-:105980006096010061960100629601006396010035
-:105990006496010065960100669601006796010015
-:1059A00068960100699601006A9601006B960100F5
-:1059B0006C9601006D9601006E9601006F960100D5
-:1059C00070960100719601007296010073960100B5
-:1059D0007496010075960100769601007796010095
-:1059E00078960100799601007A9601007B96010075
-:1059F0007C9601007D9601007E9601007F96010055
-:105A00008096010081960100829601008396010034
-:105A10008496010085960100869601008796010014
-:105A200088960100899601008A9601008B960100F4
-:105A30008C9601008D9601008E9601008F960100D4
-:105A400090960100919601009296010093960100B4
-:105A50009496010095960100969601009796010094
-:105A600098960100999601009A9601009B96010074
-:105A70009C9601009D9601009E9601009F96010054
-:105A8000A0960100A1960100A2960100A396010034
-:105A9000A4960100A5960100A6960100A796010014
-:105AA000A8960100A9960100AA960100AB960100F4
-:105AB000AC960100AD960100AE960100AF960100D4
-:105AC000B0960100B1960100B2960100B3960100B4
-:105AD000B4960100B5960100B6960100B796010094
-:105AE000B8960100B9960100BA960100BB96010074
-:105AF000BC960100BD960100BE960100BF96010054
-:105B0000C0960100C1960100C2960100C396010033
-:105B1000C4960100C5960100C6960100C796010013
-:105B2000C8960100C9960100CA960100CB960100F3
-:105B3000CC960100CD960100CE960100CF960100D3
-:105B4000D0960100D1960100D2960100D3960100B3
-:105B5000D4960100D5960100D6960100D796010093
-:105B6000D8960100D9960100DA960100DB96010073
-:105B7000DC960100DD960100DE960100DF96010053
-:105B8000E0960100E1960100E2960100E396010033
-:105B9000E4960100E5960100E6960100E796010013
-:105BA000E8960100E9960100EA960100EB960100F3
-:105BB000EC960100ED960100EE960100EF960100D3
-:105BC000F0960100F1960100F2960100F3960100B3
-:105BD000F4960100F5960100F6960100F796010093
-:105BE000F8960100F9960100FA960100FB96010073
-:105BF000FC960100FD960100FE960100FF96010053
-:105C0000009701000197010002970100039701002E
-:105C1000049701000597010006970100079701000E
-:105C200008970100099701000A9701000B970100EE
-:105C30000C9701000D9701000E9701000F970100CE
-:105C400010970100119701001297010013970100AE
-:105C5000149701001597010016970100179701008E
-:105C600018970100199701001A9701001B9701006E
-:105C70001C9701001D9701001E9701001F9701004E
-:105C8000209701002197010022970100239701002E
-:105C9000249701002597010026970100279701000E
-:105CA00028970100299701002A9701002B970100EE
-:105CB0002C9701002D9701002E9701002F970100CE
-:105CC00030970100319701003297010033970100AE
-:105CD000349701003597010036970100379701008E
-:105CE00038970100399701003A9701003B9701006E
-:105CF0003C9701003D9701003E9701003F9701004E
-:105D0000409701004197010042970100439701002D
-:105D1000449701004597010046970100479701000D
-:105D200048970100499701004A9701004B970100ED
-:105D30004C9701004D9701004E9701004F970100CD
-:105D400050970100519701005297010053970100AD
-:105D5000549701005597010056970100579701008D
-:105D600058970100599701005A9701005B9701006D
-:105D70005C9701005D9701005E9701005F9701004D
-:105D8000609701006197010062970100639701002D
-:105D9000649701006597010066970100679701000D
-:105DA00068970100699701006A9701006B970100ED
-:105DB0006C9701006D9701006E9701006F970100CD
-:105DC00070970100719701007297010073970100AD
-:105DD000749701007597010076970100779701008D
-:105DE00078970100799701007A9701007B9701006D
-:105DF0007C9701007D9701007E9701007F9701004D
-:105E0000809701008197010082970100839701002C
-:105E1000849701008597010086970100879701000C
-:105E200088970100899701008A9701008B970100EC
-:105E30008C9701008D9701008E9701008F970100CC
-:105E400090970100919701009297010093970100AC
-:105E5000949701009597010096970100979701008C
-:105E600098970100999701009A9701009B9701006C
-:105E70009C9701009D9701009E9701009F9701004C
-:105E8000A0970100A1970100A2970100A39701002C
-:105E9000A4970100A5970100A6970100A79701000C
-:105EA000A8970100A9970100AA970100AB970100EC
-:105EB000AC970100AD970100AE970100AF970100CC
-:105EC000B0970100B1970100B2970100B3970100AC
-:105ED000B4970100B5970100B6970100B79701008C
-:105EE000B8970100B9970100BA970100BB9701006C
-:105EF000BC970100BD970100BE970100BF9701004C
-:105F0000C0970100C1970100C2970100C39701002B
-:105F1000C4970100C5970100C6970100C79701000B
-:105F2000C8970100C9970100CA970100CB970100EB
-:105F3000CC970100CD970100CE970100CF970100CB
-:105F4000D0970100D1970100D2970100D3970100AB
-:105F5000D4970100D5970100D6970100D79701008B
-:105F6000D8970100D9970100DA970100DB9701006B
-:105F7000DC970100DD970100DE970100DF9701004B
-:105F8000E0970100E1970100E2970100E39701002B
-:105F9000E4970100E5970100E6970100E79701000B
-:105FA000E8970100E9970100EA970100EB970100EB
-:105FB000EC970100ED970100EE970100EF970100CB
-:105FC000F0970100F1970100F2970100F3970100AB
-:105FD000F4970100F5970100F6970100F79701008B
-:105FE000F8970100F9970100FA970100FB9701006B
-:105FF000FC970100FD970100FE970100FF9701004B
-:106000000098010001980100029801000398010026
-:106010000498010005980100069801000798010006
-:1060200008980100099801000A9801000B980100E6
-:106030000C9801000D9801000E9801000F980100C6
-:1060400010980100119801001298010013980100A6
-:106050001498010015980100169801001798010086
-:1060600018980100199801001A9801001B98010066
-:106070001C9801001D9801001E9801001F98010046
-:106080002098010021980100229801002398010026
-:106090002498010025980100269801002798010006
-:1060A00028980100299801002A9801002B980100E6
-:1060B0002C9801002D9801002E9801002F980100C6
-:1060C00030980100319801003298010033980100A6
-:1060D0003498010035980100369801003798010086
-:1060E00038980100399801003A9801003B98010066
-:1060F0003C9801003D9801003E9801003F98010046
-:106100004098010041980100429801004398010025
-:106110004498010045980100469801004798010005
-:1061200048980100499801004A9801004B980100E5
-:106130004C9801004D9801004E9801004F980100C5
-:1061400050980100519801005298010053980100A5
-:106150005498010055980100569801005798010085
-:1061600058980100599801005A9801005B98010065
-:106170005C9801005D9801005E9801005F98010045
-:106180006098010061980100629801006398010025
-:106190006498010065980100669801006798010005
-:1061A00068980100699801006A9801006B980100E5
-:1061B0006C9801006D9801006E9801006F980100C5
-:1061C00070980100719801007298010073980100A5
-:1061D0007498010075980100769801007798010085
-:1061E00078980100799801007A9801007B98010065
-:1061F0007C9801007D9801007E9801007F98010045
-:106200008098010081980100829801008398010024
-:106210008498010085980100869801008798010004
-:1062200088980100899801008A9801008B980100E4
-:106230008C9801008D9801008E9801008F980100C4
-:1062400090980100919801009298010093980100A4
-:106250009498010095980100969801009798010084
-:1062600098980100999801009A9801009B98010064
-:106270009C9801009D9801009E9801009F98010044
-:10628000A0980100A1980100A2980100A398010024
-:10629000A4980100A5980100A6980100A798010004
-:1062A000A8980100A9980100AA980100AB980100E4
-:1062B000AC980100AD980100AE980100AF980100C4
-:1062C000B0980100B1980100B2980100B3980100A4
-:1062D000B4980100B5980100B6980100B798010084
-:1062E000B8980100B9980100BA980100BB98010064
-:1062F000BC980100BD980100BE980100BF98010044
-:10630000C0980100C1980100C2980100C398010023
-:10631000C4980100C5980100C6980100C798010003
-:10632000C8980100C9980100CA980100CB980100E3
-:10633000CC980100CD980100CE980100CF980100C3
-:10634000D0980100D1980100D2980100D3980100A3
-:10635000D4980100D5980100D6980100D798010083
-:10636000D8980100D9980100DA980100DB98010063
-:10637000DC980100DD980100DE980100DF98010043
-:10638000E0980100E1980100E2980100E398010023
-:10639000E4980100E5980100E6980100E798010003
-:1063A000E8980100E9980100EA980100EB980100E3
-:1063B000EC980100ED980100EE980100EF980100C3
-:1063C000F0980100F1980100F2980100F3980100A3
-:1063D000F4980100F5980100F6980100F798010083
-:1063E000F8980100F9980100FA980100FB98010063
-:1063F000FC980100FD980100FE980100FF98010043
-:10640000009901000199010002990100039901001E
-:1064100004990100059901000699010007990100FE
-:1064200008990100099901000A9901000B990100DE
-:106430000C9901000D9901000E9901000F990100BE
-:10644000109901001199010012990100139901009E
-:10645000149901001599010016990100179901007E
-:1064600018990100199901001A9901001B9901005E
-:106470001C9901001D9901001E9901001F9901003E
-:10648000209901002199010022990100239901001E
-:1064900024990100259901002699010027990100FE
-:1064A00028990100299901002A9901002B990100DE
-:1064B0002C9901002D9901002E9901002F990100BE
-:1064C000309901003199010032990100339901009E
-:1064D000349901003599010036990100379901007E
-:1064E00038990100399901003A9901003B9901005E
-:1064F0003C9901003D9901003E9901003F9901003E
-:10650000409901004199010042990100439901001D
-:1065100044990100459901004699010047990100FD
-:1065200048990100499901004A9901004B990100DD
-:106530004C9901004D9901004E9901004F990100BD
-:10654000509901005199010052990100539901009D
-:10655000549901005599010056990100579901007D
-:1065600058990100599901005A9901005B9901005D
-:106570005C9901005D9901005E9901005F9901003D
-:10658000609901006199010062990100639901001D
-:1065900064990100659901006699010067990100FD
-:1065A00068990100699901006A9901006B990100DD
-:1065B0006C9901006D9901006E9901006F990100BD
-:1065C000709901007199010072990100739901009D
-:1065D000749901007599010076990100779901007D
-:1065E00078990100799901007A9901007B9901005D
-:1065F0007C9901007D9901007E9901007F9901003D
-:10660000809901008199010082990100839901001C
-:1066100084990100859901008699010087990100FC
-:1066200088990100899901008A9901008B990100DC
-:106630008C9901008D9901008E9901008F990100BC
-:10664000909901009199010092990100939901009C
-:10665000949901009599010096990100979901007C
-:1066600098990100999901009A9901009B9901005C
-:106670009C9901009D9901009E9901009F9901003C
-:10668000A0990100A1990100A2990100A39901001C
-:10669000A4990100A5990100A6990100A7990100FC
-:1066A000A8990100A9990100AA990100AB990100DC
-:1066B000AC990100AD990100AE990100AF990100BC
-:1066C000B0990100B1990100B2990100B39901009C
-:1066D000B4990100B5990100B6990100B79901007C
-:1066E000B8990100B9990100BA990100BB9901005C
-:1066F000BC990100BD990100BE990100BF9901003C
-:10670000C0990100C1990100C2990100C39901001B
-:10671000C4990100C5990100C6990100C7990100FB
-:10672000C8990100C9990100CA990100CB990100DB
-:10673000CC990100CD990100CE990100CF990100BB
-:10674000D0990100D1990100D2990100D39901009B
-:10675000D4990100D5990100D6990100D79901007B
-:10676000D8990100D9990100DA990100DB9901005B
-:10677000DC990100DD990100DE990100DF9901003B
-:10678000E0990100E1990100E2990100E39901001B
-:10679000E4990100E5990100E6990100E7990100FB
-:1067A000E8990100E9990100EA990100EB990100DB
-:1067B000EC990100ED990100EE990100EF990100BB
-:1067C000F0990100F1990100F2990100F39901009B
-:1067D000F4990100F5990100F6990100F79901007B
-:1067E000F8990100F9990100FA990100FB9901005B
-:1067F000FC990100FD990100FE990100FF9901003B
-:10680000009A0100019A0100029A0100039A010016
-:10681000049A0100059A0100069A0100079A0100F6
-:10682000089A0100099A01000A9A01000B9A0100D6
-:106830000C9A01000D9A01000E9A01000F9A0100B6
-:10684000109A0100119A0100129A0100139A010096
-:10685000149A0100159A0100169A0100179A010076
-:10686000189A0100199A01001A9A01001B9A010056
-:106870001C9A01001D9A01001E9A01001F9A010036
-:10688000209A0100219A0100229A0100239A010016
-:10689000249A0100259A0100269A0100279A0100F6
-:1068A000289A0100299A01002A9A01002B9A0100D6
-:1068B0002C9A01002D9A01002E9A01002F9A0100B6
-:1068C000309A0100319A0100329A0100339A010096
-:1068D000349A0100359A0100369A0100379A010076
-:1068E000389A0100399A01003A9A01003B9A010056
-:1068F0003C9A01003D9A01003E9A01003F9A010036
-:10690000409A0100419A0100429A0100439A010015
-:10691000449A0100459A0100469A0100479A0100F5
-:10692000489A0100499A01004A9A01004B9A0100D5
-:106930004C9A01004D9A01004E9A01004F9A0100B5
-:10694000509A0100519A0100529A0100539A010095
-:10695000549A0100559A0100569A0100579A010075
-:10696000589A0100599A01005A9A01005B9A010055
-:106970005C9A01005D9A01005E9A01005F9A010035
-:10698000609A0100619A0100629A0100639A010015
-:10699000649A0100659A0100669A0100679A0100F5
-:1069A000689A0100699A01006A9A01006B9A0100D5
-:1069B0006C9A01006D9A01006E9A01006F9A0100B5
-:1069C000709A0100719A0100729A0100739A010095
-:1069D000749A0100759A0100769A0100779A010075
-:1069E000789A0100799A01007A9A01007B9A010055
-:1069F0007C9A01007D9A01007E9A01007F9A010035
-:106A0000809A0100819A0100829A0100839A010014
-:106A1000849A0100859A0100869A0100879A0100F4
-:106A2000889A0100899A01008A9A01008B9A0100D4
-:106A30008C9A01008D9A01008E9A01008F9A0100B4
-:106A4000909A0100919A0100929A0100939A010094
-:106A5000949A0100959A0100969A0100979A010074
-:106A6000989A0100999A01009A9A01009B9A010054
-:106A70009C9A01009D9A01009E9A01009F9A010034
-:106A8000A09A0100A19A0100A29A0100A39A010014
-:106A9000A49A0100A59A0100A69A0100A79A0100F4
-:106AA000A89A0100A99A0100AA9A0100AB9A0100D4
-:106AB000AC9A0100AD9A0100AE9A0100AF9A0100B4
-:106AC000B09A0100B19A0100B29A0100B39A010094
-:106AD000B49A0100B59A0100B69A0100B79A010074
-:106AE000B89A0100B99A0100BA9A0100BB9A010054
-:106AF000BC9A0100BD9A0100BE9A0100BF9A010034
-:106B0000C09A0100C19A0100C29A0100C39A010013
-:106B1000C49A0100C59A0100C69A0100C79A0100F3
-:106B2000C89A0100C99A0100CA9A0100CB9A0100D3
-:106B3000CC9A0100CD9A0100CE9A0100CF9A0100B3
-:106B4000D09A0100D19A0100D29A0100D39A010093
-:106B5000D49A0100D59A0100D69A0100D79A010073
-:106B6000D89A0100D99A0100DA9A0100DB9A010053
-:106B7000DC9A0100DD9A0100DE9A0100DF9A010033
-:106B8000E09A0100E19A0100E29A0100E39A010013
-:106B9000E49A0100E59A0100E69A0100E79A0100F3
-:106BA000E89A0100E99A0100EA9A0100EB9A0100D3
-:106BB000EC9A0100ED9A0100EE9A0100EF9A0100B3
-:106BC000F09A0100F19A0100F29A0100F39A010093
-:106BD000F49A0100F59A0100F69A0100F79A010073
-:106BE000F89A0100F99A0100FA9A0100FB9A010053
-:106BF000FC9A0100FD9A0100FE9A0100FF9A010033
-:106C0000009B0100019B0100029B0100039B01000E
-:106C1000049B0100059B0100069B0100079B0100EE
-:106C2000089B0100099B01000A9B01000B9B0100CE
-:106C30000C9B01000D9B01000E9B01000F9B0100AE
-:106C4000109B0100119B0100129B0100139B01008E
-:106C5000149B0100159B0100169B0100179B01006E
-:106C6000189B0100199B01001A9B01001B9B01004E
-:106C70001C9B01001D9B01001E9B01001F9B01002E
-:106C8000209B0100219B0100229B0100239B01000E
-:106C9000249B0100259B0100269B0100279B0100EE
-:106CA000289B0100299B01002A9B01002B9B0100CE
-:106CB0002C9B01002D9B01002E9B01002F9B0100AE
-:106CC000309B0100319B0100329B0100339B01008E
-:106CD000349B0100359B0100369B0100379B01006E
-:106CE000389B0100399B01003A9B01003B9B01004E
-:106CF0003C9B01003D9B01003E9B01003F9B01002E
-:106D0000409B0100419B0100429B0100439B01000D
-:106D1000449B0100459B0100469B0100479B0100ED
-:106D2000489B0100499B01004A9B01004B9B0100CD
-:106D30004C9B01004D9B01004E9B01004F9B0100AD
-:106D4000509B0100519B0100529B0100539B01008D
-:106D5000549B0100559B0100569B0100579B01006D
-:106D6000589B0100599B01005A9B01005B9B01004D
-:106D70005C9B01005D9B01005E9B01005F9B01002D
-:106D8000609B0100619B0100629B0100639B01000D
-:106D9000649B0100659B0100669B0100679B0100ED
-:106DA000689B0100699B01006A9B01006B9B0100CD
-:106DB0006C9B01006D9B01006E9B01006F9B0100AD
-:106DC000709B0100719B0100729B0100739B01008D
-:106DD000749B0100759B0100769B0100779B01006D
-:106DE000789B0100799B01007A9B01007B9B01004D
-:106DF0007C9B01007D9B01007E9B01007F9B01002D
-:106E0000809B0100819B0100829B0100839B01000C
-:106E1000849B0100859B0100869B0100879B0100EC
-:106E2000889B0100899B01008A9B01008B9B0100CC
-:106E30008C9B01008D9B01008E9B01008F9B0100AC
-:106E4000909B0100919B0100929B0100939B01008C
-:106E5000949B0100959B0100969B0100979B01006C
-:106E6000989B0100999B01009A9B01009B9B01004C
-:106E70009C9B01009D9B01009E9B01009F9B01002C
-:106E8000A09B0100A19B0100A29B0100A39B01000C
-:106E9000A49B0100A59B0100A69B0100A79B0100EC
-:106EA000A89B0100A99B0100AA9B0100AB9B0100CC
-:106EB000AC9B0100AD9B0100AE9B0100AF9B0100AC
-:106EC000B09B0100B19B0100B29B0100B39B01008C
-:106ED000B49B0100B59B0100B69B0100B79B01006C
-:106EE000B89B0100B99B0100BA9B0100BB9B01004C
-:106EF000BC9B0100BD9B0100BE9B0100BF9B01002C
-:106F0000C09B0100C19B0100C29B0100C39B01000B
-:106F1000C49B0100C59B0100C69B0100C79B0100EB
-:106F2000C89B0100C99B0100CA9B0100CB9B0100CB
-:106F3000CC9B0100CD9B0100CE9B0100CF9B0100AB
-:106F4000D09B0100D19B0100D29B0100D39B01008B
-:106F5000D49B0100D59B0100D69B0100D79B01006B
-:106F6000D89B0100D99B0100DA9B0100DB9B01004B
-:106F7000DC9B0100DD9B0100DE9B0100DF9B01002B
-:106F8000E09B0100E19B0100E29B0100E39B01000B
-:106F9000E49B0100E59B0100E69B0100E79B0100EB
-:106FA000E89B0100E99B0100EA9B0100EB9B0100CB
-:106FB000EC9B0100ED9B0100EE9B0100EF9B0100AB
-:106FC000F09B0100F19B0100F29B0100F39B01008B
-:106FD000F49B0100F59B0100F69B0100F79B01006B
-:106FE000F89B0100F99B0100FA9B0100FB9B01004B
-:106FF000FC9B0100FD9B0100FE9B0100FF9B01002B
-:10700000009C0100019C0100029C0100039C010006
-:10701000049C0100059C0100069C0100079C0100E6
-:10702000089C0100099C01000A9C01000B9C0100C6
-:107030000C9C01000D9C01000E9C01000F9C0100A6
-:10704000109C0100119C0100129C0100139C010086
-:10705000149C0100159C0100169C0100179C010066
-:10706000189C0100199C01001A9C01001B9C010046
-:107070001C9C01001D9C01001E9C01001F9C010026
-:10708000209C0100219C0100229C0100239C010006
-:10709000249C0100259C0100269C0100279C0100E6
-:1070A000289C0100299C01002A9C01002B9C0100C6
-:1070B0002C9C01002D9C01002E9C01002F9C0100A6
-:1070C000309C0100319C0100329C0100339C010086
-:1070D000349C0100359C0100369C0100379C010066
-:1070E000389C0100399C01003A9C01003B9C010046
-:1070F0003C9C01003D9C01003E9C01003F9C010026
-:10710000409C0100419C0100429C0100439C010005
-:10711000449C0100459C0100469C0100479C0100E5
-:10712000489C0100499C01004A9C01004B9C0100C5
-:107130004C9C01004D9C01004E9C01004F9C0100A5
-:10714000509C0100519C0100529C0100539C010085
-:10715000549C0100559C0100569C0100579C010065
-:10716000589C0100599C01005A9C01005B9C010045
-:107170005C9C01005D9C01005E9C01005F9C010025
-:10718000609C0100619C0100629C0100639C010005
-:10719000649C0100659C0100669C0100679C0100E5
-:1071A000689C0100699C01006A9C01006B9C0100C5
-:1071B0006C9C01006D9C01006E9C01006F9C0100A5
-:1071C000709C0100719C0100729C0100739C010085
-:1071D000749C0100759C0100769C0100779C010065
-:1071E000789C0100799C01007A9C01007B9C010045
-:1071F0007C9C01007D9C01007E9C01007F9C010025
-:10720000809C0100819C0100829C0100839C010004
-:10721000849C0100859C0100869C0100879C0100E4
-:10722000889C0100899C01008A9C01008B9C0100C4
-:107230008C9C01008D9C01008E9C01008F9C0100A4
-:10724000909C0100919C0100929C0100939C010084
-:10725000949C0100959C0100969C0100979C010064
-:10726000989C0100999C01009A9C01009B9C010044
-:107270009C9C01009D9C01009E9C01009F9C010024
-:10728000A09C0100A19C0100A29C0100A39C010004
-:10729000A49C0100A59C0100A69C0100A79C0100E4
-:1072A000A89C0100A99C0100AA9C0100AB9C0100C4
-:1072B000AC9C0100AD9C0100AE9C0100AF9C0100A4
-:1072C000B09C0100B19C0100B29C0100B39C010084
-:1072D000B49C0100B59C0100B69C0100B79C010064
-:1072E000B89C0100B99C0100BA9C0100BB9C010044
-:1072F000BC9C0100BD9C0100BE9C0100BF9C010024
-:10730000C09C0100C19C0100C29C0100C39C010003
-:10731000C49C0100C59C0100C69C0100C79C0100E3
-:10732000C89C0100C99C0100CA9C0100CB9C0100C3
-:10733000CC9C0100CD9C0100CE9C0100CF9C0100A3
-:10734000D09C0100D19C0100D29C0100D39C010083
-:10735000D49C0100D59C0100D69C0100D79C010063
-:10736000D89C0100D99C0100DA9C0100DB9C010043
-:10737000DC9C0100DD9C0100DE9C0100DF9C010023
-:10738000E09C0100E19C0100E29C0100E39C010003
-:10739000E49C0100E59C0100E69C0100E79C0100E3
-:1073A000E89C0100E99C0100EA9C0100EB9C0100C3
-:1073B000EC9C0100ED9C0100EE9C0100EF9C0100A3
-:1073C000F09C0100F19C0100F29C0100F39C010083
-:1073D000F49C0100F59C0100F69C0100F79C010063
-:1073E000F89C0100F99C0100FA9C0100FB9C010043
-:1073F000FC9C0100FD9C0100FE9C0100FF9C010023
-:10740000009D0100019D0100029D0100039D0100FE
-:10741000049D0100059D0100069D0100079D0100DE
-:10742000089D0100099D01000A9D01000B9D0100BE
-:107430000C9D01000D9D01000E9D01000F9D01009E
-:10744000109D0100119D0100129D0100139D01007E
-:10745000149D0100159D0100169D0100179D01005E
-:10746000189D0100199D01001A9D01001B9D01003E
-:107470001C9D01001D9D01001E9D01001F9D01001E
-:10748000209D0100219D0100229D0100239D0100FE
-:10749000249D0100259D0100269D0100279D0100DE
-:1074A000289D0100299D01002A9D01002B9D0100BE
-:1074B0002C9D01002D9D01002E9D01002F9D01009E
-:1074C000309D0100319D0100329D0100339D01007E
-:1074D000349D0100359D0100369D0100379D01005E
-:1074E000389D0100399D01003A9D01003B9D01003E
-:1074F0003C9D01003D9D01003E9D01003F9D01001E
-:10750000409D0100419D0100429D0100439D0100FD
-:10751000449D0100459D0100469D0100479D0100DD
-:10752000489D0100499D01004A9D01004B9D0100BD
-:107530004C9D01004D9D01004E9D01004F9D01009D
-:10754000509D0100519D0100529D0100539D01007D
-:10755000549D0100559D0100569D0100579D01005D
-:10756000589D0100599D01005A9D01005B9D01003D
-:107570005C9D01005D9D01005E9D01005F9D01001D
-:10758000609D0100619D0100629D0100639D0100FD
-:10759000649D0100659D0100669D0100679D0100DD
-:1075A000689D0100699D01006A9D01006B9D0100BD
-:1075B0006C9D01006D9D01006E9D01006F9D01009D
-:1075C000709D0100719D0100729D0100739D01007D
-:1075D000749D0100759D0100769D0100779D01005D
-:1075E000789D0100799D01007A9D01007B9D01003D
-:1075F0007C9D01007D9D01007E9D01007F9D01001D
-:10760000809D0100819D0100829D0100839D0100FC
-:10761000849D0100859D0100869D0100879D0100DC
-:10762000889D0100899D01008A9D01008B9D0100BC
-:107630008C9D01008D9D01008E9D01008F9D01009C
-:10764000909D0100919D0100929D0100939D01007C
-:10765000949D0100959D0100969D0100979D01005C
-:10766000989D0100999D01009A9D01009B9D01003C
-:107670009C9D01009D9D01009E9D01009F9D01001C
-:10768000A09D0100A19D0100A29D0100A39D0100FC
-:10769000A49D0100A59D0100A69D0100A79D0100DC
-:1076A000A89D0100A99D0100AA9D0100AB9D0100BC
-:1076B000AC9D0100AD9D0100AE9D0100AF9D01009C
-:1076C000B09D0100B19D0100B29D0100B39D01007C
-:1076D000B49D0100B59D0100B69D0100B79D01005C
-:1076E000B89D0100B99D0100BA9D0100BB9D01003C
-:1076F000BC9D0100BD9D0100BE9D0100BF9D01001C
-:10770000C09D0100C19D0100C29D0100C39D0100FB
-:10771000C49D0100C59D0100C69D0100C79D0100DB
-:10772000C89D0100C99D0100CA9D0100CB9D0100BB
-:10773000CC9D0100CD9D0100CE9D0100CF9D01009B
-:10774000D09D0100D19D0100D29D0100D39D01007B
-:10775000D49D0100D59D0100D69D0100D79D01005B
-:10776000D89D0100D99D0100DA9D0100DB9D01003B
-:10777000DC9D0100DD9D0100DE9D0100DF9D01001B
-:10778000E09D0100E19D0100E29D0100E39D0100FB
-:10779000E49D0100E59D0100E69D0100E79D0100DB
-:1077A000E89D0100E99D0100EA9D0100EB9D0100BB
-:1077B000EC9D0100ED9D0100EE9D0100EF9D01009B
-:1077C000F09D0100F19D0100F29D0100F39D01007B
-:1077D000F49D0100F59D0100F69D0100F79D01005B
-:1077E000F89D0100F99D0100FA9D0100FB9D01003B
-:1077F000FC9D0100FD9D0100FE9D0100FF9D01001B
-:10780000009E0100019E0100029E0100039E0100F6
-:10781000049E0100059E0100069E0100079E0100D6
-:10782000089E0100099E01000A9E01000B9E0100B6
-:107830000C9E01000D9E01000E9E01000F9E010096
-:10784000109E0100119E0100129E0100139E010076
-:10785000149E0100159E0100169E0100179E010056
-:10786000189E0100199E01001A9E01001B9E010036
-:107870001C9E01001D9E01001E9E01001F9E010016
-:10788000209E0100219E0100229E0100239E0100F6
-:10789000249E0100259E0100269E0100279E0100D6
-:1078A000289E0100299E01002A9E01002B9E0100B6
-:1078B0002C9E01002D9E01002E9E01002F9E010096
-:1078C000309E0100319E0100329E0100339E010076
-:1078D000349E0100359E0100369E0100379E010056
-:1078E000389E0100399E01003A9E01003B9E010036
-:1078F0003C9E01003D9E01003E9E01003F9E010016
-:10790000409E0100419E0100429E0100439E0100F5
-:10791000449E0100459E0100469E0100479E0100D5
-:10792000489E0100499E01004A9E01004B9E0100B5
-:107930004C9E01004D9E01004E9E01004F9E010095
-:10794000509E0100519E0100529E0100539E010075
-:10795000549E0100559E0100569E0100579E010055
-:10796000589E0100599E01005A9E01005B9E010035
-:107970005C9E01005D9E01005E9E01005F9E010015
-:10798000609E0100619E0100629E0100639E0100F5
-:10799000649E0100659E0100669E0100679E0100D5
-:1079A000689E0100699E01006A9E01006B9E0100B5
-:1079B0006C9E01006D9E01006E9E01006F9E010095
-:1079C000709E0100719E0100729E0100739E010075
-:1079D000749E0100759E0100769E0100779E010055
-:1079E000789E0100799E01007A9E01007B9E010035
-:1079F0007C9E01007D9E01007E9E01007F9E010015
-:107A0000809E0100819E0100829E0100839E0100F4
-:107A1000849E0100859E0100869E0100879E0100D4
-:107A2000889E0100899E01008A9E01008B9E0100B4
-:107A30008C9E01008D9E01008E9E01008F9E010094
-:107A4000909E0100919E0100929E0100939E010074
-:107A5000949E0100959E0100969E0100979E010054
-:107A6000989E0100999E01009A9E01009B9E010034
-:107A70009C9E01009D9E01009E9E01009F9E010014
-:107A8000A09E0100A19E0100A29E0100A39E0100F4
-:107A9000A49E0100A59E0100A69E0100A79E0100D4
-:107AA000A89E0100A99E0100AA9E0100AB9E0100B4
-:107AB000AC9E0100AD9E0100AE9E0100AF9E010094
-:107AC000B09E0100B19E0100B29E0100B39E010074
-:107AD000B49E0100B59E0100B69E0100B79E010054
-:107AE000B89E0100B99E0100BA9E0100BB9E010034
-:107AF000BC9E0100BD9E0100BE9E0100BF9E010014
-:107B0000C09E0100C19E0100C29E0100C39E0100F3
-:107B1000C49E0100C59E0100C69E0100C79E0100D3
-:107B2000C89E0100C99E0100CA9E0100CB9E0100B3
-:107B3000CC9E0100CD9E0100CE9E0100CF9E010093
-:107B4000D09E0100D19E0100D29E0100D39E010073
-:107B5000D49E0100D59E0100D69E0100D79E010053
-:107B6000D89E0100D99E0100DA9E0100DB9E010033
-:107B7000DC9E0100DD9E0100DE9E0100DF9E010013
-:107B8000E09E0100E19E0100E29E0100E39E0100F3
-:107B9000E49E0100E59E0100E69E0100E79E0100D3
-:107BA000E89E0100E99E0100EA9E0100EB9E0100B3
-:107BB000EC9E0100ED9E0100EE9E0100EF9E010093
-:107BC000F09E0100F19E0100F29E0100F39E010073
-:107BD000F49E0100F59E0100F69E0100F79E010053
-:107BE000F89E0100F99E0100FA9E0100FB9E010033
-:107BF000FC9E0100FD9E0100FE9E0100FF9E010013
-:107C0000009F0100019F0100029F0100039F0100EE
-:107C1000049F0100059F0100069F0100079F0100CE
-:107C2000089F0100099F01000A9F01000B9F0100AE
-:107C30000C9F01000D9F01000E9F01000F9F01008E
-:107C4000109F0100119F0100129F0100139F01006E
-:107C5000149F0100159F0100169F0100179F01004E
-:107C6000189F0100199F01001A9F01001B9F01002E
-:107C70001C9F01001D9F01001E9F01001F9F01000E
-:107C8000209F0100219F0100229F0100239F0100EE
-:107C9000249F0100259F0100269F0100279F0100CE
-:107CA000289F0100299F01002A9F01002B9F0100AE
-:107CB0002C9F01002D9F01002E9F01002F9F01008E
-:107CC000309F0100319F0100329F0100339F01006E
-:107CD000349F0100359F0100369F0100379F01004E
-:107CE000389F0100399F01003A9F01003B9F01002E
-:107CF0003C9F01003D9F01003E9F01003F9F01000E
-:107D0000409F0100419F0100429F0100439F0100ED
-:107D1000449F0100459F0100469F0100479F0100CD
-:107D2000489F0100499F01004A9F01004B9F0100AD
-:107D30004C9F01004D9F01004E9F01004F9F01008D
-:107D4000509F0100519F0100529F0100539F01006D
-:107D5000549F0100559F0100569F0100579F01004D
-:107D6000589F0100599F01005A9F01005B9F01002D
-:107D70005C9F01005D9F01005E9F01005F9F01000D
-:107D8000609F0100619F0100629F0100639F0100ED
-:107D9000649F0100659F0100669F0100679F0100CD
-:107DA000689F0100699F01006A9F01006B9F0100AD
-:107DB0006C9F01006D9F01006E9F01006F9F01008D
-:107DC000709F0100719F0100729F0100739F01006D
-:107DD000749F0100759F0100769F0100779F01004D
-:107DE000789F0100799F01007A9F01007B9F01002D
-:107DF0007C9F01007D9F01007E9F01007F9F01000D
-:107E0000809F0100819F0100829F0100839F0100EC
-:107E1000849F0100859F0100869F0100879F0100CC
-:107E2000889F0100899F01008A9F01008B9F0100AC
-:107E30008C9F01008D9F01008E9F01008F9F01008C
-:107E4000909F0100919F0100929F0100939F01006C
-:107E5000949F0100959F0100969F0100979F01004C
-:107E6000989F0100999F01009A9F01009B9F01002C
-:107E70009C9F01009D9F01009E9F01009F9F01000C
-:107E8000A09F0100A19F0100A29F0100A39F0100EC
-:107E9000A49F0100A59F0100A69F0100A79F0100CC
-:107EA000A89F0100A99F0100AA9F0100AB9F0100AC
-:107EB000AC9F0100AD9F0100AE9F0100AF9F01008C
-:107EC000B09F0100B19F0100B29F0100B39F01006C
-:107ED000B49F0100B59F0100B69F0100B79F01004C
-:107EE000B89F0100B99F0100BA9F0100BB9F01002C
-:107EF000BC9F0100BD9F0100BE9F0100BF9F01000C
-:107F0000C09F0100C19F0100C29F0100C39F0100EB
-:107F1000C49F0100C59F0100C69F0100C79F0100CB
-:107F2000C89F0100C99F0100CA9F0100CB9F0100AB
-:107F3000CC9F0100CD9F0100CE9F0100CF9F01008B
-:107F4000D09F0100D19F0100D29F0100D39F01006B
-:107F5000D49F0100D59F0100D69F0100D79F01004B
-:107F6000D89F0100D99F0100DA9F0100DB9F01002B
-:107F7000DC9F0100DD9F0100DE9F0100DF9F01000B
-:107F8000E09F0100E19F0100E29F0100E39F0100EB
-:107F9000E49F0100E59F0100E69F0100E79F0100CB
-:107FA000E89F0100E99F0100EA9F0100EB9F0100AB
-:107FB000EC9F0100ED9F0100EE9F0100EF9F01008B
-:107FC000F09F0100F19F0100F29F0100F39F01006B
-:107FD000F49F0100F59F0100F69F0100F79F01004B
-:107FE000F89F0100F99F0100FA9F0100FB9F01002B
-:107FF000FC9F0100FD9F0100FE9F0100FF9F01000B
-:1080000000A0010001A0010002A0010003A00100E6
-:1080100004A0010005A0010006A0010007A00100C6
-:1080200008A0010009A001000AA001000BA00100A6
-:108030000CA001000DA001000EA001000FA0010086
-:1080400010A0010011A0010012A0010013A0010066
-:1080500014A0010015A0010016A0010017A0010046
-:1080600018A0010019A001001AA001001BA0010026
-:108070001CA001001DA001001EA001001FA0010006
-:1080800020A0010021A0010022A0010023A00100E6
-:1080900024A0010025A0010026A0010027A00100C6
-:1080A00028A0010029A001002AA001002BA00100A6
-:1080B0002CA001002DA001002EA001002FA0010086
-:1080C00030A0010031A0010032A0010033A0010066
-:1080D00034A0010035A0010036A0010037A0010046
-:1080E00038A0010039A001003AA001003BA0010026
-:1080F0003CA001003DA001003EA001003FA0010006
-:1081000040A0010041A0010042A0010043A00100E5
-:1081100044A0010045A0010046A0010047A00100C5
-:1081200048A0010049A001004AA001004BA00100A5
-:108130004CA001004DA001004EA001004FA0010085
-:1081400050A0010051A0010052A0010053A0010065
-:1081500054A0010055A0010056A0010057A0010045
-:1081600058A0010059A001005AA001005BA0010025
-:108170005CA001005DA001005EA001005FA0010005
-:1081800060A0010061A0010062A0010063A00100E5
-:1081900064A0010065A0010066A0010067A00100C5
-:1081A00068A0010069A001006AA001006BA00100A5
-:1081B0006CA001006DA001006EA001006FA0010085
-:1081C00070A0010071A0010072A0010073A0010065
-:1081D00074A0010075A0010076A0010077A0010045
-:1081E00078A0010079A001007AA001007BA0010025
-:1081F0007CA001007DA001007EA001007FA0010005
-:1082000080A0010081A0010082A0010083A00100E4
-:1082100084A0010085A0010086A0010087A00100C4
-:1082200088A0010089A001008AA001008BA00100A4
-:108230008CA001008DA001008EA001008FA0010084
-:1082400090A0010091A0010092A0010093A0010064
-:1082500094A0010095A0010096A0010097A0010044
-:1082600098A0010099A001009AA001009BA0010024
-:108270009CA001009DA001009EA001009FA0010004
-:10828000A0A00100A1A00100A2A00100A3A00100E4
-:10829000A4A00100A5A00100A6A00100A7A00100C4
-:1082A000A8A00100A9A00100AAA00100ABA00100A4
-:1082B000ACA00100ADA00100AEA00100AFA0010084
-:1082C000B0A00100B1A00100B2A00100B3A0010064
-:1082D000B4A00100B5A00100B6A00100B7A0010044
-:1082E000B8A00100B9A00100BAA00100BBA0010024
-:1082F000BCA00100BDA00100BEA00100BFA0010004
-:10830000C0A00100C1A00100C2A00100C3A00100E3
-:10831000C4A00100C5A00100C6A00100C7A00100C3
-:10832000C8A00100C9A00100CAA00100CBA00100A3
-:10833000CCA00100CDA00100CEA00100CFA0010083
-:10834000D0A00100D1A00100D2A00100D3A0010063
-:10835000D4A00100D5A00100D6A00100D7A0010043
-:10836000D8A00100D9A00100DAA00100DBA0010023
-:10837000DCA00100DDA00100DEA00100DFA0010003
-:10838000E0A00100E1A00100E2A00100E3A00100E3
-:10839000E4A00100E5A00100E6A00100E7A00100C3
-:1083A000E8A00100E9A00100EAA00100EBA00100A3
-:1083B000ECA00100EDA00100EEA00100EFA0010083
-:1083C000F0A00100F1A00100F2A00100F3A0010063
-:1083D000F4A00100F5A00100F6A00100F7A0010043
-:1083E000F8A00100F9A00100FAA00100FBA0010023
-:1083F000FCA00100FDA00100FEA00100FFA0010003
-:1084000000A1010001A1010002A1010003A10100DE
-:1084100004A1010005A1010006A1010007A10100BE
-:1084200008A1010009A101000AA101000BA101009E
-:108430000CA101000DA101000EA101000FA101007E
-:1084400010A1010011A1010012A1010013A101005E
-:1084500014A1010015A1010016A1010017A101003E
-:1084600018A1010019A101001AA101001BA101001E
-:108470001CA101001DA101001EA101001FA10100FE
-:1084800020A1010021A1010022A1010023A10100DE
-:1084900024A1010025A1010026A1010027A10100BE
-:1084A00028A1010029A101002AA101002BA101009E
-:1084B0002CA101002DA101002EA101002FA101007E
-:1084C00030A1010031A1010032A1010033A101005E
-:1084D00034A1010035A1010036A1010037A101003E
-:1084E00038A1010039A101003AA101003BA101001E
-:1084F0003CA101003DA101003EA101003FA10100FE
-:1085000040A1010041A1010042A1010043A10100DD
-:1085100044A1010045A1010046A1010047A10100BD
-:1085200048A1010049A101004AA101004BA101009D
-:108530004CA101004DA101004EA101004FA101007D
-:1085400050A1010051A1010052A1010053A101005D
-:1085500054A1010055A1010056A1010057A101003D
-:1085600058A1010059A101005AA101005BA101001D
-:108570005CA101005DA101005EA101005FA10100FD
-:1085800060A1010061A1010062A1010063A10100DD
-:1085900064A1010065A1010066A1010067A10100BD
-:1085A00068A1010069A101006AA101006BA101009D
-:1085B0006CA101006DA101006EA101006FA101007D
-:1085C00070A1010071A1010072A1010073A101005D
-:1085D00074A1010075A1010076A1010077A101003D
-:1085E00078A1010079A101007AA101007BA101001D
-:1085F0007CA101007DA101007EA101007FA10100FD
-:1086000080A1010081A1010082A1010083A10100DC
-:1086100084A1010085A1010086A1010087A10100BC
-:1086200088A1010089A101008AA101008BA101009C
-:108630008CA101008DA101008EA101008FA101007C
-:1086400090A1010091A1010092A1010093A101005C
-:1086500094A1010095A1010096A1010097A101003C
-:1086600098A1010099A101009AA101009BA101001C
-:108670009CA101009DA101009EA101009FA10100FC
-:10868000A0A10100A1A10100A2A10100A3A10100DC
-:10869000A4A10100A5A10100A6A10100A7A10100BC
-:1086A000A8A10100A9A10100AAA10100ABA101009C
-:1086B000ACA10100ADA10100AEA10100AFA101007C
-:1086C000B0A10100B1A10100B2A10100B3A101005C
-:1086D000B4A10100B5A10100B6A10100B7A101003C
-:1086E000B8A10100B9A10100BAA10100BBA101001C
-:1086F000BCA10100BDA10100BEA10100BFA10100FC
-:10870000C0A10100C1A10100C2A10100C3A10100DB
-:10871000C4A10100C5A10100C6A10100C7A10100BB
-:10872000C8A10100C9A10100CAA10100CBA101009B
-:10873000CCA10100CDA10100CEA10100CFA101007B
-:10874000D0A10100D1A10100D2A10100D3A101005B
-:10875000D4A10100D5A10100D6A10100D7A101003B
-:10876000D8A10100D9A10100DAA10100DBA101001B
-:10877000DCA10100DDA10100DEA10100DFA10100FB
-:10878000E0A10100E1A10100E2A10100E3A10100DB
-:10879000E4A10100E5A10100E6A10100E7A10100BB
-:1087A000E8A10100E9A10100EAA10100EBA101009B
-:1087B000ECA10100EDA10100EEA10100EFA101007B
-:1087C000F0A10100F1A10100F2A10100F3A101005B
-:1087D000F4A10100F5A10100F6A10100F7A101003B
-:1087E000F8A10100F9A10100FAA10100FBA101001B
-:1087F000FCA10100FDA10100FEA10100FFA10100FB
-:1088000000A2010001A2010002A2010003A20100D6
-:1088100004A2010005A2010006A2010007A20100B6
-:1088200008A2010009A201000AA201000BA2010096
-:108830000CA201000DA201000EA201000FA2010076
-:1088400010A2010011A2010012A2010013A2010056
-:1088500014A2010015A2010016A2010017A2010036
-:1088600018A2010019A201001AA201001BA2010016
-:108870001CA201001DA201001EA201001FA20100F6
-:1088800020A2010021A2010022A2010023A20100D6
-:1088900024A2010025A2010026A2010027A20100B6
-:1088A00028A2010029A201002AA201002BA2010096
-:1088B0002CA201002DA201002EA201002FA2010076
-:1088C00030A2010031A2010032A2010033A2010056
-:1088D00034A2010035A2010036A2010037A2010036
-:1088E00038A2010039A201003AA201003BA2010016
-:1088F0003CA201003DA201003EA201003FA20100F6
-:1089000040A2010041A2010042A2010043A20100D5
-:1089100044A2010045A2010046A2010047A20100B5
-:1089200048A2010049A201004AA201004BA2010095
-:108930004CA201004DA201004EA201004FA2010075
-:1089400050A2010051A2010052A2010053A2010055
-:1089500054A2010055A2010056A2010057A2010035
-:1089600058A2010059A201005AA201005BA2010015
-:108970005CA201005DA201005EA201005FA20100F5
-:1089800060A2010061A2010062A2010063A20100D5
-:1089900064A2010065A2010066A2010067A20100B5
-:1089A00068A2010069A201006AA201006BA2010095
-:1089B0006CA201006DA201006EA201006FA2010075
-:1089C00070A2010071A2010072A2010073A2010055
-:1089D00074A2010075A2010076A2010077A2010035
-:1089E00078A2010079A201007AA201007BA2010015
-:1089F0007CA201007DA201007EA201007FA20100F5
-:108A000080A2010081A2010082A2010083A20100D4
-:108A100084A2010085A2010086A2010087A20100B4
-:108A200088A2010089A201008AA201008BA2010094
-:108A30008CA201008DA201008EA201008FA2010074
-:108A400090A2010091A2010092A2010093A2010054
-:108A500094A2010095A2010096A2010097A2010034
-:108A600098A2010099A201009AA201009BA2010014
-:108A70009CA201009DA201009EA201009FA20100F4
-:108A8000A0A20100A1A20100A2A20100A3A20100D4
-:108A9000A4A20100A5A20100A6A20100A7A20100B4
-:108AA000A8A20100A9A20100AAA20100ABA2010094
-:108AB000ACA20100ADA20100AEA20100AFA2010074
-:108AC000B0A20100B1A20100B2A20100B3A2010054
-:108AD000B4A20100B5A20100B6A20100B7A2010034
-:108AE000B8A20100B9A20100BAA20100BBA2010014
-:108AF000BCA20100BDA20100BEA20100BFA20100F4
-:108B0000C0A20100C1A20100C2A20100C3A20100D3
-:108B1000C4A20100C5A20100C6A20100C7A20100B3
-:108B2000C8A20100C9A20100CAA20100CBA2010093
-:108B3000CCA20100CDA20100CEA20100CFA2010073
-:108B4000D0A20100D1A20100D2A20100D3A2010053
-:108B5000D4A20100D5A20100D6A20100D7A2010033
-:108B6000D8A20100D9A20100DAA20100DBA2010013
-:108B7000DCA20100DDA20100DEA20100DFA20100F3
-:108B8000E0A20100E1A20100E2A20100E3A20100D3
-:108B9000E4A20100E5A20100E6A20100E7A20100B3
-:108BA000E8A20100E9A20100EAA20100EBA2010093
-:108BB000ECA20100EDA20100EEA20100EFA2010073
-:108BC000F0A20100F1A20100F2A20100F3A2010053
-:108BD000F4A20100F5A20100F6A20100F7A2010033
-:108BE000F8A20100F9A20100FAA20100FBA2010013
-:108BF000FCA20100FDA20100FEA20100FFA20100F3
-:108C000000A3010001A3010002A3010003A30100CE
-:108C100004A3010005A3010006A3010007A30100AE
-:108C200008A3010009A301000AA301000BA301008E
-:108C30000CA301000DA301000EA301000FA301006E
-:108C400010A3010011A3010012A3010013A301004E
-:108C500014A3010015A3010016A3010017A301002E
-:108C600018A3010019A301001AA301001BA301000E
-:108C70001CA301001DA301001EA301001FA30100EE
-:108C800020A3010021A3010022A3010023A30100CE
-:108C900024A3010025A3010026A3010027A30100AE
-:108CA00028A3010029A301002AA301002BA301008E
-:108CB0002CA301002DA301002EA301002FA301006E
-:108CC00030A3010031A3010032A3010033A301004E
-:108CD00034A3010035A3010036A3010037A301002E
-:108CE00038A3010039A301003AA301003BA301000E
-:108CF0003CA301003DA301003EA301003FA30100EE
-:108D000040A3010041A3010042A3010043A30100CD
-:108D100044A3010045A3010046A3010047A30100AD
-:108D200048A3010049A301004AA301004BA301008D
-:108D30004CA301004DA301004EA301004FA301006D
-:108D400050A3010051A3010052A3010053A301004D
-:108D500054A3010055A3010056A3010057A301002D
-:108D600058A3010059A301005AA301005BA301000D
-:108D70005CA301005DA301005EA301005FA30100ED
-:108D800060A3010061A3010062A3010063A30100CD
-:108D900064A3010065A3010066A3010067A30100AD
-:108DA00068A3010069A301006AA301006BA301008D
-:108DB0006CA301006DA301006EA301006FA301006D
-:108DC00070A3010071A3010072A3010073A301004D
-:108DD00074A3010075A3010076A3010077A301002D
-:108DE00078A3010079A301007AA301007BA301000D
-:108DF0007CA301007DA301007EA301007FA30100ED
-:108E000080A3010081A3010082A3010083A30100CC
-:108E100084A3010085A3010086A3010087A30100AC
-:108E200088A3010089A301008AA301008BA301008C
-:108E30008CA301008DA301008EA301008FA301006C
-:108E400090A3010091A3010092A3010093A301004C
-:108E500094A3010095A3010096A3010097A301002C
-:108E600098A3010099A301009AA301009BA301000C
-:108E70009CA301009DA301009EA301009FA30100EC
-:108E8000A0A30100A1A30100A2A30100A3A30100CC
-:108E9000A4A30100A5A30100A6A30100A7A30100AC
-:108EA000A8A30100A9A30100AAA30100ABA301008C
-:108EB000ACA30100ADA30100AEA30100AFA301006C
-:108EC000B0A30100B1A30100B2A30100B3A301004C
-:108ED000B4A30100B5A30100B6A30100B7A301002C
-:108EE000B8A30100B9A30100BAA30100BBA301000C
-:108EF000BCA30100BDA30100BEA30100BFA30100EC
-:108F0000C0A30100C1A30100C2A30100C3A30100CB
-:108F1000C4A30100C5A30100C6A30100C7A30100AB
-:108F2000C8A30100C9A30100CAA30100CBA301008B
-:108F3000CCA30100CDA30100CEA30100CFA301006B
-:108F4000D0A30100D1A30100D2A30100D3A301004B
-:108F5000D4A30100D5A30100D6A30100D7A301002B
-:108F6000D8A30100D9A30100DAA30100DBA301000B
-:108F7000DCA30100DDA30100DEA30100DFA30100EB
-:108F8000E0A30100E1A30100E2A30100E3A30100CB
-:108F9000E4A30100E5A30100E6A30100E7A30100AB
-:108FA000E8A30100E9A30100EAA30100EBA301008B
-:108FB000ECA30100EDA30100EEA30100EFA301006B
-:108FC000F0A30100F1A30100F2A30100F3A301004B
-:108FD000F4A30100F5A30100F6A30100F7A301002B
-:108FE000F8A30100F9A30100FAA30100FBA301000B
-:108FF000FCA30100FDA30100FEA30100FFA30100EB
-:1090000000A4010001A4010002A4010003A40100C6
-:1090100004A4010005A4010006A4010007A40100A6
-:1090200008A4010009A401000AA401000BA4010086
-:109030000CA401000DA401000EA401000FA4010066
-:1090400010A4010011A4010012A4010013A4010046
-:1090500014A4010015A4010016A4010017A4010026
-:1090600018A4010019A401001AA401001BA4010006
-:109070001CA401001DA401001EA401001FA40100E6
-:1090800020A4010021A4010022A4010023A40100C6
-:1090900024A4010025A4010026A4010027A40100A6
-:1090A00028A4010029A401002AA401002BA4010086
-:1090B0002CA401002DA401002EA401002FA4010066
-:1090C00030A4010031A4010032A4010033A4010046
-:1090D00034A4010035A4010036A4010037A4010026
-:1090E00038A4010039A401003AA401003BA4010006
-:1090F0003CA401003DA401003EA401003FA40100E6
-:1091000040A4010041A4010042A4010043A40100C5
-:1091100044A4010045A4010046A4010047A40100A5
-:1091200048A4010049A401004AA401004BA4010085
-:109130004CA401004DA401004EA401004FA4010065
-:1091400050A4010051A4010052A4010053A4010045
-:1091500054A4010055A4010056A4010057A4010025
-:1091600058A4010059A401005AA401005BA4010005
-:109170005CA401005DA401005EA401005FA40100E5
-:1091800060A4010061A4010062A4010063A40100C5
-:1091900064A4010065A4010066A4010067A40100A5
-:1091A00068A4010069A401006AA401006BA4010085
-:1091B0006CA401006DA401006EA401006FA4010065
-:1091C00070A4010071A4010072A4010073A4010045
-:1091D00074A4010075A4010076A4010077A4010025
-:1091E00078A4010079A401007AA401007BA4010005
-:1091F0007CA401007DA401007EA401007FA40100E5
-:1092000080A4010081A4010082A4010083A40100C4
-:1092100084A4010085A4010086A4010087A40100A4
-:1092200088A4010089A401008AA401008BA4010084
-:109230008CA401008DA401008EA401008FA4010064
-:1092400090A4010091A4010092A4010093A4010044
-:1092500094A4010095A4010096A4010097A4010024
-:1092600098A4010099A401009AA401009BA4010004
-:109270009CA401009DA401009EA401009FA40100E4
-:10928000A0A40100A1A40100A2A40100A3A40100C4
-:10929000A4A40100A5A40100A6A40100A7A40100A4
-:1092A000A8A40100A9A40100AAA40100ABA4010084
-:1092B000ACA40100ADA40100AEA40100AFA4010064
-:1092C000B0A40100B1A40100B2A40100B3A4010044
-:1092D000B4A40100B5A40100B6A40100B7A4010024
-:1092E000B8A40100B9A40100BAA40100BBA4010004
-:1092F000BCA40100BDA40100BEA40100BFA40100E4
-:10930000C0A40100C1A40100C2A40100C3A40100C3
-:10931000C4A40100C5A40100C6A40100C7A40100A3
-:10932000C8A40100C9A40100CAA40100CBA4010083
-:10933000CCA40100CDA40100CEA40100CFA4010063
-:10934000D0A40100D1A40100D2A40100D3A4010043
-:10935000D4A40100D5A40100D6A40100D7A4010023
-:10936000D8A40100D9A40100DAA40100DBA4010003
-:10937000DCA40100DDA40100DEA40100DFA40100E3
-:10938000E0A40100E1A40100E2A40100E3A40100C3
-:10939000E4A40100E5A40100E6A40100E7A40100A3
-:1093A000E8A40100E9A40100EAA40100EBA4010083
-:1093B000ECA40100EDA40100EEA40100EFA4010063
-:1093C000F0A40100F1A40100F2A40100F3A4010043
-:1093D000F4A40100F5A40100F6A40100F7A4010023
-:1093E000F8A40100F9A40100FAA40100FBA4010003
-:1093F000FCA40100FDA40100FEA40100FFA40100E3
-:1094000000A5010001A5010002A5010003A50100BE
-:1094100004A5010005A5010006A5010007A501009E
-:1094200008A5010009A501000AA501000BA501007E
-:109430000CA501000DA501000EA501000FA501005E
-:1094400010A5010011A5010012A5010013A501003E
-:1094500014A5010015A5010016A5010017A501001E
-:1094600018A5010019A501001AA501001BA50100FE
-:109470001CA501001DA501001EA501001FA50100DE
-:1094800020A5010021A5010022A5010023A50100BE
-:1094900024A5010025A5010026A5010027A501009E
-:1094A00028A5010029A501002AA501002BA501007E
-:1094B0002CA501002DA501002EA501002FA501005E
-:1094C00030A5010031A5010032A5010033A501003E
-:1094D00034A5010035A5010036A5010037A501001E
-:1094E00038A5010039A501003AA501003BA50100FE
-:1094F0003CA501003DA501003EA501003FA50100DE
-:1095000040A5010041A5010042A5010043A50100BD
-:1095100044A5010045A5010046A5010047A501009D
-:1095200048A5010049A501004AA501004BA501007D
-:109530004CA501004DA501004EA501004FA501005D
-:1095400050A5010051A5010052A5010053A501003D
-:1095500054A5010055A5010056A5010057A501001D
-:1095600058A5010059A501005AA501005BA50100FD
-:109570005CA501005DA501005EA501005FA50100DD
-:1095800060A5010061A5010062A5010063A50100BD
-:1095900064A5010065A5010066A5010067A501009D
-:1095A00068A5010069A501006AA501006BA501007D
-:1095B0006CA501006DA501006EA501006FA501005D
-:1095C00070A5010071A5010072A5010073A501003D
-:1095D00074A5010075A5010076A5010077A501001D
-:1095E00078A5010079A501007AA501007BA50100FD
-:1095F0007CA501007DA501007EA501007FA50100DD
-:1096000080A5010081A5010082A5010083A50100BC
-:1096100084A5010085A5010086A5010087A501009C
-:1096200088A5010089A501008AA501008BA501007C
-:109630008CA501008DA501008EA501008FA501005C
-:1096400090A5010091A5010092A5010093A501003C
-:1096500094A5010095A5010096A5010097A501001C
-:1096600098A5010099A501009AA501009BA50100FC
-:109670009CA501009DA501009EA501009FA50100DC
-:10968000A0A50100A1A50100A2A50100A3A50100BC
-:10969000A4A50100A5A50100A6A50100A7A501009C
-:1096A000A8A50100A9A50100AAA50100ABA501007C
-:1096B000ACA50100ADA50100AEA50100AFA501005C
-:1096C000B0A50100B1A50100B2A50100B3A501003C
-:1096D000B4A50100B5A50100B6A50100B7A501001C
-:1096E000B8A50100B9A50100BAA50100BBA50100FC
-:1096F000BCA50100BDA50100BEA50100BFA50100DC
-:10970000C0A50100C1A50100C2A50100C3A50100BB
-:10971000C4A50100C5A50100C6A50100C7A501009B
-:10972000C8A50100C9A50100CAA50100CBA501007B
-:10973000CCA50100CDA50100CEA50100CFA501005B
-:10974000D0A50100D1A50100D2A50100D3A501003B
-:10975000D4A50100D5A50100D6A50100D7A501001B
-:10976000D8A50100D9A50100DAA50100DBA50100FB
-:10977000DCA50100DDA50100DEA50100DFA50100DB
-:10978000E0A50100E1A50100E2A50100E3A50100BB
-:10979000E4A50100E5A50100E6A50100E7A501009B
-:1097A000E8A50100E9A50100EAA50100EBA501007B
-:1097B000ECA50100EDA50100EEA50100EFA501005B
-:1097C000F0A50100F1A50100F2A50100F3A501003B
-:1097D000F4A50100F5A50100F6A50100F7A501001B
-:1097E000F8A50100F9A50100FAA50100FBA50100FB
-:1097F000FCA50100FDA50100FEA50100FFA50100DB
-:1098000000A6010001A6010002A6010003A60100B6
-:1098100004A6010005A6010006A6010007A6010096
-:1098200008A6010009A601000AA601000BA6010076
-:109830000CA601000DA601000EA601000FA6010056
-:1098400010A6010011A6010012A6010013A6010036
-:1098500014A6010015A6010016A6010017A6010016
-:1098600018A6010019A601001AA601001BA60100F6
-:109870001CA601001DA601001EA601001FA60100D6
-:1098800020A6010021A6010022A6010023A60100B6
-:1098900024A6010025A6010026A6010027A6010096
-:1098A00028A6010029A601002AA601002BA6010076
-:1098B0002CA601002DA601002EA601002FA6010056
-:1098C00030A6010031A6010032A6010033A6010036
-:1098D00034A6010035A6010036A6010037A6010016
-:1098E00038A6010039A601003AA601003BA60100F6
-:1098F0003CA601003DA601003EA601003FA60100D6
-:1099000040A6010041A6010042A6010043A60100B5
-:1099100044A6010045A6010046A6010047A6010095
-:1099200048A6010049A601004AA601004BA6010075
-:109930004CA601004DA601004EA601004FA6010055
-:1099400050A6010051A6010052A6010053A6010035
-:1099500054A6010055A6010056A6010057A6010015
-:1099600058A6010059A601005AA601005BA60100F5
-:109970005CA601005DA601005EA601005FA60100D5
-:1099800060A6010061A6010062A6010063A60100B5
-:1099900064A6010065A6010066A6010067A6010095
-:1099A00068A6010069A601006AA601006BA6010075
-:1099B0006CA601006DA601006EA601006FA6010055
-:1099C00070A6010071A6010072A6010073A6010035
-:1099D00074A6010075A6010076A6010077A6010015
-:1099E00078A6010079A601007AA601007BA60100F5
-:1099F0007CA601007DA601007EA601007FA60100D5
-:109A000080A6010081A6010082A6010083A60100B4
-:109A100084A6010085A6010086A6010087A6010094
-:109A200088A6010089A601008AA601008BA6010074
-:109A30008CA601008DA601008EA601008FA6010054
-:109A400090A6010091A6010092A6010093A6010034
-:109A500094A6010095A6010096A6010097A6010014
-:109A600098A6010099A601009AA601009BA60100F4
-:109A70009CA601009DA601009EA601009FA60100D4
-:109A8000A0A60100A1A60100A2A60100A3A60100B4
-:109A9000A4A60100A5A60100A6A60100A7A6010094
-:109AA000A8A60100A9A60100AAA60100ABA6010074
-:109AB000ACA60100ADA60100AEA60100AFA6010054
-:109AC000B0A60100B1A60100B2A60100B3A6010034
-:109AD000B4A60100B5A60100B6A60100B7A6010014
-:109AE000B8A60100B9A60100BAA60100BBA60100F4
-:109AF000BCA60100BDA60100BEA60100BFA60100D4
-:109B0000C0A60100C1A60100C2A60100C3A60100B3
-:109B1000C4A60100C5A60100C6A60100C7A6010093
-:109B2000C8A60100C9A60100CAA60100CBA6010073
-:109B3000CCA60100CDA60100CEA60100CFA6010053
-:109B4000D0A60100D1A60100D2A60100D3A6010033
-:109B5000D4A60100D5A60100D6A60100D7A6010013
-:109B6000D8A60100D9A60100DAA60100DBA60100F3
-:109B7000DCA60100DDA60100DEA60100DFA60100D3
-:109B8000E0A60100E1A60100E2A60100E3A60100B3
-:109B9000E4A60100E5A60100E6A60100E7A6010093
-:109BA000E8A60100E9A60100EAA60100EBA6010073
-:109BB000ECA60100EDA60100EEA60100EFA6010053
-:109BC000F0A60100F1A60100F2A60100F3A6010033
-:109BD000F4A60100F5A60100F6A60100F7A6010013
-:109BE000F8A60100F9A60100FAA60100FBA60100F3
-:109BF000FCA60100FDA60100FEA60100FFA60100D3
-:109C000000A7010001A7010002A7010003A70100AE
-:109C100004A7010005A7010006A7010007A701008E
-:109C200008A7010009A701000AA701000BA701006E
-:109C30000CA701000DA701000EA701000FA701004E
-:109C400010A7010011A7010012A7010013A701002E
-:109C500014A7010015A7010016A7010017A701000E
-:109C600018A7010019A701001AA701001BA70100EE
-:109C70001CA701001DA701001EA701001FA70100CE
-:109C800020A7010021A7010022A7010023A70100AE
-:109C900024A7010025A7010026A7010027A701008E
-:109CA00028A7010029A701002AA701002BA701006E
-:109CB0002CA701002DA701002EA701002FA701004E
-:109CC00030A7010031A7010032A7010033A701002E
-:109CD00034A7010035A7010036A7010037A701000E
-:109CE00038A7010039A701003AA701003BA70100EE
-:109CF0003CA701003DA701003EA701003FA70100CE
-:109D000040A7010041A7010042A7010043A70100AD
-:109D100044A7010045A7010046A7010047A701008D
-:109D200048A7010049A701004AA701004BA701006D
-:109D30004CA701004DA701004EA701004FA701004D
-:109D400050A7010051A7010052A7010053A701002D
-:109D500054A7010055A7010056A7010057A701000D
-:109D600058A7010059A701005AA701005BA70100ED
-:109D70005CA701005DA701005EA701005FA70100CD
-:109D800060A7010061A7010062A7010063A70100AD
-:109D900064A7010065A7010066A7010067A701008D
-:109DA00068A7010069A701006AA701006BA701006D
-:109DB0006CA701006DA701006EA701006FA701004D
-:109DC00070A7010071A7010072A7010073A701002D
-:109DD00074A7010075A7010076A7010077A701000D
-:109DE00078A7010079A701007AA701007BA70100ED
-:109DF0007CA701007DA701007EA701007FA70100CD
-:109E000080A7010081A7010082A7010083A70100AC
-:109E100084A7010085A7010086A7010087A701008C
-:109E200088A7010089A701008AA701008BA701006C
-:109E30008CA701008DA701008EA701008FA701004C
-:109E400090A7010091A7010092A7010093A701002C
-:109E500094A7010095A7010096A7010097A701000C
-:109E600098A7010099A701009AA701009BA70100EC
-:109E70009CA701009DA701009EA701009FA70100CC
-:109E8000A0A70100A1A70100A2A70100A3A70100AC
-:109E9000A4A70100A5A70100A6A70100A7A701008C
-:109EA000A8A70100A9A70100AAA70100ABA701006C
-:109EB000ACA70100ADA70100AEA70100AFA701004C
-:109EC000B0A70100B1A70100B2A70100B3A701002C
-:109ED000B4A70100B5A70100B6A70100B7A701000C
-:109EE000B8A70100B9A70100BAA70100BBA70100EC
-:109EF000BCA70100BDA70100BEA70100BFA70100CC
-:109F0000C0A70100C1A70100C2A70100C3A70100AB
-:109F1000C4A70100C5A70100C6A70100C7A701008B
-:109F2000C8A70100C9A70100CAA70100CBA701006B
-:109F3000CCA70100CDA70100CEA70100CFA701004B
-:109F4000D0A70100D1A70100D2A70100D3A701002B
-:109F5000D4A70100D5A70100D6A70100D7A701000B
-:109F6000D8A70100D9A70100DAA70100DBA70100EB
-:109F7000DCA70100DDA70100DEA70100DFA70100CB
-:109F8000E0A70100E1A70100E2A70100E3A70100AB
-:109F9000E4A70100E5A70100E6A70100E7A701008B
-:109FA000E8A70100E9A70100EAA70100EBA701006B
-:109FB000ECA70100EDA70100EEA70100EFA701004B
-:109FC000F0A70100F1A70100F2A70100F3A701002B
-:109FD000F4A70100F5A70100F6A70100F7A701000B
-:109FE000F8A70100F9A70100FAA70100FBA70100EB
-:109FF000FCA70100FDA70100FEA70100FFA70100CB
-:10A0000000A8010001A8010002A8010003A80100A6
-:10A0100004A8010005A8010006A8010007A8010086
-:10A0200008A8010009A801000AA801000BA8010066
-:10A030000CA801000DA801000EA801000FA8010046
-:10A0400010A8010011A8010012A8010013A8010026
-:10A0500014A8010015A8010016A8010017A8010006
-:10A0600018A8010019A801001AA801001BA80100E6
-:10A070001CA801001DA801001EA801001FA80100C6
-:10A0800020A8010021A8010022A8010023A80100A6
-:10A0900024A8010025A8010026A8010027A8010086
-:10A0A00028A8010029A801002AA801002BA8010066
-:10A0B0002CA801002DA801002EA801002FA8010046
-:10A0C00030A8010031A8010032A8010033A8010026
-:10A0D00034A8010035A8010036A8010037A8010006
-:10A0E00038A8010039A801003AA801003BA80100E6
-:10A0F0003CA801003DA801003EA801003FA80100C6
-:10A1000040A8010041A8010042A8010043A80100A5
-:10A1100044A8010045A8010046A8010047A8010085
-:10A1200048A8010049A801004AA801004BA8010065
-:10A130004CA801004DA801004EA801004FA8010045
-:10A1400050A8010051A8010052A8010053A8010025
-:10A1500054A8010055A8010056A8010057A8010005
-:10A1600058A8010059A801005AA801005BA80100E5
-:10A170005CA801005DA801005EA801005FA80100C5
-:10A1800060A8010061A8010062A8010063A80100A5
-:10A1900064A8010065A8010066A8010067A8010085
-:10A1A00068A8010069A801006AA801006BA8010065
-:10A1B0006CA801006DA801006EA801006FA8010045
-:10A1C00070A8010071A8010072A8010073A8010025
-:10A1D00074A8010075A8010076A8010077A8010005
-:10A1E00078A8010079A801007AA801007BA80100E5
-:10A1F0007CA801007DA801007EA801007FA80100C5
-:10A2000080A8010081A8010082A8010083A80100A4
-:10A2100084A8010085A8010086A8010087A8010084
-:10A2200088A8010089A801008AA801008BA8010064
-:10A230008CA801008DA801008EA801008FA8010044
-:10A2400090A8010091A8010092A8010093A8010024
-:10A2500094A8010095A8010096A8010097A8010004
-:10A2600098A8010099A801009AA801009BA80100E4
-:10A270009CA801009DA801009EA801009FA80100C4
-:10A28000A0A80100A1A80100A2A80100A3A80100A4
-:10A29000A4A80100A5A80100A6A80100A7A8010084
-:10A2A000A8A80100A9A80100AAA80100ABA8010064
-:10A2B000ACA80100ADA80100AEA80100AFA8010044
-:10A2C000B0A80100B1A80100B2A80100B3A8010024
-:10A2D000B4A80100B5A80100B6A80100B7A8010004
-:10A2E000B8A80100B9A80100BAA80100BBA80100E4
-:10A2F000BCA80100BDA80100BEA80100BFA80100C4
-:10A30000C0A80100C1A80100C2A80100C3A80100A3
-:10A31000C4A80100C5A80100C6A80100C7A8010083
-:10A32000C8A80100C9A80100CAA80100CBA8010063
-:10A33000CCA80100CDA80100CEA80100CFA8010043
-:10A34000D0A80100D1A80100D2A80100D3A8010023
-:10A35000D4A80100D5A80100D6A80100D7A8010003
-:10A36000D8A80100D9A80100DAA80100DBA80100E3
-:10A37000DCA80100DDA80100DEA80100DFA80100C3
-:10A38000E0A80100E1A80100E2A80100E3A80100A3
-:10A39000E4A80100E5A80100E6A80100E7A8010083
-:10A3A000E8A80100E9A80100EAA80100EBA8010063
-:10A3B000ECA80100EDA80100EEA80100EFA8010043
-:10A3C000F0A80100F1A80100F2A80100F3A8010023
-:10A3D000F4A80100F5A80100F6A80100F7A8010003
-:10A3E000F8A80100F9A80100FAA80100FBA80100E3
-:10A3F000FCA80100FDA80100FEA80100FFA80100C3
-:10A4000000A9010001A9010002A9010003A901009E
-:10A4100004A9010005A9010006A9010007A901007E
-:10A4200008A9010009A901000AA901000BA901005E
-:10A430000CA901000DA901000EA901000FA901003E
-:10A4400010A9010011A9010012A9010013A901001E
-:10A4500014A9010015A9010016A9010017A90100FE
-:10A4600018A9010019A901001AA901001BA90100DE
-:10A470001CA901001DA901001EA901001FA90100BE
-:10A4800020A9010021A9010022A9010023A901009E
-:10A4900024A9010025A9010026A9010027A901007E
-:10A4A00028A9010029A901002AA901002BA901005E
-:10A4B0002CA901002DA901002EA901002FA901003E
-:10A4C00030A9010031A9010032A9010033A901001E
-:10A4D00034A9010035A9010036A9010037A90100FE
-:10A4E00038A9010039A901003AA901003BA90100DE
-:10A4F0003CA901003DA901003EA901003FA90100BE
-:10A5000040A9010041A9010042A9010043A901009D
-:10A5100044A9010045A9010046A9010047A901007D
-:10A5200048A9010049A901004AA901004BA901005D
-:10A530004CA901004DA901004EA901004FA901003D
-:10A5400050A9010051A9010052A9010053A901001D
-:10A5500054A9010055A9010056A9010057A90100FD
-:10A5600058A9010059A901005AA901005BA90100DD
-:10A570005CA901005DA901005EA901005FA90100BD
-:10A5800060A9010061A9010062A9010063A901009D
-:10A5900064A9010065A9010066A9010067A901007D
-:10A5A00068A9010069A901006AA901006BA901005D
-:10A5B0006CA901006DA901006EA901006FA901003D
-:10A5C00070A9010071A9010072A9010073A901001D
-:10A5D00074A9010075A9010076A9010077A90100FD
-:10A5E00078A9010079A901007AA901007BA90100DD
-:10A5F0007CA901007DA901007EA901007FA90100BD
-:10A6000080A9010081A9010082A9010083A901009C
-:10A6100084A9010085A9010086A9010087A901007C
-:10A6200088A9010089A901008AA901008BA901005C
-:10A630008CA901008DA901008EA901008FA901003C
-:10A6400090A9010091A9010092A9010093A901001C
-:10A6500094A9010095A9010096A9010097A90100FC
-:10A6600098A9010099A901009AA901009BA90100DC
-:10A670009CA901009DA901009EA901009FA90100BC
-:10A68000A0A90100A1A90100A2A90100A3A901009C
-:10A69000A4A90100A5A90100A6A90100A7A901007C
-:10A6A000A8A90100A9A90100AAA90100ABA901005C
-:10A6B000ACA90100ADA90100AEA90100AFA901003C
-:10A6C000B0A90100B1A90100B2A90100B3A901001C
-:10A6D000B4A90100B5A90100B6A90100B7A90100FC
-:10A6E000B8A90100B9A90100BAA90100BBA90100DC
-:10A6F000BCA90100BDA90100BEA90100BFA90100BC
-:10A70000C0A90100C1A90100C2A90100C3A901009B
-:10A71000C4A90100C5A90100C6A90100C7A901007B
-:10A72000C8A90100C9A90100CAA90100CBA901005B
-:10A73000CCA90100CDA90100CEA90100CFA901003B
-:10A74000D0A90100D1A90100D2A90100D3A901001B
-:10A75000D4A90100D5A90100D6A90100D7A90100FB
-:10A76000D8A90100D9A90100DAA90100DBA90100DB
-:10A77000DCA90100DDA90100DEA90100DFA90100BB
-:10A78000E0A90100E1A90100E2A90100E3A901009B
-:10A79000E4A90100E5A90100E6A90100E7A901007B
-:10A7A000E8A90100E9A90100EAA90100EBA901005B
-:10A7B000ECA90100EDA90100EEA90100EFA901003B
-:10A7C000F0A90100F1A90100F2A90100F3A901001B
-:10A7D000F4A90100F5A90100F6A90100F7A90100FB
-:10A7E000F8A90100F9A90100FAA90100FBA90100DB
-:10A7F000FCA90100FDA90100FEA90100FFA90100BB
-:10A8000000AA010001AA010002AA010003AA010096
-:10A8100004AA010005AA010006AA010007AA010076
-:10A8200008AA010009AA01000AAA01000BAA010056
-:10A830000CAA01000DAA01000EAA01000FAA010036
-:10A8400010AA010011AA010012AA010013AA010016
-:10A8500014AA010015AA010016AA010017AA0100F6
-:10A8600018AA010019AA01001AAA01001BAA0100D6
-:10A870001CAA01001DAA01001EAA01001FAA0100B6
-:10A8800020AA010021AA010022AA010023AA010096
-:10A8900024AA010025AA010026AA010027AA010076
-:10A8A00028AA010029AA01002AAA01002BAA010056
-:10A8B0002CAA01002DAA01002EAA01002FAA010036
-:10A8C00030AA010031AA010032AA010033AA010016
-:10A8D00034AA010035AA010036AA010037AA0100F6
-:10A8E00038AA010039AA01003AAA01003BAA0100D6
-:10A8F0003CAA01003DAA01003EAA01003FAA0100B6
-:10A9000040AA010041AA010042AA010043AA010095
-:10A9100044AA010045AA010046AA010047AA010075
-:10A9200048AA010049AA01004AAA01004BAA010055
-:10A930004CAA01004DAA01004EAA01004FAA010035
-:10A9400050AA010051AA010052AA010053AA010015
-:10A9500054AA010055AA010056AA010057AA0100F5
-:10A9600058AA010059AA01005AAA01005BAA0100D5
-:10A970005CAA01005DAA01005EAA01005FAA0100B5
-:10A9800060AA010061AA010062AA010063AA010095
-:10A9900064AA010065AA010066AA010067AA010075
-:10A9A00068AA010069AA01006AAA01006BAA010055
-:10A9B0006CAA01006DAA01006EAA01006FAA010035
-:10A9C00070AA010071AA010072AA010073AA010015
-:10A9D00074AA010075AA010076AA010077AA0100F5
-:10A9E00078AA010079AA01007AAA01007BAA0100D5
-:10A9F0007CAA01007DAA01007EAA01007FAA0100B5
-:10AA000080AA010081AA010082AA010083AA010094
-:10AA100084AA010085AA010086AA010087AA010074
-:10AA200088AA010089AA01008AAA01008BAA010054
-:10AA30008CAA01008DAA01008EAA01008FAA010034
-:10AA400090AA010091AA010092AA010093AA010014
-:10AA500094AA010095AA010096AA010097AA0100F4
-:10AA600098AA010099AA01009AAA01009BAA0100D4
-:10AA70009CAA01009DAA01009EAA01009FAA0100B4
-:10AA8000A0AA0100A1AA0100A2AA0100A3AA010094
-:10AA9000A4AA0100A5AA0100A6AA0100A7AA010074
-:10AAA000A8AA0100A9AA0100AAAA0100ABAA010054
-:10AAB000ACAA0100ADAA0100AEAA0100AFAA010034
-:10AAC000B0AA0100B1AA0100B2AA0100B3AA010014
-:10AAD000B4AA0100B5AA0100B6AA0100B7AA0100F4
-:10AAE000B8AA0100B9AA0100BAAA0100BBAA0100D4
-:10AAF000BCAA0100BDAA0100BEAA0100BFAA0100B4
-:10AB0000C0AA0100C1AA0100C2AA0100C3AA010093
-:10AB1000C4AA0100C5AA0100C6AA0100C7AA010073
-:10AB2000C8AA0100C9AA0100CAAA0100CBAA010053
-:10AB3000CCAA0100CDAA0100CEAA0100CFAA010033
-:10AB4000D0AA0100D1AA0100D2AA0100D3AA010013
-:10AB5000D4AA0100D5AA0100D6AA0100D7AA0100F3
-:10AB6000D8AA0100D9AA0100DAAA0100DBAA0100D3
-:10AB7000DCAA0100DDAA0100DEAA0100DFAA0100B3
-:10AB8000E0AA0100E1AA0100E2AA0100E3AA010093
-:10AB9000E4AA0100E5AA0100E6AA0100E7AA010073
-:10ABA000E8AA0100E9AA0100EAAA0100EBAA010053
-:10ABB000ECAA0100EDAA0100EEAA0100EFAA010033
-:10ABC000F0AA0100F1AA0100F2AA0100F3AA010013
-:10ABD000F4AA0100F5AA0100F6AA0100F7AA0100F3
-:10ABE000F8AA0100F9AA0100FAAA0100FBAA0100D3
-:10ABF000FCAA0100FDAA0100FEAA0100FFAA0100B3
-:10AC000000AB010001AB010002AB010003AB01008E
-:10AC100004AB010005AB010006AB010007AB01006E
-:10AC200008AB010009AB01000AAB01000BAB01004E
-:10AC30000CAB01000DAB01000EAB01000FAB01002E
-:10AC400010AB010011AB010012AB010013AB01000E
-:10AC500014AB010015AB010016AB010017AB0100EE
-:10AC600018AB010019AB01001AAB01001BAB0100CE
-:10AC70001CAB01001DAB01001EAB01001FAB0100AE
-:10AC800020AB010021AB010022AB010023AB01008E
-:10AC900024AB010025AB010026AB010027AB01006E
-:10ACA00028AB010029AB01002AAB01002BAB01004E
-:10ACB0002CAB01002DAB01002EAB01002FAB01002E
-:10ACC00030AB010031AB010032AB010033AB01000E
-:10ACD00034AB010035AB010036AB010037AB0100EE
-:10ACE00038AB010039AB01003AAB01003BAB0100CE
-:10ACF0003CAB01003DAB01003EAB01003FAB0100AE
-:10AD000040AB010041AB010042AB010043AB01008D
-:10AD100044AB010045AB010046AB010047AB01006D
-:10AD200048AB010049AB01004AAB01004BAB01004D
-:10AD30004CAB01004DAB01004EAB01004FAB01002D
-:10AD400050AB010051AB010052AB010053AB01000D
-:10AD500054AB010055AB010056AB010057AB0100ED
-:10AD600058AB010059AB01005AAB01005BAB0100CD
-:10AD70005CAB01005DAB01005EAB01005FAB0100AD
-:10AD800060AB010061AB010062AB010063AB01008D
-:10AD900064AB010065AB010066AB010067AB01006D
-:10ADA00068AB010069AB01006AAB01006BAB01004D
-:10ADB0006CAB01006DAB01006EAB01006FAB01002D
-:10ADC00070AB010071AB010072AB010073AB01000D
-:10ADD00074AB010075AB010076AB010077AB0100ED
-:10ADE00078AB010079AB01007AAB01007BAB0100CD
-:10ADF0007CAB01007DAB01007EAB01007FAB0100AD
-:10AE000080AB010081AB010082AB010083AB01008C
-:10AE100084AB010085AB010086AB010087AB01006C
-:10AE200088AB010089AB01008AAB01008BAB01004C
-:10AE30008CAB01008DAB01008EAB01008FAB01002C
-:10AE400090AB010091AB010092AB010093AB01000C
-:10AE500094AB010095AB010096AB010097AB0100EC
-:10AE600098AB010099AB01009AAB01009BAB0100CC
-:10AE70009CAB01009DAB01009EAB01009FAB0100AC
-:10AE8000A0AB0100A1AB0100A2AB0100A3AB01008C
-:10AE9000A4AB0100A5AB0100A6AB0100A7AB01006C
-:10AEA000A8AB0100A9AB0100AAAB0100ABAB01004C
-:10AEB000ACAB0100ADAB0100AEAB0100AFAB01002C
-:10AEC000B0AB0100B1AB0100B2AB0100B3AB01000C
-:10AED000B4AB0100B5AB0100B6AB0100B7AB0100EC
-:10AEE000B8AB0100B9AB0100BAAB0100BBAB0100CC
-:10AEF000BCAB0100BDAB0100BEAB0100BFAB0100AC
-:10AF0000C0AB0100C1AB0100C2AB0100C3AB01008B
-:10AF1000C4AB0100C5AB0100C6AB0100C7AB01006B
-:10AF2000C8AB0100C9AB0100CAAB0100CBAB01004B
-:10AF3000CCAB0100CDAB0100CEAB0100CFAB01002B
-:10AF4000D0AB0100D1AB0100D2AB0100D3AB01000B
-:10AF5000D4AB0100D5AB0100D6AB0100D7AB0100EB
-:10AF6000D8AB0100D9AB0100DAAB0100DBAB0100CB
-:10AF7000DCAB0100DDAB0100DEAB0100DFAB0100AB
-:10AF8000E0AB0100E1AB0100E2AB0100E3AB01008B
-:10AF9000E4AB0100E5AB0100E6AB0100E7AB01006B
-:10AFA000E8AB0100E9AB0100EAAB0100EBAB01004B
-:10AFB000ECAB0100EDAB0100EEAB0100EFAB01002B
-:10AFC000F0AB0100F1AB0100F2AB0100F3AB01000B
-:10AFD000F4AB0100F5AB0100F6AB0100F7AB0100EB
-:10AFE000F8AB0100F9AB0100FAAB0100FBAB0100CB
-:10AFF000FCAB0100FDAB0100FEAB0100FFAB0100AB
-:10B0000000AC010001AC010002AC010003AC010086
-:10B0100004AC010005AC010006AC010007AC010066
-:10B0200008AC010009AC01000AAC01000BAC010046
-:10B030000CAC01000DAC01000EAC01000FAC010026
-:10B0400010AC010011AC010012AC010013AC010006
-:10B0500014AC010015AC010016AC010017AC0100E6
-:10B0600018AC010019AC01001AAC01001BAC0100C6
-:10B070001CAC01001DAC01001EAC01001FAC0100A6
-:10B0800020AC010021AC010022AC010023AC010086
-:10B0900024AC010025AC010026AC010027AC010066
-:10B0A00028AC010029AC01002AAC01002BAC010046
-:10B0B0002CAC01002DAC01002EAC01002FAC010026
-:10B0C00030AC010031AC010032AC010033AC010006
-:10B0D00034AC010035AC010036AC010037AC0100E6
-:10B0E00038AC010039AC01003AAC01003BAC0100C6
-:10B0F0003CAC01003DAC01003EAC01003FAC0100A6
-:10B1000040AC010041AC010042AC010043AC010085
-:10B1100044AC010045AC010046AC010047AC010065
-:10B1200048AC010049AC01004AAC01004BAC010045
-:10B130004CAC01004DAC01004EAC01004FAC010025
-:10B1400050AC010051AC010052AC010053AC010005
-:10B1500054AC010055AC010056AC010057AC0100E5
-:10B1600058AC010059AC01005AAC01005BAC0100C5
-:10B170005CAC01005DAC01005EAC01005FAC0100A5
-:10B1800060AC010061AC010062AC010063AC010085
-:10B1900064AC010065AC010066AC010067AC010065
-:10B1A00068AC010069AC01006AAC01006BAC010045
-:10B1B0006CAC01006DAC01006EAC01006FAC010025
-:10B1C00070AC010071AC010072AC010073AC010005
-:10B1D00074AC010075AC010076AC010077AC0100E5
-:10B1E00078AC010079AC01007AAC01007BAC0100C5
-:10B1F0007CAC01007DAC01007EAC01007FAC0100A5
-:10B2000080AC010081AC010082AC010083AC010084
-:10B2100084AC010085AC010086AC010087AC010064
-:10B2200088AC010089AC01008AAC01008BAC010044
-:10B230008CAC01008DAC01008EAC01008FAC010024
-:10B2400090AC010091AC010092AC010093AC010004
-:10B2500094AC010095AC010096AC010097AC0100E4
-:10B2600098AC010099AC01009AAC01009BAC0100C4
-:10B270009CAC01009DAC01009EAC01009FAC0100A4
-:10B28000A0AC0100A1AC0100A2AC0100A3AC010084
-:10B29000A4AC0100A5AC0100A6AC0100A7AC010064
-:10B2A000A8AC0100A9AC0100AAAC0100ABAC010044
-:10B2B000ACAC0100ADAC0100AEAC0100AFAC010024
-:10B2C000B0AC0100B1AC0100B2AC0100B3AC010004
-:10B2D000B4AC0100B5AC0100B6AC0100B7AC0100E4
-:10B2E000B8AC0100B9AC0100BAAC0100BBAC0100C4
-:10B2F000BCAC0100BDAC0100BEAC0100BFAC0100A4
-:10B30000C0AC0100C1AC0100C2AC0100C3AC010083
-:10B31000C4AC0100C5AC0100C6AC0100C7AC010063
-:10B32000C8AC0100C9AC0100CAAC0100CBAC010043
-:10B33000CCAC0100CDAC0100CEAC0100CFAC010023
-:10B34000D0AC0100D1AC0100D2AC0100D3AC010003
-:10B35000D4AC0100D5AC0100D6AC0100D7AC0100E3
-:10B36000D8AC0100D9AC0100DAAC0100DBAC0100C3
-:10B37000DCAC0100DDAC0100DEAC0100DFAC0100A3
-:10B38000E0AC0100E1AC0100E2AC0100E3AC010083
-:10B39000E4AC0100E5AC0100E6AC0100E7AC010063
-:10B3A000E8AC0100E9AC0100EAAC0100EBAC010043
-:10B3B000ECAC0100EDAC0100EEAC0100EFAC010023
-:10B3C000F0AC0100F1AC0100F2AC0100F3AC010003
-:10B3D000F4AC0100F5AC0100F6AC0100F7AC0100E3
-:10B3E000F8AC0100F9AC0100FAAC0100FBAC0100C3
-:10B3F000FCAC0100FDAC0100FEAC0100FFAC0100A3
-:10B4000000AD010001AD010002AD010003AD01007E
-:10B4100004AD010005AD010006AD010007AD01005E
-:10B4200008AD010009AD01000AAD01000BAD01003E
-:10B430000CAD01000DAD01000EAD01000FAD01001E
-:10B4400010AD010011AD010012AD010013AD0100FE
-:10B4500014AD010015AD010016AD010017AD0100DE
-:10B4600018AD010019AD01001AAD01001BAD0100BE
-:10B470001CAD01001DAD01001EAD01001FAD01009E
-:10B4800020AD010021AD010022AD010023AD01007E
-:10B4900024AD010025AD010026AD010027AD01005E
-:10B4A00028AD010029AD01002AAD01002BAD01003E
-:10B4B0002CAD01002DAD01002EAD01002FAD01001E
-:10B4C00030AD010031AD010032AD010033AD0100FE
-:10B4D00034AD010035AD010036AD010037AD0100DE
-:10B4E00038AD010039AD01003AAD01003BAD0100BE
-:10B4F0003CAD01003DAD01003EAD01003FAD01009E
-:10B5000040AD010041AD010042AD010043AD01007D
-:10B5100044AD010045AD010046AD010047AD01005D
-:10B5200048AD010049AD01004AAD01004BAD01003D
-:10B530004CAD01004DAD01004EAD01004FAD01001D
-:10B5400050AD010051AD010052AD010053AD0100FD
-:10B5500054AD010055AD010056AD010057AD0100DD
-:10B5600058AD010059AD01005AAD01005BAD0100BD
-:10B570005CAD01005DAD01005EAD01005FAD01009D
-:10B5800060AD010061AD010062AD010063AD01007D
-:10B5900064AD010065AD010066AD010067AD01005D
-:10B5A00068AD010069AD01006AAD01006BAD01003D
-:10B5B0006CAD01006DAD01006EAD01006FAD01001D
-:10B5C00070AD010071AD010072AD010073AD0100FD
-:10B5D00074AD010075AD010076AD010077AD0100DD
-:10B5E00078AD010079AD01007AAD01007BAD0100BD
-:10B5F0007CAD01007DAD01007EAD01007FAD01009D
-:10B6000080AD010081AD010082AD010083AD01007C
-:10B6100084AD010085AD010086AD010087AD01005C
-:10B6200088AD010089AD01008AAD01008BAD01003C
-:10B630008CAD01008DAD01008EAD01008FAD01001C
-:10B6400090AD010091AD010092AD010093AD0100FC
-:10B6500094AD010095AD010096AD010097AD0100DC
-:10B6600098AD010099AD01009AAD01009BAD0100BC
-:10B670009CAD01009DAD01009EAD01009FAD01009C
-:10B68000A0AD0100A1AD0100A2AD0100A3AD01007C
-:10B69000A4AD0100A5AD0100A6AD0100A7AD01005C
-:10B6A000A8AD0100A9AD0100AAAD0100ABAD01003C
-:10B6B000ACAD0100ADAD0100AEAD0100AFAD01001C
-:10B6C000B0AD0100B1AD0100B2AD0100B3AD0100FC
-:10B6D000B4AD0100B5AD0100B6AD0100B7AD0100DC
-:10B6E000B8AD0100B9AD0100BAAD0100BBAD0100BC
-:10B6F000BCAD0100BDAD0100BEAD0100BFAD01009C
-:10B70000C0AD0100C1AD0100C2AD0100C3AD01007B
-:10B71000C4AD0100C5AD0100C6AD0100C7AD01005B
-:10B72000C8AD0100C9AD0100CAAD0100CBAD01003B
-:10B73000CCAD0100CDAD0100CEAD0100CFAD01001B
-:10B74000D0AD0100D1AD0100D2AD0100D3AD0100FB
-:10B75000D4AD0100D5AD0100D6AD0100D7AD0100DB
-:10B76000D8AD0100D9AD0100DAAD0100DBAD0100BB
-:10B77000DCAD0100DDAD0100DEAD0100DFAD01009B
-:10B78000E0AD0100E1AD0100E2AD0100E3AD01007B
-:10B79000E4AD0100E5AD0100E6AD0100E7AD01005B
-:10B7A000E8AD0100E9AD0100EAAD0100EBAD01003B
-:10B7B000ECAD0100EDAD0100EEAD0100EFAD01001B
-:10B7C000F0AD0100F1AD0100F2AD0100F3AD0100FB
-:10B7D000F4AD0100F5AD0100F6AD0100F7AD0100DB
-:10B7E000F8AD0100F9AD0100FAAD0100FBAD0100BB
-:10B7F000FCAD0100FDAD0100FEAD0100FFAD01009B
-:10B8000000AE010001AE010002AE010003AE010076
-:10B8100004AE010005AE010006AE010007AE010056
-:10B8200008AE010009AE01000AAE01000BAE010036
-:10B830000CAE01000DAE01000EAE01000FAE010016
-:10B8400010AE010011AE010012AE010013AE0100F6
-:10B8500014AE010015AE010016AE010017AE0100D6
-:10B8600018AE010019AE01001AAE01001BAE0100B6
-:10B870001CAE01001DAE01001EAE01001FAE010096
-:10B8800020AE010021AE010022AE010023AE010076
-:10B8900024AE010025AE010026AE010027AE010056
-:10B8A00028AE010029AE01002AAE01002BAE010036
-:10B8B0002CAE01002DAE01002EAE01002FAE010016
-:10B8C00030AE010031AE010032AE010033AE0100F6
-:10B8D00034AE010035AE010036AE010037AE0100D6
-:10B8E00038AE010039AE01003AAE01003BAE0100B6
-:10B8F0003CAE01003DAE01003EAE01003FAE010096
-:10B9000040AE010041AE010042AE010043AE010075
-:10B9100044AE010045AE010046AE010047AE010055
-:10B9200048AE010049AE01004AAE01004BAE010035
-:10B930004CAE01004DAE01004EAE01004FAE010015
-:10B9400050AE010051AE010052AE010053AE0100F5
-:10B9500054AE010055AE010056AE010057AE0100D5
-:10B9600058AE010059AE01005AAE01005BAE0100B5
-:10B970005CAE01005DAE01005EAE01005FAE010095
-:10B9800060AE010061AE010062AE010063AE010075
-:10B9900064AE010065AE010066AE010067AE010055
-:10B9A00068AE010069AE01006AAE01006BAE010035
-:10B9B0006CAE01006DAE01006EAE01006FAE010015
-:10B9C00070AE010071AE010072AE010073AE0100F5
-:10B9D00074AE010075AE010076AE010077AE0100D5
-:10B9E00078AE010079AE01007AAE01007BAE0100B5
-:10B9F0007CAE01007DAE01007EAE01007FAE010095
-:10BA000080AE010081AE010082AE010083AE010074
-:10BA100084AE010085AE010086AE010087AE010054
-:10BA200088AE010089AE01008AAE01008BAE010034
-:10BA30008CAE01008DAE01008EAE01008FAE010014
-:10BA400090AE010091AE010092AE010093AE0100F4
-:10BA500094AE010095AE010096AE010097AE0100D4
-:10BA600098AE010099AE01009AAE01009BAE0100B4
-:10BA70009CAE01009DAE01009EAE01009FAE010094
-:10BA8000A0AE0100A1AE0100A2AE0100A3AE010074
-:10BA9000A4AE0100A5AE0100A6AE0100A7AE010054
-:10BAA000A8AE0100A9AE0100AAAE0100ABAE010034
-:10BAB000ACAE0100ADAE0100AEAE0100AFAE010014
-:10BAC000B0AE0100B1AE0100B2AE0100B3AE0100F4
-:10BAD000B4AE0100B5AE0100B6AE0100B7AE0100D4
-:10BAE000B8AE0100B9AE0100BAAE0100BBAE0100B4
-:10BAF000BCAE0100BDAE0100BEAE0100BFAE010094
-:10BB0000C0AE0100C1AE0100C2AE0100C3AE010073
-:10BB1000C4AE0100C5AE0100C6AE0100C7AE010053
-:10BB2000C8AE0100C9AE0100CAAE0100CBAE010033
-:10BB3000CCAE0100CDAE0100CEAE0100CFAE010013
-:10BB4000D0AE0100D1AE0100D2AE0100D3AE0100F3
-:10BB5000D4AE0100D5AE0100D6AE0100D7AE0100D3
-:10BB6000D8AE0100D9AE0100DAAE0100DBAE0100B3
-:10BB7000DCAE0100DDAE0100DEAE0100DFAE010093
-:10BB8000E0AE0100E1AE0100E2AE0100E3AE010073
-:10BB9000E4AE0100E5AE0100E6AE0100E7AE010053
-:10BBA000E8AE0100E9AE0100EAAE0100EBAE010033
-:10BBB000ECAE0100EDAE0100EEAE0100EFAE010013
-:10BBC000F0AE0100F1AE0100F2AE0100F3AE0100F3
-:10BBD000F4AE0100F5AE0100F6AE0100F7AE0100D3
-:10BBE000F8AE0100F9AE0100FAAE0100FBAE0100B3
-:10BBF000FCAE0100FDAE0100FEAE0100FFAE010093
-:10BC000000AF010001AF010002AF010003AF01006E
-:10BC100004AF010005AF010006AF010007AF01004E
-:10BC200008AF010009AF01000AAF01000BAF01002E
-:10BC30000CAF01000DAF01000EAF01000FAF01000E
-:10BC400010AF010011AF010012AF010013AF0100EE
-:10BC500014AF010015AF010016AF010017AF0100CE
-:10BC600018AF010019AF01001AAF01001BAF0100AE
-:10BC70001CAF01001DAF01001EAF01001FAF01008E
-:10BC800020AF010021AF010022AF010023AF01006E
-:10BC900024AF010025AF010026AF010027AF01004E
-:10BCA00028AF010029AF01002AAF01002BAF01002E
-:10BCB0002CAF01002DAF01002EAF01002FAF01000E
-:10BCC00030AF010031AF010032AF010033AF0100EE
-:10BCD00034AF010035AF010036AF010037AF0100CE
-:10BCE00038AF010039AF01003AAF01003BAF0100AE
-:10BCF0003CAF01003DAF01003EAF01003FAF01008E
-:10BD000040AF010041AF010042AF010043AF01006D
-:10BD100044AF010045AF010046AF010047AF01004D
-:10BD200048AF010049AF01004AAF01004BAF01002D
-:10BD30004CAF01004DAF01004EAF01004FAF01000D
-:10BD400050AF010051AF010052AF010053AF0100ED
-:10BD500054AF010055AF010056AF010057AF0100CD
-:10BD600058AF010059AF01005AAF01005BAF0100AD
-:10BD70005CAF01005DAF01005EAF01005FAF01008D
-:10BD800060AF010061AF010062AF010063AF01006D
-:10BD900064AF010065AF010066AF010067AF01004D
-:10BDA00068AF010069AF01006AAF01006BAF01002D
-:10BDB0006CAF01006DAF01006EAF01006FAF01000D
-:10BDC00070AF010071AF010072AF010073AF0100ED
-:10BDD00074AF010075AF010076AF010077AF0100CD
-:10BDE00078AF010079AF01007AAF01007BAF0100AD
-:10BDF0007CAF01007DAF01007EAF01007FAF01008D
-:10BE000080AF010081AF010082AF010083AF01006C
-:10BE100084AF010085AF010086AF010087AF01004C
-:10BE200088AF010089AF01008AAF01008BAF01002C
-:10BE30008CAF01008DAF01008EAF01008FAF01000C
-:10BE400090AF010091AF010092AF010093AF0100EC
-:10BE500094AF010095AF010096AF010097AF0100CC
-:10BE600098AF010099AF01009AAF01009BAF0100AC
-:10BE70009CAF01009DAF01009EAF01009FAF01008C
-:10BE8000A0AF0100A1AF0100A2AF0100A3AF01006C
-:10BE9000A4AF0100A5AF0100A6AF0100A7AF01004C
-:10BEA000A8AF0100A9AF0100AAAF0100ABAF01002C
-:10BEB000ACAF0100ADAF0100AEAF0100AFAF01000C
-:10BEC000B0AF0100B1AF0100B2AF0100B3AF0100EC
-:10BED000B4AF0100B5AF0100B6AF0100B7AF0100CC
-:10BEE000B8AF0100B9AF0100BAAF0100BBAF0100AC
-:10BEF000BCAF0100BDAF0100BEAF0100BFAF01008C
-:10BF0000C0AF0100C1AF0100C2AF0100C3AF01006B
-:10BF1000C4AF0100C5AF0100C6AF0100C7AF01004B
-:10BF2000C8AF0100C9AF0100CAAF0100CBAF01002B
-:10BF3000CCAF0100CDAF0100CEAF0100CFAF01000B
-:10BF4000D0AF0100D1AF0100D2AF0100D3AF0100EB
-:10BF5000D4AF0100D5AF0100D6AF0100D7AF0100CB
-:10BF6000D8AF0100D9AF0100DAAF0100DBAF0100AB
-:10BF7000DCAF0100DDAF0100DEAF0100DFAF01008B
-:10BF8000E0AF0100E1AF0100E2AF0100E3AF01006B
-:10BF9000E4AF0100E5AF0100E6AF0100E7AF01004B
-:10BFA000E8AF0100E9AF0100EAAF0100EBAF01002B
-:10BFB000ECAF0100EDAF0100EEAF0100EFAF01000B
-:10BFC000F0AF0100F1AF0100F2AF0100F3AF0100EB
-:10BFD000F4AF0100F5AF0100F6AF0100F7AF0100CB
-:10BFE000F8AF0100F9AF0100FAAF0100FBAF0100AB
-:10BFF000FCAF0100FDAF0100FEAF0100FFAF01008B
-:10C0000000B0010001B0010002B0010003B0010066
-:10C0100004B0010005B0010006B0010007B0010046
-:10C0200008B0010009B001000AB001000BB0010026
-:10C030000CB001000DB001000EB001000FB0010006
-:10C0400010B0010011B0010012B0010013B00100E6
-:10C0500014B0010015B0010016B0010017B00100C6
-:10C0600018B0010019B001001AB001001BB00100A6
-:10C070001CB001001DB001001EB001001FB0010086
-:10C0800020B0010021B0010022B0010023B0010066
-:10C0900024B0010025B0010026B0010027B0010046
-:10C0A00028B0010029B001002AB001002BB0010026
-:10C0B0002CB001002DB001002EB001002FB0010006
-:10C0C00030B0010031B0010032B0010033B00100E6
-:10C0D00034B0010035B0010036B0010037B00100C6
-:10C0E00038B0010039B001003AB001003BB00100A6
-:10C0F0003CB001003DB001003EB001003FB0010086
-:10C1000040B0010041B0010042B0010043B0010065
-:10C1100044B0010045B0010046B0010047B0010045
-:10C1200048B0010049B001004AB001004BB0010025
-:10C130004CB001004DB001004EB001004FB0010005
-:10C1400050B0010051B0010052B0010053B00100E5
-:10C1500054B0010055B0010056B0010057B00100C5
-:10C1600058B0010059B001005AB001005BB00100A5
-:10C170005CB001005DB001005EB001005FB0010085
-:10C1800060B0010061B0010062B0010063B0010065
-:10C1900064B0010065B0010066B0010067B0010045
-:10C1A00068B0010069B001006AB001006BB0010025
-:10C1B0006CB001006DB001006EB001006FB0010005
-:10C1C00070B0010071B0010072B0010073B00100E5
-:10C1D00074B0010075B0010076B0010077B00100C5
-:10C1E00078B0010079B001007AB001007BB00100A5
-:10C1F0007CB001007DB001007EB001007FB0010085
-:10C2000080B0010081B0010082B0010083B0010064
-:10C2100084B0010085B0010086B0010087B0010044
-:10C2200088B0010089B001008AB001008BB0010024
-:10C230008CB001008DB001008EB001008FB0010004
-:10C2400090B0010091B0010092B0010093B00100E4
-:10C2500094B0010095B0010096B0010097B00100C4
-:10C2600098B0010099B001009AB001009BB00100A4
-:10C270009CB001009DB001009EB001009FB0010084
-:10C28000A0B00100A1B00100A2B00100A3B0010064
-:10C29000A4B00100A5B00100A6B00100A7B0010044
-:10C2A000A8B00100A9B00100AAB00100ABB0010024
-:10C2B000ACB00100ADB00100AEB00100AFB0010004
-:10C2C000B0B00100B1B00100B2B00100B3B00100E4
-:10C2D000B4B00100B5B00100B6B00100B7B00100C4
-:10C2E000B8B00100B9B00100BAB00100BBB00100A4
-:10C2F000BCB00100BDB00100BEB00100BFB0010084
-:10C30000C0B00100C1B00100C2B00100C3B0010063
-:10C31000C4B00100C5B00100C6B00100C7B0010043
-:10C32000C8B00100C9B00100CAB00100CBB0010023
-:10C33000CCB00100CDB00100CEB00100CFB0010003
-:10C34000D0B00100D1B00100D2B00100D3B00100E3
-:10C35000D4B00100D5B00100D6B00100D7B00100C3
-:10C36000D8B00100D9B00100DAB00100DBB00100A3
-:10C37000DCB00100DDB00100DEB00100DFB0010083
-:10C38000E0B00100E1B00100E2B00100E3B0010063
-:10C39000E4B00100E5B00100E6B00100E7B0010043
-:10C3A000E8B00100E9B00100EAB00100EBB0010023
-:10C3B000ECB00100EDB00100EEB00100EFB0010003
-:10C3C000F0B00100F1B00100F2B00100F3B00100E3
-:10C3D000F4B00100F5B00100F6B00100F7B00100C3
-:10C3E000F8B00100F9B00100FAB00100FBB00100A3
-:10C3F000FCB00100FDB00100FEB00100FFB0010083
-:10C4000000B1010001B1010002B1010003B101005E
-:10C4100004B1010005B1010006B1010007B101003E
-:10C4200008B1010009B101000AB101000BB101001E
-:10C430000CB101000DB101000EB101000FB10100FE
-:10C4400010B1010011B1010012B1010013B10100DE
-:10C4500014B1010015B1010016B1010017B10100BE
-:10C4600018B1010019B101001AB101001BB101009E
-:10C470001CB101001DB101001EB101001FB101007E
-:10C4800020B1010021B1010022B1010023B101005E
-:10C4900024B1010025B1010026B1010027B101003E
-:10C4A00028B1010029B101002AB101002BB101001E
-:10C4B0002CB101002DB101002EB101002FB10100FE
-:10C4C00030B1010031B1010032B1010033B10100DE
-:10C4D00034B1010035B1010036B1010037B10100BE
-:10C4E00038B1010039B101003AB101003BB101009E
-:10C4F0003CB101003DB101003EB101003FB101007E
-:10C5000040B1010041B1010042B1010043B101005D
-:10C5100044B1010045B1010046B1010047B101003D
-:10C5200048B1010049B101004AB101004BB101001D
-:10C530004CB101004DB101004EB101004FB10100FD
-:10C5400050B1010051B1010052B1010053B10100DD
-:10C5500054B1010055B1010056B1010057B10100BD
-:10C5600058B1010059B101005AB101005BB101009D
-:10C570005CB101005DB101005EB101005FB101007D
-:10C5800060B1010061B1010062B1010063B101005D
-:10C5900064B1010065B1010066B1010067B101003D
-:10C5A00068B1010069B101006AB101006BB101001D
-:10C5B0006CB101006DB101006EB101006FB10100FD
-:10C5C00070B1010071B1010072B1010073B10100DD
-:10C5D00074B1010075B1010076B1010077B10100BD
-:10C5E00078B1010079B101007AB101007BB101009D
-:10C5F0007CB101007DB101007EB101007FB101007D
-:10C6000080B1010081B1010082B1010083B101005C
-:10C6100084B1010085B1010086B1010087B101003C
-:10C6200088B1010089B101008AB101008BB101001C
-:10C630008CB101008DB101008EB101008FB10100FC
-:10C6400090B1010091B1010092B1010093B10100DC
-:10C6500094B1010095B1010096B1010097B10100BC
-:10C6600098B1010099B101009AB101009BB101009C
-:10C670009CB101009DB101009EB101009FB101007C
-:10C68000A0B10100A1B10100A2B10100A3B101005C
-:10C69000A4B10100A5B10100A6B10100A7B101003C
-:10C6A000A8B10100A9B10100AAB10100ABB101001C
-:10C6B000ACB10100ADB10100AEB10100AFB10100FC
-:10C6C000B0B10100B1B10100B2B10100B3B10100DC
-:10C6D000B4B10100B5B10100B6B10100B7B10100BC
-:10C6E000B8B10100B9B10100BAB10100BBB101009C
-:10C6F000BCB10100BDB10100BEB10100BFB101007C
-:10C70000C0B10100C1B10100C2B10100C3B101005B
-:10C71000C4B10100C5B10100C6B10100C7B101003B
-:10C72000C8B10100C9B10100CAB10100CBB101001B
-:10C73000CCB10100CDB10100CEB10100CFB10100FB
-:10C74000D0B10100D1B10100D2B10100D3B10100DB
-:10C75000D4B10100D5B10100D6B10100D7B10100BB
-:10C76000D8B10100D9B10100DAB10100DBB101009B
-:10C77000DCB10100DDB10100DEB10100DFB101007B
-:10C78000E0B10100E1B10100E2B10100E3B101005B
-:10C79000E4B10100E5B10100E6B10100E7B101003B
-:10C7A000E8B10100E9B10100EAB10100EBB101001B
-:10C7B000ECB10100EDB10100EEB10100EFB10100FB
-:10C7C000F0B10100F1B10100F2B10100F3B10100DB
-:10C7D000F4B10100F5B10100F6B10100F7B10100BB
-:10C7E000F8B10100F9B10100FAB10100FBB101009B
-:10C7F000FCB10100FDB10100FEB10100FFB101007B
-:10C8000000B2010001B2010002B2010003B2010056
-:10C8100004B2010005B2010006B2010007B2010036
-:10C8200008B2010009B201000AB201000BB2010016
-:10C830000CB201000DB201000EB201000FB20100F6
-:10C8400010B2010011B2010012B2010013B20100D6
-:10C8500014B2010015B2010016B2010017B20100B6
-:10C8600018B2010019B201001AB201001BB2010096
-:10C870001CB201001DB201001EB201001FB2010076
-:10C8800020B2010021B2010022B2010023B2010056
-:10C8900024B2010025B2010026B2010027B2010036
-:10C8A00028B2010029B201002AB201002BB2010016
-:10C8B0002CB201002DB201002EB201002FB20100F6
-:10C8C00030B2010031B2010032B2010033B20100D6
-:10C8D00034B2010035B2010036B2010037B20100B6
-:10C8E00038B2010039B201003AB201003BB2010096
-:10C8F0003CB201003DB201003EB201003FB2010076
-:10C9000040B2010041B2010042B2010043B2010055
-:10C9100044B2010045B2010046B2010047B2010035
-:10C9200048B2010049B201004AB201004BB2010015
-:10C930004CB201004DB201004EB201004FB20100F5
-:10C9400050B2010051B2010052B2010053B20100D5
-:10C9500054B2010055B2010056B2010057B20100B5
-:10C9600058B2010059B201005AB201005BB2010095
-:10C970005CB201005DB201005EB201005FB2010075
-:10C9800060B2010061B2010062B2010063B2010055
-:10C9900064B2010065B2010066B2010067B2010035
-:10C9A00068B2010069B201006AB201006BB2010015
-:10C9B0006CB201006DB201006EB201006FB20100F5
-:10C9C00070B2010071B2010072B2010073B20100D5
-:10C9D00074B2010075B2010076B2010077B20100B5
-:10C9E00078B2010079B201007AB201007BB2010095
-:10C9F0007CB201007DB201007EB201007FB2010075
-:10CA000080B2010081B2010082B2010083B2010054
-:10CA100084B2010085B2010086B2010087B2010034
-:10CA200088B2010089B201008AB201008BB2010014
-:10CA30008CB201008DB201008EB201008FB20100F4
-:10CA400090B2010091B2010092B2010093B20100D4
-:10CA500094B2010095B2010096B2010097B20100B4
-:10CA600098B2010099B201009AB201009BB2010094
-:10CA70009CB201009DB201009EB201009FB2010074
-:10CA8000A0B20100A1B20100A2B20100A3B2010054
-:10CA9000A4B20100A5B20100A6B20100A7B2010034
-:10CAA000A8B20100A9B20100AAB20100ABB2010014
-:10CAB000ACB20100ADB20100AEB20100AFB20100F4
-:10CAC000B0B20100B1B20100B2B20100B3B20100D4
-:10CAD000B4B20100B5B20100B6B20100B7B20100B4
-:10CAE000B8B20100B9B20100BAB20100BBB2010094
-:10CAF000BCB20100BDB20100BEB20100BFB2010074
-:10CB0000C0B20100C1B20100C2B20100C3B2010053
-:10CB1000C4B20100C5B20100C6B20100C7B2010033
-:10CB2000C8B20100C9B20100CAB20100CBB2010013
-:10CB3000CCB20100CDB20100CEB20100CFB20100F3
-:10CB4000D0B20100D1B20100D2B20100D3B20100D3
-:10CB5000D4B20100D5B20100D6B20100D7B20100B3
-:10CB6000D8B20100D9B20100DAB20100DBB2010093
-:10CB7000DCB20100DDB20100DEB20100DFB2010073
-:10CB8000E0B20100E1B20100E2B20100E3B2010053
-:10CB9000E4B20100E5B20100E6B20100E7B2010033
-:10CBA000E8B20100E9B20100EAB20100EBB2010013
-:10CBB000ECB20100EDB20100EEB20100EFB20100F3
-:10CBC000F0B20100F1B20100F2B20100F3B20100D3
-:10CBD000F4B20100F5B20100F6B20100F7B20100B3
-:10CBE000F8B20100F9B20100FAB20100FBB2010093
-:10CBF000FCB20100FDB20100FEB20100FFB2010073
-:10CC000000B3010001B3010002B3010003B301004E
-:10CC100004B3010005B3010006B3010007B301002E
-:10CC200008B3010009B301000AB301000BB301000E
-:10CC30000CB301000DB301000EB301000FB30100EE
-:10CC400010B3010011B3010012B3010013B30100CE
-:10CC500014B3010015B3010016B3010017B30100AE
-:10CC600018B3010019B301001AB301001BB301008E
-:10CC70001CB301001DB301001EB301001FB301006E
-:10CC800020B3010021B3010022B3010023B301004E
-:10CC900024B3010025B3010026B3010027B301002E
-:10CCA00028B3010029B301002AB301002BB301000E
-:10CCB0002CB301002DB301002EB301002FB30100EE
-:10CCC00030B3010031B3010032B3010033B30100CE
-:10CCD00034B3010035B3010036B3010037B30100AE
-:10CCE00038B3010039B301003AB301003BB301008E
-:10CCF0003CB301003DB301003EB301003FB301006E
-:10CD000040B3010041B3010042B3010043B301004D
-:10CD100044B3010045B3010046B3010047B301002D
-:10CD200048B3010049B301004AB301004BB301000D
-:10CD30004CB301004DB301004EB301004FB30100ED
-:10CD400050B3010051B3010052B3010053B30100CD
-:10CD500054B3010055B3010056B3010057B30100AD
-:10CD600058B3010059B301005AB301005BB301008D
-:10CD70005CB301005DB301005EB301005FB301006D
-:10CD800060B3010061B3010062B3010063B301004D
-:10CD900064B3010065B3010066B3010067B301002D
-:10CDA00068B3010069B301006AB301006BB301000D
-:10CDB0006CB301006DB301006EB301006FB30100ED
-:10CDC00070B3010071B3010072B3010073B30100CD
-:10CDD00074B3010075B3010076B3010077B30100AD
-:10CDE00078B3010079B301007AB301007BB301008D
-:10CDF0007CB301007DB301007EB301007FB301006D
-:10CE000080B3010081B3010082B3010083B301004C
-:10CE100084B3010085B3010086B3010087B301002C
-:10CE200088B3010089B301008AB301008BB301000C
-:10CE30008CB301008DB301008EB301008FB30100EC
-:10CE400090B3010091B3010092B3010093B30100CC
-:10CE500094B3010095B3010096B3010097B30100AC
-:10CE600098B3010099B301009AB301009BB301008C
-:10CE70009CB301009DB301009EB301009FB301006C
-:10CE8000A0B30100A1B30100A2B30100A3B301004C
-:10CE9000A4B30100A5B30100A6B30100A7B301002C
-:10CEA000A8B30100A9B30100AAB30100ABB301000C
-:10CEB000ACB30100ADB30100AEB30100AFB30100EC
-:10CEC000B0B30100B1B30100B2B30100B3B30100CC
-:10CED000B4B30100B5B30100B6B30100B7B30100AC
-:10CEE000B8B30100B9B30100BAB30100BBB301008C
-:10CEF000BCB30100BDB30100BEB30100BFB301006C
-:10CF0000C0B30100C1B30100C2B30100C3B301004B
-:10CF1000C4B30100C5B30100C6B30100C7B301002B
-:10CF2000C8B30100C9B30100CAB30100CBB301000B
-:10CF3000CCB30100CDB30100CEB30100CFB30100EB
-:10CF4000D0B30100D1B30100D2B30100D3B30100CB
-:10CF5000D4B30100D5B30100D6B30100D7B30100AB
-:10CF6000D8B30100D9B30100DAB30100DBB301008B
-:10CF7000DCB30100DDB30100DEB30100DFB301006B
-:10CF8000E0B30100E1B30100E2B30100E3B301004B
-:10CF9000E4B30100E5B30100E6B30100E7B301002B
-:10CFA000E8B30100E9B30100EAB30100EBB301000B
-:10CFB000ECB30100EDB30100EEB30100EFB30100EB
-:10CFC000F0B30100F1B30100F2B30100F3B30100CB
-:10CFD000F4B30100F5B30100F6B30100F7B30100AB
-:10CFE000F8B30100F9B30100FAB30100FBB301008B
-:10CFF000FCB30100FDB30100FEB30100FFB301006B
-:10D0000000B4010001B4010002B4010003B4010046
-:10D0100004B4010005B4010006B4010007B4010026
-:10D0200008B4010009B401000AB401000BB4010006
-:10D030000CB401000DB401000EB401000FB40100E6
-:10D0400010B4010011B4010012B4010013B40100C6
-:10D0500014B4010015B4010016B4010017B40100A6
-:10D0600018B4010019B401001AB401001BB4010086
-:10D070001CB401001DB401001EB401001FB4010066
-:10D0800020B4010021B4010022B4010023B4010046
-:10D0900024B4010025B4010026B4010027B4010026
-:10D0A00028B4010029B401002AB401002BB4010006
-:10D0B0002CB401002DB401002EB401002FB40100E6
-:10D0C00030B4010031B4010032B4010033B40100C6
-:10D0D00034B4010035B4010036B4010037B40100A6
-:10D0E00038B4010039B401003AB401003BB4010086
-:10D0F0003CB401003DB401003EB401003FB4010066
-:10D1000040B4010041B4010042B4010043B4010045
-:10D1100044B4010045B4010046B4010047B4010025
-:10D1200048B4010049B401004AB401004BB4010005
-:10D130004CB401004DB401004EB401004FB40100E5
-:10D1400050B4010051B4010052B4010053B40100C5
-:10D1500054B4010055B4010056B4010057B40100A5
-:10D1600058B4010059B401005AB401005BB4010085
-:10D170005CB401005DB401005EB401005FB4010065
-:10D1800060B4010061B4010062B4010063B4010045
-:10D1900064B4010065B4010066B4010067B4010025
-:10D1A00068B4010069B401006AB401006BB4010005
-:10D1B0006CB401006DB401006EB401006FB40100E5
-:10D1C00070B4010071B4010072B4010073B40100C5
-:10D1D00074B4010075B4010076B4010077B40100A5
-:10D1E00078B4010079B401007AB401007BB4010085
-:10D1F0007CB401007DB401007EB401007FB4010065
-:10D2000080B4010081B4010082B4010083B4010044
-:10D2100084B4010085B4010086B4010087B4010024
-:10D2200088B4010089B401008AB401008BB4010004
-:10D230008CB401008DB401008EB401008FB40100E4
-:10D2400090B4010091B4010092B4010093B40100C4
-:10D2500094B4010095B4010096B4010097B40100A4
-:10D2600098B4010099B401009AB401009BB4010084
-:10D270009CB401009DB401009EB401009FB4010064
-:10D28000A0B40100A1B40100A2B40100A3B4010044
-:10D29000A4B40100A5B40100A6B40100A7B4010024
-:10D2A000A8B40100A9B40100AAB40100ABB4010004
-:10D2B000ACB40100ADB40100AEB40100AFB40100E4
-:10D2C000B0B40100B1B40100B2B40100B3B40100C4
-:10D2D000B4B40100B5B40100B6B40100B7B40100A4
-:10D2E000B8B40100B9B40100BAB40100BBB4010084
-:10D2F000BCB40100BDB40100BEB40100BFB4010064
-:10D30000C0B40100C1B40100C2B40100C3B4010043
-:10D31000C4B40100C5B40100C6B40100C7B4010023
-:10D32000C8B40100C9B40100CAB40100CBB4010003
-:10D33000CCB40100CDB40100CEB40100CFB40100E3
-:10D34000D0B40100D1B40100D2B40100D3B40100C3
-:10D35000D4B40100D5B40100D6B40100D7B40100A3
-:10D36000D8B40100D9B40100DAB40100DBB4010083
-:10D37000DCB40100DDB40100DEB40100DFB4010063
-:10D38000E0B40100E1B40100E2B40100E3B4010043
-:10D39000E4B40100E5B40100E6B40100E7B4010023
-:10D3A000E8B40100E9B40100EAB40100EBB4010003
-:10D3B000ECB40100EDB40100EEB40100EFB40100E3
-:10D3C000F0B40100F1B40100F2B40100F3B40100C3
-:10D3D000F4B40100F5B40100F6B40100F7B40100A3
-:10D3E000F8B40100F9B40100FAB40100FBB4010083
-:10D3F000FCB40100FDB40100FEB40100FFB4010063
-:10D4000000B5010001B5010002B5010003B501003E
-:10D4100004B5010005B5010006B5010007B501001E
-:10D4200008B5010009B501000AB501000BB50100FE
-:10D430000CB501000DB501000EB501000FB50100DE
-:10D4400010B5010011B5010012B5010013B50100BE
-:10D4500014B5010015B5010016B5010017B501009E
-:10D4600018B5010019B501001AB501001BB501007E
-:10D470001CB501001DB501001EB501001FB501005E
-:10D4800020B5010021B5010022B5010023B501003E
-:10D4900024B5010025B5010026B5010027B501001E
-:10D4A00028B5010029B501002AB501002BB50100FE
-:10D4B0002CB501002DB501002EB501002FB50100DE
-:10D4C00030B5010031B5010032B5010033B50100BE
-:10D4D00034B5010035B5010036B5010037B501009E
-:10D4E00038B5010039B501003AB501003BB501007E
-:10D4F0003CB501003DB501003EB501003FB501005E
-:10D5000040B5010041B5010042B5010043B501003D
-:10D5100044B5010045B5010046B5010047B501001D
-:10D5200048B5010049B501004AB501004BB50100FD
-:10D530004CB501004DB501004EB501004FB50100DD
-:10D5400050B5010051B5010052B5010053B50100BD
-:10D5500054B5010055B5010056B5010057B501009D
-:10D5600058B5010059B501005AB501005BB501007D
-:10D570005CB501005DB501005EB501005FB501005D
-:10D5800060B5010061B5010062B5010063B501003D
-:10D5900064B5010065B5010066B5010067B501001D
-:10D5A00068B5010069B501006AB501006BB50100FD
-:10D5B0006CB501006DB501006EB501006FB50100DD
-:10D5C00070B5010071B5010072B5010073B50100BD
-:10D5D00074B5010075B5010076B5010077B501009D
-:10D5E00078B5010079B501007AB501007BB501007D
-:10D5F0007CB501007DB501007EB501007FB501005D
-:10D6000080B5010081B5010082B5010083B501003C
-:10D6100084B5010085B5010086B5010087B501001C
-:10D6200088B5010089B501008AB501008BB50100FC
-:10D630008CB501008DB501008EB501008FB50100DC
-:10D6400090B5010091B5010092B5010093B50100BC
-:10D6500094B5010095B5010096B5010097B501009C
-:10D6600098B5010099B501009AB501009BB501007C
-:10D670009CB501009DB501009EB501009FB501005C
-:10D68000A0B50100A1B50100A2B50100A3B501003C
-:10D69000A4B50100A5B50100A6B50100A7B501001C
-:10D6A000A8B50100A9B50100AAB50100ABB50100FC
-:10D6B000ACB50100ADB50100AEB50100AFB50100DC
-:10D6C000B0B50100B1B50100B2B50100B3B50100BC
-:10D6D000B4B50100B5B50100B6B50100B7B501009C
-:10D6E000B8B50100B9B50100BAB50100BBB501007C
-:10D6F000BCB50100BDB50100BEB50100BFB501005C
-:10D70000C0B50100C1B50100C2B50100C3B501003B
-:10D71000C4B50100C5B50100C6B50100C7B501001B
-:10D72000C8B50100C9B50100CAB50100CBB50100FB
-:10D73000CCB50100CDB50100CEB50100CFB50100DB
-:10D74000D0B50100D1B50100D2B50100D3B50100BB
-:10D75000D4B50100D5B50100D6B50100D7B501009B
-:10D76000D8B50100D9B50100DAB50100DBB501007B
-:10D77000DCB50100DDB50100DEB50100DFB501005B
-:10D78000E0B50100E1B50100E2B50100E3B501003B
-:10D79000E4B50100E5B50100E6B50100E7B501001B
-:10D7A000E8B50100E9B50100EAB50100EBB50100FB
-:10D7B000ECB50100EDB50100EEB50100EFB50100DB
-:10D7C000F0B50100F1B50100F2B50100F3B50100BB
-:10D7D000F4B50100F5B50100F6B50100F7B501009B
-:10D7E000F8B50100F9B50100FAB50100FBB501007B
-:10D7F000FCB50100FDB50100FEB50100FFB501005B
-:10D8000000B6010001B6010002B6010003B6010036
-:10D8100004B6010005B6010006B6010007B6010016
-:10D8200008B6010009B601000AB601000BB60100F6
-:10D830000CB601000DB601000EB601000FB60100D6
-:10D8400010B6010011B6010012B6010013B60100B6
-:10D8500014B6010015B6010016B6010017B6010096
-:10D8600018B6010019B601001AB601001BB6010076
-:10D870001CB601001DB601001EB601001FB6010056
-:10D8800020B6010021B6010022B6010023B6010036
-:10D8900024B6010025B6010026B6010027B6010016
-:10D8A00028B6010029B601002AB601002BB60100F6
-:10D8B0002CB601002DB601002EB601002FB60100D6
-:10D8C00030B6010031B6010032B6010033B60100B6
-:10D8D00034B6010035B6010036B6010037B6010096
-:10D8E00038B6010039B601003AB601003BB6010076
-:10D8F0003CB601003DB601003EB601003FB6010056
-:10D9000040B6010041B6010042B6010043B6010035
-:10D9100044B6010045B6010046B6010047B6010015
-:10D9200048B6010049B601004AB601004BB60100F5
-:10D930004CB601004DB601004EB601004FB60100D5
-:10D9400050B6010051B6010052B6010053B60100B5
-:10D9500054B6010055B6010056B6010057B6010095
-:10D9600058B6010059B601005AB601005BB6010075
-:10D970005CB601005DB601005EB601005FB6010055
-:10D9800060B6010061B6010062B6010063B6010035
-:10D9900064B6010065B6010066B6010067B6010015
-:10D9A00068B6010069B601006AB601006BB60100F5
-:10D9B0006CB601006DB601006EB601006FB60100D5
-:10D9C00070B6010071B6010072B6010073B60100B5
-:10D9D00074B6010075B6010076B6010077B6010095
-:10D9E00078B6010079B601007AB601007BB6010075
-:10D9F0007CB601007DB601007EB601007FB6010055
-:10DA000080B6010081B6010082B6010083B6010034
-:10DA100084B6010085B6010086B6010087B6010014
-:10DA200088B6010089B601008AB601008BB60100F4
-:10DA30008CB601008DB601008EB601008FB60100D4
-:10DA400090B6010091B6010092B6010093B60100B4
-:10DA500094B6010095B6010096B6010097B6010094
-:10DA600098B6010099B601009AB601009BB6010074
-:10DA70009CB601009DB601009EB601009FB6010054
-:10DA8000A0B60100A1B60100A2B60100A3B6010034
-:10DA9000A4B60100A5B60100A6B60100A7B6010014
-:10DAA000A8B60100A9B60100AAB60100ABB60100F4
-:10DAB000ACB60100ADB60100AEB60100AFB60100D4
-:10DAC000B0B60100B1B60100B2B60100B3B60100B4
-:10DAD000B4B60100B5B60100B6B60100B7B6010094
-:10DAE000B8B60100B9B60100BAB60100BBB6010074
-:10DAF000BCB60100BDB60100BEB60100BFB6010054
-:10DB0000C0B60100C1B60100C2B60100C3B6010033
-:10DB1000C4B60100C5B60100C6B60100C7B6010013
-:10DB2000C8B60100C9B60100CAB60100CBB60100F3
-:10DB3000CCB60100CDB60100CEB60100CFB60100D3
-:10DB4000D0B60100D1B60100D2B60100D3B60100B3
-:10DB5000D4B60100D5B60100D6B60100D7B6010093
-:10DB6000D8B60100D9B60100DAB60100DBB6010073
-:10DB7000DCB60100DDB60100DEB60100DFB6010053
-:10DB8000E0B60100E1B60100E2B60100E3B6010033
-:10DB9000E4B60100E5B60100E6B60100E7B6010013
-:10DBA000E8B60100E9B60100EAB60100EBB60100F3
-:10DBB000ECB60100EDB60100EEB60100EFB60100D3
-:10DBC000F0B60100F1B60100F2B60100F3B60100B3
-:10DBD000F4B60100F5B60100F6B60100F7B6010093
-:10DBE000F8B60100F9B60100FAB60100FBB6010073
-:10DBF000FCB60100FDB60100FEB60100FFB6010053
-:10DC000000B7010001B7010002B7010003B701002E
-:10DC100004B7010005B7010006B7010007B701000E
-:10DC200008B7010009B701000AB701000BB70100EE
-:10DC30000CB701000DB701000EB701000FB70100CE
-:10DC400010B7010011B7010012B7010013B70100AE
-:10DC500014B7010015B7010016B7010017B701008E
-:10DC600018B7010019B701001AB701001BB701006E
-:10DC70001CB701001DB701001EB701001FB701004E
-:10DC800020B7010021B7010022B7010023B701002E
-:10DC900024B7010025B7010026B7010027B701000E
-:10DCA00028B7010029B701002AB701002BB70100EE
-:10DCB0002CB701002DB701002EB701002FB70100CE
-:10DCC00030B7010031B7010032B7010033B70100AE
-:10DCD00034B7010035B7010036B7010037B701008E
-:10DCE00038B7010039B701003AB701003BB701006E
-:10DCF0003CB701003DB701003EB701003FB701004E
-:10DD000040B7010041B7010042B7010043B701002D
-:10DD100044B7010045B7010046B7010047B701000D
-:10DD200048B7010049B701004AB701004BB70100ED
-:10DD30004CB701004DB701004EB701004FB70100CD
-:10DD400050B7010051B7010052B7010053B70100AD
-:10DD500054B7010055B7010056B7010057B701008D
-:10DD600058B7010059B701005AB701005BB701006D
-:10DD70005CB701005DB701005EB701005FB701004D
-:10DD800060B7010061B7010062B7010063B701002D
-:10DD900064B7010065B7010066B7010067B701000D
-:10DDA00068B7010069B701006AB701006BB70100ED
-:10DDB0006CB701006DB701006EB701006FB70100CD
-:10DDC00070B7010071B7010072B7010073B70100AD
-:10DDD00074B7010075B7010076B7010077B701008D
-:10DDE00078B7010079B701007AB701007BB701006D
-:10DDF0007CB701007DB701007EB701007FB701004D
-:10DE000080B7010081B7010082B7010083B701002C
-:10DE100084B7010085B7010086B7010087B701000C
-:10DE200088B7010089B701008AB701008BB70100EC
-:10DE30008CB701008DB701008EB701008FB70100CC
-:10DE400090B7010091B7010092B7010093B70100AC
-:10DE500094B7010095B7010096B7010097B701008C
-:10DE600098B7010099B701009AB701009BB701006C
-:10DE70009CB701009DB701009EB701009FB701004C
-:10DE8000A0B70100A1B70100A2B70100A3B701002C
-:10DE9000A4B70100A5B70100A6B70100A7B701000C
-:10DEA000A8B70100A9B70100AAB70100ABB70100EC
-:10DEB000ACB70100ADB70100AEB70100AFB70100CC
-:10DEC000B0B70100B1B70100B2B70100B3B70100AC
-:10DED000B4B70100B5B70100B6B70100B7B701008C
-:10DEE000B8B70100B9B70100BAB70100BBB701006C
-:10DEF000BCB70100BDB70100BEB70100BFB701004C
-:10DF0000C0B70100C1B70100C2B70100C3B701002B
-:10DF1000C4B70100C5B70100C6B70100C7B701000B
-:10DF2000C8B70100C9B70100CAB70100CBB70100EB
-:10DF3000CCB70100CDB70100CEB70100CFB70100CB
-:10DF4000D0B70100D1B70100D2B70100D3B70100AB
-:10DF5000D4B70100D5B70100D6B70100D7B701008B
-:10DF6000D8B70100D9B70100DAB70100DBB701006B
-:10DF7000DCB70100DDB70100DEB70100DFB701004B
-:10DF8000E0B70100E1B70100E2B70100E3B701002B
-:10DF9000E4B70100E5B70100E6B70100E7B701000B
-:10DFA000E8B70100E9B70100EAB70100EBB70100EB
-:10DFB000ECB70100EDB70100EEB70100EFB70100CB
-:10DFC000F0B70100F1B70100F2B70100F3B70100AB
-:10DFD000F4B70100F5B70100F6B70100F7B701008B
-:10DFE000F8B70100F9B70100FAB70100FBB701006B
-:10DFF000FCB70100FDB70100FEB70100FFB701004B
-:10E0000000B8010001B8010002B8010003B8010026
-:10E0100004B8010005B8010006B8010007B8010006
-:10E0200008B8010009B801000AB801000BB80100E6
-:10E030000CB801000DB801000EB801000FB80100C6
-:10E0400010B8010011B8010012B8010013B80100A6
-:10E0500014B8010015B8010016B8010017B8010086
-:10E0600018B8010019B801001AB801001BB8010066
-:10E070001CB801001DB801001EB801001FB8010046
-:10E0800020B8010021B8010022B8010023B8010026
-:10E0900024B8010025B8010026B8010027B8010006
-:10E0A00028B8010029B801002AB801002BB80100E6
-:10E0B0002CB801002DB801002EB801002FB80100C6
-:10E0C00030B8010031B8010032B8010033B80100A6
-:10E0D00034B8010035B8010036B8010037B8010086
-:10E0E00038B8010039B801003AB801003BB8010066
-:10E0F0003CB801003DB801003EB801003FB8010046
-:10E1000040B8010041B8010042B8010043B8010025
-:10E1100044B8010045B8010046B8010047B8010005
-:10E1200048B8010049B801004AB801004BB80100E5
-:10E130004CB801004DB801004EB801004FB80100C5
-:10E1400050B8010051B8010052B8010053B80100A5
-:10E1500054B8010055B8010056B8010057B8010085
-:10E1600058B8010059B801005AB801005BB8010065
-:10E170005CB801005DB801005EB801005FB8010045
-:10E1800060B8010061B8010062B8010063B8010025
-:10E1900064B8010065B8010066B8010067B8010005
-:10E1A00068B8010069B801006AB801006BB80100E5
-:10E1B0006CB801006DB801006EB801006FB80100C5
-:10E1C00070B8010071B8010072B8010073B80100A5
-:10E1D00074B8010075B8010076B8010077B8010085
-:10E1E00078B8010079B801007AB801007BB8010065
-:10E1F0007CB801007DB801007EB801007FB8010045
-:10E2000080B8010081B8010082B8010083B8010024
-:10E2100084B8010085B8010086B8010087B8010004
-:10E2200088B8010089B801008AB801008BB80100E4
-:10E230008CB801008DB801008EB801008FB80100C4
-:10E2400090B8010091B8010092B8010093B80100A4
-:10E2500094B8010095B8010096B8010097B8010084
-:10E2600098B8010099B801009AB801009BB8010064
-:10E270009CB801009DB801009EB801009FB8010044
-:10E28000A0B80100A1B80100A2B80100A3B8010024
-:10E29000A4B80100A5B80100A6B80100A7B8010004
-:10E2A000A8B80100A9B80100AAB80100ABB80100E4
-:10E2B000ACB80100ADB80100AEB80100AFB80100C4
-:10E2C000B0B80100B1B80100B2B80100B3B80100A4
-:10E2D000B4B80100B5B80100B6B80100B7B8010084
-:10E2E000B8B80100B9B80100BAB80100BBB8010064
-:10E2F000BCB80100BDB80100BEB80100BFB8010044
-:10E30000C0B80100C1B80100C2B80100C3B8010023
-:10E31000C4B80100C5B80100C6B80100C7B8010003
-:10E32000C8B80100C9B80100CAB80100CBB80100E3
-:10E33000CCB80100CDB80100CEB80100CFB80100C3
-:10E34000D0B80100D1B80100D2B80100D3B80100A3
-:10E35000D4B80100D5B80100D6B80100D7B8010083
-:10E36000D8B80100D9B80100DAB80100DBB8010063
-:10E37000DCB80100DDB80100DEB80100DFB8010043
-:10E38000E0B80100E1B80100E2B80100E3B8010023
-:10E39000E4B80100E5B80100E6B80100E7B8010003
-:10E3A000E8B80100E9B80100EAB80100EBB80100E3
-:10E3B000ECB80100EDB80100EEB80100EFB80100C3
-:10E3C000F0B80100F1B80100F2B80100F3B80100A3
-:10E3D000F4B80100F5B80100F6B80100F7B8010083
-:10E3E000F8B80100F9B80100FAB80100FBB8010063
-:10E3F000FCB80100FDB80100FEB80100FFB8010043
-:10E4000000B9010001B9010002B9010003B901001E
-:10E4100004B9010005B9010006B9010007B90100FE
-:10E4200008B9010009B901000AB901000BB90100DE
-:10E430000CB901000DB901000EB901000FB90100BE
-:10E4400010B9010011B9010012B9010013B901009E
-:10E4500014B9010015B9010016B9010017B901007E
-:10E4600018B9010019B901001AB901001BB901005E
-:10E470001CB901001DB901001EB901001FB901003E
-:10E4800020B9010021B9010022B9010023B901001E
-:10E4900024B9010025B9010026B9010027B90100FE
-:10E4A00028B9010029B901002AB901002BB90100DE
-:10E4B0002CB901002DB901002EB901002FB90100BE
-:10E4C00030B9010031B9010032B9010033B901009E
-:10E4D00034B9010035B9010036B9010037B901007E
-:10E4E00038B9010039B901003AB901003BB901005E
-:10E4F0003CB901003DB901003EB901003FB901003E
-:10E5000040B9010041B9010042B9010043B901001D
-:10E5100044B9010045B9010046B9010047B90100FD
-:10E5200048B9010049B901004AB901004BB90100DD
-:10E530004CB901004DB901004EB901004FB90100BD
-:10E5400050B9010051B9010052B9010053B901009D
-:10E5500054B9010055B9010056B9010057B901007D
-:10E5600058B9010059B901005AB901005BB901005D
-:10E570005CB901005DB901005EB901005FB901003D
-:10E5800060B9010061B9010062B9010063B901001D
-:10E5900064B9010065B9010066B9010067B90100FD
-:10E5A00068B9010069B901006AB901006BB90100DD
-:10E5B0006CB901006DB901006EB901006FB90100BD
-:10E5C00070B9010071B9010072B9010073B901009D
-:10E5D00074B9010075B9010076B9010077B901007D
-:10E5E00078B9010079B901007AB901007BB901005D
-:10E5F0007CB901007DB901007EB901007FB901003D
-:10E6000080B9010081B9010082B9010083B901001C
-:10E6100084B9010085B9010086B9010087B90100FC
-:10E6200088B9010089B901008AB901008BB90100DC
-:10E630008CB901008DB901008EB901008FB90100BC
-:10E6400090B9010091B9010092B9010093B901009C
-:10E6500094B9010095B9010096B9010097B901007C
-:10E6600098B9010099B901009AB901009BB901005C
-:10E670009CB901009DB901009EB901009FB901003C
-:10E68000A0B90100A1B90100A2B90100A3B901001C
-:10E69000A4B90100A5B90100A6B90100A7B90100FC
-:10E6A000A8B90100A9B90100AAB90100ABB90100DC
-:10E6B000ACB90100ADB90100AEB90100AFB90100BC
-:10E6C000B0B90100B1B90100B2B90100B3B901009C
-:10E6D000B4B90100B5B90100B6B90100B7B901007C
-:10E6E000B8B90100B9B90100BAB90100BBB901005C
-:10E6F000BCB90100BDB90100BEB90100BFB901003C
-:10E70000C0B90100C1B90100C2B90100C3B901001B
-:10E71000C4B90100C5B90100C6B90100C7B90100FB
-:10E72000C8B90100C9B90100CAB90100CBB90100DB
-:10E73000CCB90100CDB90100CEB90100CFB90100BB
-:10E74000D0B90100D1B90100D2B90100D3B901009B
-:10E75000D4B90100D5B90100D6B90100D7B901007B
-:10E76000D8B90100D9B90100DAB90100DBB901005B
-:10E77000DCB90100DDB90100DEB90100DFB901003B
-:10E78000E0B90100E1B90100E2B90100E3B901001B
-:10E79000E4B90100E5B90100E6B90100E7B90100FB
-:10E7A000E8B90100E9B90100EAB90100EBB90100DB
-:10E7B000ECB90100EDB90100EEB90100EFB90100BB
-:10E7C000F0B90100F1B90100F2B90100F3B901009B
-:10E7D000F4B90100F5B90100F6B90100F7B901007B
-:10E7E000F8B90100F9B90100FAB90100FBB901005B
-:10E7F000FCB90100FDB90100FEB90100FFB901003B
-:10E8000000BA010001BA010002BA010003BA010016
-:10E8100004BA010005BA010006BA010007BA0100F6
-:10E8200008BA010009BA01000ABA01000BBA0100D6
-:10E830000CBA01000DBA01000EBA01000FBA0100B6
-:10E8400010BA010011BA010012BA010013BA010096
-:10E8500014BA010015BA010016BA010017BA010076
-:10E8600018BA010019BA01001ABA01001BBA010056
-:10E870001CBA01001DBA01001EBA01001FBA010036
-:10E8800020BA010021BA010022BA010023BA010016
-:10E8900024BA010025BA010026BA010027BA0100F6
-:10E8A00028BA010029BA01002ABA01002BBA0100D6
-:10E8B0002CBA01002DBA01002EBA01002FBA0100B6
-:10E8C00030BA010031BA010032BA010033BA010096
-:10E8D00034BA010035BA010036BA010037BA010076
-:10E8E00038BA010039BA01003ABA01003BBA010056
-:10E8F0003CBA01003DBA01003EBA01003FBA010036
-:10E9000040BA010041BA010042BA010043BA010015
-:10E9100044BA010045BA010046BA010047BA0100F5
-:10E9200048BA010049BA01004ABA01004BBA0100D5
-:10E930004CBA01004DBA01004EBA01004FBA0100B5
-:10E9400050BA010051BA010052BA010053BA010095
-:10E9500054BA010055BA010056BA010057BA010075
-:10E9600058BA010059BA01005ABA01005BBA010055
-:10E970005CBA01005DBA01005EBA01005FBA010035
-:10E9800060BA010061BA010062BA010063BA010015
-:10E9900064BA010065BA010066BA010067BA0100F5
-:10E9A00068BA010069BA01006ABA01006BBA0100D5
-:10E9B0006CBA01006DBA01006EBA01006FBA0100B5
-:10E9C00070BA010071BA010072BA010073BA010095
-:10E9D00074BA010075BA010076BA010077BA010075
-:10E9E00078BA010079BA01007ABA01007BBA010055
-:10E9F0007CBA01007DBA01007EBA01007FBA010035
-:10EA000080BA010081BA010082BA010083BA010014
-:10EA100084BA010085BA010086BA010087BA0100F4
-:10EA200088BA010089BA01008ABA01008BBA0100D4
-:10EA30008CBA01008DBA01008EBA01008FBA0100B4
-:10EA400090BA010091BA010092BA010093BA010094
-:10EA500094BA010095BA010096BA010097BA010074
-:10EA600098BA010099BA01009ABA01009BBA010054
-:10EA70009CBA01009DBA01009EBA01009FBA010034
-:10EA8000A0BA0100A1BA0100A2BA0100A3BA010014
-:10EA9000A4BA0100A5BA0100A6BA0100A7BA0100F4
-:10EAA000A8BA0100A9BA0100AABA0100ABBA0100D4
-:10EAB000ACBA0100ADBA0100AEBA0100AFBA0100B4
-:10EAC000B0BA0100B1BA0100B2BA0100B3BA010094
-:10EAD000B4BA0100B5BA0100B6BA0100B7BA010074
-:10EAE000B8BA0100B9BA0100BABA0100BBBA010054
-:10EAF000BCBA0100BDBA0100BEBA0100BFBA010034
-:10EB0000C0BA0100C1BA0100C2BA0100C3BA010013
-:10EB1000C4BA0100C5BA0100C6BA0100C7BA0100F3
-:10EB2000C8BA0100C9BA0100CABA0100CBBA0100D3
-:10EB3000CCBA0100CDBA0100CEBA0100CFBA0100B3
-:10EB4000D0BA0100D1BA0100D2BA0100D3BA010093
-:10EB5000D4BA0100D5BA0100D6BA0100D7BA010073
-:10EB6000D8BA0100D9BA0100DABA0100DBBA010053
-:10EB7000DCBA0100DDBA0100DEBA0100DFBA010033
-:10EB8000E0BA0100E1BA0100E2BA0100E3BA010013
-:10EB9000E4BA0100E5BA0100E6BA0100E7BA0100F3
-:10EBA000E8BA0100E9BA0100EABA0100EBBA0100D3
-:10EBB000ECBA0100EDBA0100EEBA0100EFBA0100B3
-:10EBC000F0BA0100F1BA0100F2BA0100F3BA010093
-:10EBD000F4BA0100F5BA0100F6BA0100F7BA010073
-:10EBE000F8BA0100F9BA0100FABA0100FBBA010053
-:10EBF000FCBA0100FDBA0100FEBA0100FFBA010033
-:10EC000000BB010001BB010002BB010003BB01000E
-:10EC100004BB010005BB010006BB010007BB0100EE
-:10EC200008BB010009BB01000ABB01000BBB0100CE
-:10EC30000CBB01000DBB01000EBB01000FBB0100AE
-:10EC400010BB010011BB010012BB010013BB01008E
-:10EC500014BB010015BB010016BB010017BB01006E
-:10EC600018BB010019BB01001ABB01001BBB01004E
-:10EC70001CBB01001DBB01001EBB01001FBB01002E
-:10EC800020BB010021BB010022BB010023BB01000E
-:10EC900024BB010025BB010026BB010027BB0100EE
-:10ECA00028BB010029BB01002ABB01002BBB0100CE
-:10ECB0002CBB01002DBB01002EBB01002FBB0100AE
-:10ECC00030BB010031BB010032BB010033BB01008E
-:10ECD00034BB010035BB010036BB010037BB01006E
-:10ECE00038BB010039BB01003ABB01003BBB01004E
-:10ECF0003CBB01003DBB01003EBB01003FBB01002E
-:10ED000040BB010041BB010042BB010043BB01000D
-:10ED100044BB010045BB010046BB010047BB0100ED
-:10ED200048BB010049BB01004ABB01004BBB0100CD
-:10ED30004CBB01004DBB01004EBB01004FBB0100AD
-:10ED400050BB010051BB010052BB010053BB01008D
-:10ED500054BB010055BB010056BB010057BB01006D
-:10ED600058BB010059BB01005ABB01005BBB01004D
-:10ED70005CBB01005DBB01005EBB01005FBB01002D
-:10ED800060BB010061BB010062BB010063BB01000D
-:10ED900064BB010065BB010066BB010067BB0100ED
-:10EDA00068BB010069BB01006ABB01006BBB0100CD
-:10EDB0006CBB01006DBB01006EBB01006FBB0100AD
-:10EDC00070BB010071BB010072BB010073BB01008D
-:10EDD00074BB010075BB010076BB010077BB01006D
-:10EDE00078BB010079BB01007ABB01007BBB01004D
-:10EDF0007CBB01007DBB01007EBB01007FBB01002D
-:10EE000080BB010081BB010082BB010083BB01000C
-:10EE100084BB010085BB010086BB010087BB0100EC
-:10EE200088BB010089BB01008ABB01008BBB0100CC
-:10EE30008CBB01008DBB01008EBB01008FBB0100AC
-:10EE400090BB010091BB010092BB010093BB01008C
-:10EE500094BB010095BB010096BB010097BB01006C
-:10EE600098BB010099BB01009ABB01009BBB01004C
-:10EE70009CBB01009DBB01009EBB01009FBB01002C
-:10EE8000A0BB0100A1BB0100A2BB0100A3BB01000C
-:10EE9000A4BB0100A5BB0100A6BB0100A7BB0100EC
-:10EEA000A8BB0100A9BB0100AABB0100ABBB0100CC
-:10EEB000ACBB0100ADBB0100AEBB0100AFBB0100AC
-:10EEC000B0BB0100B1BB0100B2BB0100B3BB01008C
-:10EED000B4BB0100B5BB0100B6BB0100B7BB01006C
-:10EEE000B8BB0100B9BB0100BABB0100BBBB01004C
-:10EEF000BCBB0100BDBB0100BEBB0100BFBB01002C
-:10EF0000C0BB0100C1BB0100C2BB0100C3BB01000B
-:10EF1000C4BB0100C5BB0100C6BB0100C7BB0100EB
-:10EF2000C8BB0100C9BB0100CABB0100CBBB0100CB
-:10EF3000CCBB0100CDBB0100CEBB0100CFBB0100AB
-:10EF4000D0BB0100D1BB0100D2BB0100D3BB01008B
-:10EF5000D4BB0100D5BB0100D6BB0100D7BB01006B
-:10EF6000D8BB0100D9BB0100DABB0100DBBB01004B
-:10EF7000DCBB0100DDBB0100DEBB0100DFBB01002B
-:10EF8000E0BB0100E1BB0100E2BB0100E3BB01000B
-:10EF9000E4BB0100E5BB0100E6BB0100E7BB0100EB
-:10EFA000E8BB0100E9BB0100EABB0100EBBB0100CB
-:10EFB000ECBB0100EDBB0100EEBB0100EFBB0100AB
-:10EFC000F0BB0100F1BB0100F2BB0100F3BB01008B
-:10EFD000F4BB0100F5BB0100F6BB0100F7BB01006B
-:10EFE000F8BB0100F9BB0100FABB0100FBBB01004B
-:10EFF000FCBB0100FDBB0100FEBB0100FFBB01002B
-:10F0000000BC010001BC010002BC010003BC010006
-:10F0100004BC010005BC010006BC010007BC0100E6
-:10F0200008BC010009BC01000ABC01000BBC0100C6
-:10F030000CBC01000DBC01000EBC01000FBC0100A6
-:10F0400010BC010011BC010012BC010013BC010086
-:10F0500014BC010015BC010016BC010017BC010066
-:10F0600018BC010019BC01001ABC01001BBC010046
-:10F070001CBC01001DBC01001EBC01001FBC010026
-:10F0800020BC010021BC010022BC010023BC010006
-:10F0900024BC010025BC010026BC010027BC0100E6
-:10F0A00028BC010029BC01002ABC01002BBC0100C6
-:10F0B0002CBC01002DBC01002EBC01002FBC0100A6
-:10F0C00030BC010031BC010032BC010033BC010086
-:10F0D00034BC010035BC010036BC010037BC010066
-:10F0E00038BC010039BC01003ABC01003BBC010046
-:10F0F0003CBC01003DBC01003EBC01003FBC010026
-:10F1000040BC010041BC010042BC010043BC010005
-:10F1100044BC010045BC010046BC010047BC0100E5
-:10F1200048BC010049BC01004ABC01004BBC0100C5
-:10F130004CBC01004DBC01004EBC01004FBC0100A5
-:10F1400050BC010051BC010052BC010053BC010085
-:10F1500054BC010055BC010056BC010057BC010065
-:10F1600058BC010059BC01005ABC01005BBC010045
-:10F170005CBC01005DBC01005EBC01005FBC010025
-:10F1800060BC010061BC010062BC010063BC010005
-:10F1900064BC010065BC010066BC010067BC0100E5
-:10F1A00068BC010069BC01006ABC01006BBC0100C5
-:10F1B0006CBC01006DBC01006EBC01006FBC0100A5
-:10F1C00070BC010071BC010072BC010073BC010085
-:10F1D00074BC010075BC010076BC010077BC010065
-:10F1E00078BC010079BC01007ABC01007BBC010045
-:10F1F0007CBC01007DBC01007EBC01007FBC010025
-:10F2000080BC010081BC010082BC010083BC010004
-:10F2100084BC010085BC010086BC010087BC0100E4
-:10F2200088BC010089BC01008ABC01008BBC0100C4
-:10F230008CBC01008DBC01008EBC01008FBC0100A4
-:10F2400090BC010091BC010092BC010093BC010084
-:10F2500094BC010095BC010096BC010097BC010064
-:10F2600098BC010099BC01009ABC01009BBC010044
-:10F270009CBC01009DBC01009EBC01009FBC010024
-:10F28000A0BC0100A1BC0100A2BC0100A3BC010004
-:10F29000A4BC0100A5BC0100A6BC0100A7BC0100E4
-:10F2A000A8BC0100A9BC0100AABC0100ABBC0100C4
-:10F2B000ACBC0100ADBC0100AEBC0100AFBC0100A4
-:10F2C000B0BC0100B1BC0100B2BC0100B3BC010084
-:10F2D000B4BC0100B5BC0100B6BC0100B7BC010064
-:10F2E000B8BC0100B9BC0100BABC0100BBBC010044
-:10F2F000BCBC0100BDBC0100BEBC0100BFBC010024
-:10F30000C0BC0100C1BC0100C2BC0100C3BC010003
-:10F31000C4BC0100C5BC0100C6BC0100C7BC0100E3
-:10F32000C8BC0100C9BC0100CABC0100CBBC0100C3
-:10F33000CCBC0100CDBC0100CEBC0100CFBC0100A3
-:10F34000D0BC0100D1BC0100D2BC0100D3BC010083
-:10F35000D4BC0100D5BC0100D6BC0100D7BC010063
-:10F36000D8BC0100D9BC0100DABC0100DBBC010043
-:10F37000DCBC0100DDBC0100DEBC0100DFBC010023
-:10F38000E0BC0100E1BC0100E2BC0100E3BC010003
-:10F39000E4BC0100E5BC0100E6BC0100E7BC0100E3
-:10F3A000E8BC0100E9BC0100EABC0100EBBC0100C3
-:10F3B000ECBC0100EDBC0100EEBC0100EFBC0100A3
-:10F3C000F0BC0100F1BC0100F2BC0100F3BC010083
-:10F3D000F4BC0100F5BC0100F6BC0100F7BC010063
-:10F3E000F8BC0100F9BC0100FABC0100FBBC010043
-:10F3F000FCBC0100FDBC0100FEBC0100FFBC010023
-:10F4000000BD010001BD010002BD010003BD0100FE
-:10F4100004BD010005BD010006BD010007BD0100DE
-:10F4200008BD010009BD01000ABD01000BBD0100BE
-:10F430000CBD01000DBD01000EBD01000FBD01009E
-:10F4400010BD010011BD010012BD010013BD01007E
-:10F4500014BD010015BD010016BD010017BD01005E
-:10F4600018BD010019BD01001ABD01001BBD01003E
-:10F470001CBD01001DBD01001EBD01001FBD01001E
-:10F4800020BD010021BD010022BD010023BD0100FE
-:10F4900024BD010025BD010026BD010027BD0100DE
-:10F4A00028BD010029BD01002ABD01002BBD0100BE
-:10F4B0002CBD01002DBD01002EBD01002FBD01009E
-:10F4C00030BD010031BD010032BD010033BD01007E
-:10F4D00034BD010035BD010036BD010037BD01005E
-:10F4E00038BD010039BD01003ABD01003BBD01003E
-:10F4F0003CBD01003DBD01003EBD01003FBD01001E
-:10F5000040BD010041BD010042BD010043BD0100FD
-:10F5100044BD010045BD010046BD010047BD0100DD
-:10F5200048BD010049BD01004ABD01004BBD0100BD
-:10F530004CBD01004DBD01004EBD01004FBD01009D
-:10F5400050BD010051BD010052BD010053BD01007D
-:10F5500054BD010055BD010056BD010057BD01005D
-:10F5600058BD010059BD01005ABD01005BBD01003D
-:10F570005CBD01005DBD01005EBD01005FBD01001D
-:10F5800060BD010061BD010062BD010063BD0100FD
-:10F5900064BD010065BD010066BD010067BD0100DD
-:10F5A00068BD010069BD01006ABD01006BBD0100BD
-:10F5B0006CBD01006DBD01006EBD01006FBD01009D
-:10F5C00070BD010071BD010072BD010073BD01007D
-:10F5D00074BD010075BD010076BD010077BD01005D
-:10F5E00078BD010079BD01007ABD01007BBD01003D
-:10F5F0007CBD01007DBD01007EBD01007FBD01001D
-:10F6000080BD010081BD010082BD010083BD0100FC
-:10F6100084BD010085BD010086BD010087BD0100DC
-:10F6200088BD010089BD01008ABD01008BBD0100BC
-:10F630008CBD01008DBD01008EBD01008FBD01009C
-:10F6400090BD010091BD010092BD010093BD01007C
-:10F6500094BD010095BD010096BD010097BD01005C
-:10F6600098BD010099BD01009ABD01009BBD01003C
-:10F670009CBD01009DBD01009EBD01009FBD01001C
-:10F68000A0BD0100A1BD0100A2BD0100A3BD0100FC
-:10F69000A4BD0100A5BD0100A6BD0100A7BD0100DC
-:10F6A000A8BD0100A9BD0100AABD0100ABBD0100BC
-:10F6B000ACBD0100ADBD0100AEBD0100AFBD01009C
-:10F6C000B0BD0100B1BD0100B2BD0100B3BD01007C
-:10F6D000B4BD0100B5BD0100B6BD0100B7BD01005C
-:10F6E000B8BD0100B9BD0100BABD0100BBBD01003C
-:10F6F000BCBD0100BDBD0100BEBD0100BFBD01001C
-:10F70000C0BD0100C1BD0100C2BD0100C3BD0100FB
-:10F71000C4BD0100C5BD0100C6BD0100C7BD0100DB
-:10F72000C8BD0100C9BD0100CABD0100CBBD0100BB
-:10F73000CCBD0100CDBD0100CEBD0100CFBD01009B
-:10F74000D0BD0100D1BD0100D2BD0100D3BD01007B
-:10F75000D4BD0100D5BD0100D6BD0100D7BD01005B
-:10F76000D8BD0100D9BD0100DABD0100DBBD01003B
-:10F77000DCBD0100DDBD0100DEBD0100DFBD01001B
-:10F78000E0BD0100E1BD0100E2BD0100E3BD0100FB
-:10F79000E4BD0100E5BD0100E6BD0100E7BD0100DB
-:10F7A000E8BD0100E9BD0100EABD0100EBBD0100BB
-:10F7B000ECBD0100EDBD0100EEBD0100EFBD01009B
-:10F7C000F0BD0100F1BD0100F2BD0100F3BD01007B
-:10F7D000F4BD0100F5BD0100F6BD0100F7BD01005B
-:10F7E000F8BD0100F9BD0100FABD0100FBBD01003B
-:10F7F000FCBD0100FDBD0100FEBD0100FFBD01001B
-:10F8000000BE010001BE010002BE010003BE0100F6
-:10F8100004BE010005BE010006BE010007BE0100D6
-:10F8200008BE010009BE01000ABE01000BBE0100B6
-:10F830000CBE01000DBE01000EBE01000FBE010096
-:10F8400010BE010011BE010012BE010013BE010076
-:10F8500014BE010015BE010016BE010017BE010056
-:10F8600018BE010019BE01001ABE01001BBE010036
-:10F870001CBE01001DBE01001EBE01001FBE010016
-:10F8800020BE010021BE010022BE010023BE0100F6
-:10F8900024BE010025BE010026BE010027BE0100D6
-:10F8A00028BE010029BE01002ABE01002BBE0100B6
-:10F8B0002CBE01002DBE01002EBE01002FBE010096
-:10F8C00030BE010031BE010032BE010033BE010076
-:10F8D00034BE010035BE010036BE010037BE010056
-:10F8E00038BE010039BE01003ABE01003BBE010036
-:10F8F0003CBE01003DBE01003EBE01003FBE010016
-:10F9000040BE010041BE010042BE010043BE0100F5
-:10F9100044BE010045BE010046BE010047BE0100D5
-:10F9200048BE010049BE01004ABE01004BBE0100B5
-:10F930004CBE01004DBE01004EBE01004FBE010095
-:10F9400050BE010051BE010052BE010053BE010075
-:10F9500054BE010055BE010056BE010057BE010055
-:10F9600058BE010059BE01005ABE01005BBE010035
-:10F970005CBE01005DBE01005EBE01005FBE010015
-:10F9800060BE010061BE010062BE010063BE0100F5
-:10F9900064BE010065BE010066BE010067BE0100D5
-:10F9A00068BE010069BE01006ABE01006BBE0100B5
-:10F9B0006CBE01006DBE01006EBE01006FBE010095
-:10F9C00070BE010071BE010072BE010073BE010075
-:10F9D00074BE010075BE010076BE010077BE010055
-:10F9E00078BE010079BE01007ABE01007BBE010035
-:10F9F0007CBE01007DBE01007EBE01007FBE010015
-:10FA000080BE010081BE010082BE010083BE0100F4
-:10FA100084BE010085BE010086BE010087BE0100D4
-:10FA200088BE010089BE01008ABE01008BBE0100B4
-:10FA30008CBE01008DBE01008EBE01008FBE010094
-:10FA400090BE010091BE010092BE010093BE010074
-:10FA500094BE010095BE010096BE010097BE010054
-:10FA600098BE010099BE01009ABE01009BBE010034
-:10FA70009CBE01009DBE01009EBE01009FBE010014
-:10FA8000A0BE0100A1BE0100A2BE0100A3BE0100F4
-:10FA9000A4BE0100A5BE0100A6BE0100A7BE0100D4
-:10FAA000A8BE0100A9BE0100AABE0100ABBE0100B4
-:10FAB000ACBE0100ADBE0100AEBE0100AFBE010094
-:10FAC000B0BE0100B1BE0100B2BE0100B3BE010074
-:10FAD000B4BE0100B5BE0100B6BE0100B7BE010054
-:10FAE000B8BE0100B9BE0100BABE0100BBBE010034
-:10FAF000BCBE0100BDBE0100BEBE0100BFBE010014
-:10FB0000C0BE0100C1BE0100C2BE0100C3BE0100F3
-:10FB1000C4BE0100C5BE0100C6BE0100C7BE0100D3
-:10FB2000C8BE0100C9BE0100CABE0100CBBE0100B3
-:10FB3000CCBE0100CDBE0100CEBE0100CFBE010093
-:10FB4000D0BE0100D1BE0100D2BE0100D3BE010073
-:10FB5000D4BE0100D5BE0100D6BE0100D7BE010053
-:10FB6000D8BE0100D9BE0100DABE0100DBBE010033
-:10FB7000DCBE0100DDBE0100DEBE0100DFBE010013
-:10FB8000E0BE0100E1BE0100E2BE0100E3BE0100F3
-:10FB9000E4BE0100E5BE0100E6BE0100E7BE0100D3
-:10FBA000E8BE0100E9BE0100EABE0100EBBE0100B3
-:10FBB000ECBE0100EDBE0100EEBE0100EFBE010093
-:10FBC000F0BE0100F1BE0100F2BE0100F3BE010073
-:10FBD000F4BE0100F5BE0100F6BE0100F7BE010053
-:10FBE000F8BE0100F9BE0100FABE0100FBBE010033
-:10FBF000FCBE0100FDBE0100FEBE0100FFBE010013
-:10FC000000BF010001BF010002BF010003BF0100EE
-:10FC100004BF010005BF010006BF010007BF0100CE
-:10FC200008BF010009BF01000ABF01000BBF0100AE
-:10FC30000CBF01000DBF01000EBF01000FBF01008E
-:10FC400010BF010011BF010012BF010013BF01006E
-:10FC500014BF010015BF010016BF010017BF01004E
-:10FC600018BF010019BF01001ABF01001BBF01002E
-:10FC70001CBF01001DBF01001EBF01001FBF01000E
-:10FC800020BF010021BF010022BF010023BF0100EE
-:10FC900024BF010025BF010026BF010027BF0100CE
-:10FCA00028BF010029BF01002ABF01002BBF0100AE
-:10FCB0002CBF01002DBF01002EBF01002FBF01008E
-:10FCC00030BF010031BF010032BF010033BF01006E
-:10FCD00034BF010035BF010036BF010037BF01004E
-:10FCE00038BF010039BF01003ABF01003BBF01002E
-:10FCF0003CBF01003DBF01003EBF01003FBF01000E
-:10FD000040BF010041BF010042BF010043BF0100ED
-:10FD100044BF010045BF010046BF010047BF0100CD
-:10FD200048BF010049BF01004ABF01004BBF0100AD
-:10FD30004CBF01004DBF01004EBF01004FBF01008D
-:10FD400050BF010051BF010052BF010053BF01006D
-:10FD500054BF010055BF010056BF010057BF01004D
-:10FD600058BF010059BF01005ABF01005BBF01002D
-:10FD70005CBF01005DBF01005EBF01005FBF01000D
-:10FD800060BF010061BF010062BF010063BF0100ED
-:10FD900064BF010065BF010066BF010067BF0100CD
-:10FDA00068BF010069BF01006ABF01006BBF0100AD
-:10FDB0006CBF01006DBF01006EBF01006FBF01008D
-:10FDC00070BF010071BF010072BF010073BF01006D
-:10FDD00074BF010075BF010076BF010077BF01004D
-:10FDE00078BF010079BF01007ABF01007BBF01002D
-:10FDF0007CBF01007DBF01007EBF01007FBF01000D
-:10FE000080BF010081BF010082BF010083BF0100EC
-:10FE100084BF010085BF010086BF010087BF0100CC
-:10FE200088BF010089BF01008ABF01008BBF0100AC
-:10FE30008CBF01008DBF01008EBF01008FBF01008C
-:10FE400090BF010091BF010092BF010093BF01006C
-:10FE500094BF010095BF010096BF010097BF01004C
-:10FE600098BF010099BF01009ABF01009BBF01002C
-:10FE70009CBF01009DBF01009EBF01009FBF01000C
-:10FE8000A0BF0100A1BF0100A2BF0100A3BF0100EC
-:10FE9000A4BF0100A5BF0100A6BF0100A7BF0100CC
-:10FEA000A8BF0100A9BF0100AABF0100ABBF0100AC
-:10FEB000ACBF0100ADBF0100AEBF0100AFBF01008C
-:10FEC000B0BF0100B1BF0100B2BF0100B3BF01006C
-:10FED000B4BF0100B5BF0100B6BF0100B7BF01004C
-:10FEE000B8BF0100B9BF0100BABF0100BBBF01002C
-:10FEF000BCBF0100BDBF0100BEBF0100BFBF01000C
-:10FF0000C0BF0100C1BF0100C2BF0100C3BF0100EB
-:10FF1000C4BF0100C5BF0100C6BF0100C7BF0100CB
-:10FF2000C8BF0100C9BF0100CABF0100CBBF0100AB
-:10FF3000CCBF0100CDBF0100CEBF0100CFBF01008B
-:10FF4000D0BF0100D1BF0100D2BF0100D3BF01006B
-:10FF5000D4BF0100D5BF0100D6BF0100D7BF01004B
-:10FF6000D8BF0100D9BF0100DABF0100DBBF01002B
-:10FF7000DCBF0100DDBF0100DEBF0100DFBF01000B
-:10FF8000E0BF0100E1BF0100E2BF0100E3BF0100EB
-:10FF9000E4BF0100E5BF0100E6BF0100E7BF0100CB
-:10FFA000E8BF0100E9BF0100EABF0100EBBF0100AB
-:10FFB000ECBF0100EDBF0100EEBF0100EFBF01008B
-:10FFC000F0BF0100F1BF0100F2BF0100F3BF01006B
-:10FFD000F4BF0100F5BF0100F6BF0100F7BF01004B
-:10FFE000F8BF0100F9BF0100FABF0100FBBF01002B
-:10FFF000FCBF0100FDBF0100FEBF0100FFBF01000B
-:0200000270008C
-:1000000000C0010001C0010002C0010003C00100E6
-:1000100004C0010005C0010006C0010007C00100C6
-:1000200008C0010009C001000AC001000BC00100A6
-:100030000CC001000DC001000EC001000FC0010086
-:1000400010C0010011C0010012C0010013C0010066
-:1000500014C0010015C0010016C0010017C0010046
-:1000600018C0010019C001001AC001001BC0010026
-:100070001CC001001DC001001EC001001FC0010006
-:1000800020C0010021C0010022C0010023C00100E6
-:1000900024C0010025C0010026C0010027C00100C6
-:1000A00028C0010029C001002AC001002BC00100A6
-:1000B0002CC001002DC001002EC001002FC0010086
-:1000C00030C0010031C0010032C0010033C0010066
-:1000D00034C0010035C0010036C0010037C0010046
-:1000E00038C0010039C001003AC001003BC0010026
-:1000F0003CC001003DC001003EC001003FC0010006
-:1001000040C0010041C0010042C0010043C00100E5
-:1001100044C0010045C0010046C0010047C00100C5
-:1001200048C0010049C001004AC001004BC00100A5
-:100130004CC001004DC001004EC001004FC0010085
-:1001400050C0010051C0010052C0010053C0010065
-:1001500054C0010055C0010056C0010057C0010045
-:1001600058C0010059C001005AC001005BC0010025
-:100170005CC001005DC001005EC001005FC0010005
-:1001800060C0010061C0010062C0010063C00100E5
-:1001900064C0010065C0010066C0010067C00100C5
-:1001A00068C0010069C001006AC001006BC00100A5
-:1001B0006CC001006DC001006EC001006FC0010085
-:1001C00070C0010071C0010072C0010073C0010065
-:1001D00074C0010075C0010076C0010077C0010045
-:1001E00078C0010079C001007AC001007BC0010025
-:1001F0007CC001007DC001007EC001007FC0010005
-:1002000080C0010081C0010082C0010083C00100E4
-:1002100084C0010085C0010086C0010087C00100C4
-:1002200088C0010089C001008AC001008BC00100A4
-:100230008CC001008DC001008EC001008FC0010084
-:1002400090C0010091C0010092C0010093C0010064
-:1002500094C0010095C0010096C0010097C0010044
-:1002600098C0010099C001009AC001009BC0010024
-:100270009CC001009DC001009EC001009FC0010004
-:10028000A0C00100A1C00100A2C00100A3C00100E4
-:10029000A4C00100A5C00100A6C00100A7C00100C4
-:1002A000A8C00100A9C00100AAC00100ABC00100A4
-:1002B000ACC00100ADC00100AEC00100AFC0010084
-:1002C000B0C00100B1C00100B2C00100B3C0010064
-:1002D000B4C00100B5C00100B6C00100B7C0010044
-:1002E000B8C00100B9C00100BAC00100BBC0010024
-:1002F000BCC00100BDC00100BEC00100BFC0010004
-:10030000C0C00100C1C00100C2C00100C3C00100E3
-:10031000C4C00100C5C00100C6C00100C7C00100C3
-:10032000C8C00100C9C00100CAC00100CBC00100A3
-:10033000CCC00100CDC00100CEC00100CFC0010083
-:10034000D0C00100D1C00100D2C00100D3C0010063
-:10035000D4C00100D5C00100D6C00100D7C0010043
-:10036000D8C00100D9C00100DAC00100DBC0010023
-:10037000DCC00100DDC00100DEC00100DFC0010003
-:10038000E0C00100E1C00100E2C00100E3C00100E3
-:10039000E4C00100E5C00100E6C00100E7C00100C3
-:1003A000E8C00100E9C00100EAC00100EBC00100A3
-:1003B000ECC00100EDC00100EEC00100EFC0010083
-:1003C000F0C00100F1C00100F2C00100F3C0010063
-:1003D000F4C00100F5C00100F6C00100F7C0010043
-:1003E000F8C00100F9C00100FAC00100FBC0010023
-:1003F000FCC00100FDC00100FEC00100FFC0010003
-:1004000000C1010001C1010002C1010003C10100DE
-:1004100004C1010005C1010006C1010007C10100BE
-:1004200008C1010009C101000AC101000BC101009E
-:100430000CC101000DC101000EC101000FC101007E
-:1004400010C1010011C1010012C1010013C101005E
-:1004500014C1010015C1010016C1010017C101003E
-:1004600018C1010019C101001AC101001BC101001E
-:100470001CC101001DC101001EC101001FC10100FE
-:1004800020C1010021C1010022C1010023C10100DE
-:1004900024C1010025C1010026C1010027C10100BE
-:1004A00028C1010029C101002AC101002BC101009E
-:1004B0002CC101002DC101002EC101002FC101007E
-:1004C00030C1010031C1010032C1010033C101005E
-:1004D00034C1010035C1010036C1010037C101003E
-:1004E00038C1010039C101003AC101003BC101001E
-:1004F0003CC101003DC101003EC101003FC10100FE
-:1005000040C1010041C1010042C1010043C10100DD
-:1005100044C1010045C1010046C1010047C10100BD
-:1005200048C1010049C101004AC101004BC101009D
-:100530004CC101004DC101004EC101004FC101007D
-:1005400050C1010051C1010052C1010053C101005D
-:1005500054C1010055C1010056C1010057C101003D
-:1005600058C1010059C101005AC101005BC101001D
-:100570005CC101005DC101005EC101005FC10100FD
-:1005800060C1010061C1010062C1010063C10100DD
-:1005900064C1010065C1010066C1010067C10100BD
-:1005A00068C1010069C101006AC101006BC101009D
-:1005B0006CC101006DC101006EC101006FC101007D
-:1005C00070C1010071C1010072C1010073C101005D
-:1005D00074C1010075C1010076C1010077C101003D
-:1005E00078C1010079C101007AC101007BC101001D
-:1005F0007CC101007DC101007EC101007FC10100FD
-:1006000080C1010081C1010082C1010083C10100DC
-:1006100084C1010085C1010086C1010087C10100BC
-:1006200088C1010089C101008AC101008BC101009C
-:100630008CC101008DC101008EC101008FC101007C
-:1006400090C1010091C1010092C1010093C101005C
-:1006500094C1010095C1010096C1010097C101003C
-:1006600098C1010099C101009AC101009BC101001C
-:100670009CC101009DC101009EC101009FC10100FC
-:10068000A0C10100A1C10100A2C10100A3C10100DC
-:10069000A4C10100A5C10100A6C10100A7C10100BC
-:1006A000A8C10100A9C10100AAC10100ABC101009C
-:1006B000ACC10100ADC10100AEC10100AFC101007C
-:1006C000B0C10100B1C10100B2C10100B3C101005C
-:1006D000B4C10100B5C10100B6C10100B7C101003C
-:1006E000B8C10100B9C10100BAC10100BBC101001C
-:1006F000BCC10100BDC10100BEC10100BFC10100FC
-:10070000C0C10100C1C10100C2C10100C3C10100DB
-:10071000C4C10100C5C10100C6C10100C7C10100BB
-:10072000C8C10100C9C10100CAC10100CBC101009B
-:10073000CCC10100CDC10100CEC10100CFC101007B
-:10074000D0C10100D1C10100D2C10100D3C101005B
-:10075000D4C10100D5C10100D6C10100D7C101003B
-:10076000D8C10100D9C10100DAC10100DBC101001B
-:10077000DCC10100DDC10100DEC10100DFC10100FB
-:10078000E0C10100E1C10100E2C10100E3C10100DB
-:10079000E4C10100E5C10100E6C10100E7C10100BB
-:1007A000E8C10100E9C10100EAC10100EBC101009B
-:1007B000ECC10100EDC10100EEC10100EFC101007B
-:1007C000F0C10100F1C10100F2C10100F3C101005B
-:1007D000F4C10100F5C10100F6C10100F7C101003B
-:1007E000F8C10100F9C10100FAC10100FBC101001B
-:1007F000FCC10100FDC10100FEC10100FFC10100FB
-:1008000000C2010001C2010002C2010003C20100D6
-:1008100004C2010005C2010006C2010007C20100B6
-:1008200008C2010009C201000AC201000BC2010096
-:100830000CC201000DC201000EC201000FC2010076
-:1008400010C2010011C2010012C2010013C2010056
-:1008500014C2010015C2010016C2010017C2010036
-:1008600018C2010019C201001AC201001BC2010016
-:100870001CC201001DC201001EC201001FC20100F6
-:1008800020C2010021C2010022C2010023C20100D6
-:1008900024C2010025C2010026C2010027C20100B6
-:1008A00028C2010029C201002AC201002BC2010096
-:1008B0002CC201002DC201002EC201002FC2010076
-:1008C00030C2010031C2010032C2010033C2010056
-:1008D00034C2010035C2010036C2010037C2010036
-:1008E00038C2010039C201003AC201003BC2010016
-:1008F0003CC201003DC201003EC201003FC20100F6
-:1009000040C2010041C2010042C2010043C20100D5
-:1009100044C2010045C2010046C2010047C20100B5
-:1009200048C2010049C201004AC201004BC2010095
-:100930004CC201004DC201004EC201004FC2010075
-:1009400050C2010051C2010052C2010053C2010055
-:1009500054C2010055C2010056C2010057C2010035
-:1009600058C2010059C201005AC201005BC2010015
-:100970005CC201005DC201005EC201005FC20100F5
-:1009800060C2010061C2010062C2010063C20100D5
-:1009900064C2010065C2010066C2010067C20100B5
-:1009A00068C2010069C201006AC201006BC2010095
-:1009B0006CC201006DC201006EC201006FC2010075
-:1009C00070C2010071C2010072C2010073C2010055
-:1009D00074C2010075C2010076C2010077C2010035
-:1009E00078C2010079C201007AC201007BC2010015
-:1009F0007CC201007DC201007EC201007FC20100F5
-:100A000080C2010081C2010082C2010083C20100D4
-:100A100084C2010085C2010086C2010087C20100B4
-:100A200088C2010089C201008AC201008BC2010094
-:100A30008CC201008DC201008EC201008FC2010074
-:100A400090C2010091C2010092C2010093C2010054
-:100A500094C2010095C2010096C2010097C2010034
-:100A600098C2010099C201009AC201009BC2010014
-:100A70009CC201009DC201009EC201009FC20100F4
-:100A8000A0C20100A1C20100A2C20100A3C20100D4
-:100A9000A4C20100A5C20100A6C20100A7C20100B4
-:100AA000A8C20100A9C20100AAC20100ABC2010094
-:100AB000ACC20100ADC20100AEC20100AFC2010074
-:100AC000B0C20100B1C20100B2C20100B3C2010054
-:100AD000B4C20100B5C20100B6C20100B7C2010034
-:100AE000B8C20100B9C20100BAC20100BBC2010014
-:100AF000BCC20100BDC20100BEC20100BFC20100F4
-:100B0000C0C20100C1C20100C2C20100C3C20100D3
-:100B1000C4C20100C5C20100C6C20100C7C20100B3
-:100B2000C8C20100C9C20100CAC20100CBC2010093
-:100B3000CCC20100CDC20100CEC20100CFC2010073
-:100B4000D0C20100D1C20100D2C20100D3C2010053
-:100B5000D4C20100D5C20100D6C20100D7C2010033
-:100B6000D8C20100D9C20100DAC20100DBC2010013
-:100B7000DCC20100DDC20100DEC20100DFC20100F3
-:100B8000E0C20100E1C20100E2C20100E3C20100D3
-:100B9000E4C20100E5C20100E6C20100E7C20100B3
-:100BA000E8C20100E9C20100EAC20100EBC2010093
-:100BB000ECC20100EDC20100EEC20100EFC2010073
-:100BC000F0C20100F1C20100F2C20100F3C2010053
-:100BD000F4C20100F5C20100F6C20100F7C2010033
-:100BE000F8C20100F9C20100FAC20100FBC2010013
-:100BF000FCC20100FDC20100FEC20100FFC20100F3
-:100C000000C3010001C3010002C3010003C30100CE
-:100C100004C3010005C3010006C3010007C30100AE
-:100C200008C3010009C301000AC301000BC301008E
-:100C30000CC301000DC301000EC301000FC301006E
-:100C400010C3010011C3010012C3010013C301004E
-:100C500014C3010015C3010016C3010017C301002E
-:100C600018C3010019C301001AC301001BC301000E
-:100C70001CC301001DC301001EC301001FC30100EE
-:100C800020C3010021C3010022C3010023C30100CE
-:100C900024C3010025C3010026C3010027C30100AE
-:100CA00028C3010029C301002AC301002BC301008E
-:100CB0002CC301002DC301002EC301002FC301006E
-:100CC00030C3010031C3010032C3010033C301004E
-:100CD00034C3010035C3010036C3010037C301002E
-:100CE00038C3010039C301003AC301003BC301000E
-:100CF0003CC301003DC301003EC301003FC30100EE
-:100D000040C3010041C3010042C3010043C30100CD
-:100D100044C3010045C3010046C3010047C30100AD
-:100D200048C3010049C301004AC301004BC301008D
-:100D30004CC301004DC301004EC301004FC301006D
-:100D400050C3010051C3010052C3010053C301004D
-:100D500054C3010055C3010056C3010057C301002D
-:100D600058C3010059C301005AC301005BC301000D
-:100D70005CC301005DC301005EC301005FC30100ED
-:100D800060C3010061C3010062C3010063C30100CD
-:100D900064C3010065C3010066C3010067C30100AD
-:100DA00068C3010069C301006AC301006BC301008D
-:100DB0006CC301006DC301006EC301006FC301006D
-:100DC00070C3010071C3010072C3010073C301004D
-:100DD00074C3010075C3010076C3010077C301002D
-:100DE00078C3010079C301007AC301007BC301000D
-:100DF0007CC301007DC301007EC301007FC30100ED
-:100E000080C3010081C3010082C3010083C30100CC
-:100E100084C3010085C3010086C3010087C30100AC
-:100E200088C3010089C301008AC301008BC301008C
-:100E30008CC301008DC301008EC301008FC301006C
-:100E400090C3010091C3010092C3010093C301004C
-:100E500094C3010095C3010096C3010097C301002C
-:100E600098C3010099C301009AC301009BC301000C
-:100E70009CC301009DC301009EC301009FC30100EC
-:100E8000A0C30100A1C30100A2C30100A3C30100CC
-:100E9000A4C30100A5C30100A6C30100A7C30100AC
-:100EA000A8C30100A9C30100AAC30100ABC301008C
-:100EB000ACC30100ADC30100AEC30100AFC301006C
-:100EC000B0C30100B1C30100B2C30100B3C301004C
-:100ED000B4C30100B5C30100B6C30100B7C301002C
-:100EE000B8C30100B9C30100BAC30100BBC301000C
-:100EF000BCC30100BDC30100BEC30100BFC30100EC
-:100F0000C0C30100C1C30100C2C30100C3C30100CB
-:100F1000C4C30100C5C30100C6C30100C7C30100AB
-:100F2000C8C30100C9C30100CAC30100CBC301008B
-:100F3000CCC30100CDC30100CEC30100CFC301006B
-:100F4000D0C30100D1C30100D2C30100D3C301004B
-:100F5000D4C30100D5C30100D6C30100D7C301002B
-:100F6000D8C30100D9C30100DAC30100DBC301000B
-:100F7000DCC30100DDC30100DEC30100DFC30100EB
-:100F8000E0C30100E1C30100E2C30100E3C30100CB
-:100F9000E4C30100E5C30100E6C30100E7C30100AB
-:100FA000E8C30100E9C30100EAC30100EBC301008B
-:100FB000ECC30100EDC30100EEC30100EFC301006B
-:100FC000F0C30100F1C30100F2C30100F3C301004B
-:100FD000F4C30100F5C30100F6C30100F7C301002B
-:100FE000F8C30100F9C30100FAC30100FBC301000B
-:100FF000FCC30100FDC30100FEC30100FFC30100EB
-:1010000000C4010001C4010002C4010003C40100C6
-:1010100004C4010005C4010006C4010007C40100A6
-:1010200008C4010009C401000AC401000BC4010086
-:101030000CC401000DC401000EC401000FC4010066
-:1010400010C4010011C4010012C4010013C4010046
-:1010500014C4010015C4010016C4010017C4010026
-:1010600018C4010019C401001AC401001BC4010006
-:101070001CC401001DC401001EC401001FC40100E6
-:1010800020C4010021C4010022C4010023C40100C6
-:1010900024C4010025C4010026C4010027C40100A6
-:1010A00028C4010029C401002AC401002BC4010086
-:1010B0002CC401002DC401002EC401002FC4010066
-:1010C00030C4010031C4010032C4010033C4010046
-:1010D00034C4010035C4010036C4010037C4010026
-:1010E00038C4010039C401003AC401003BC4010006
-:1010F0003CC401003DC401003EC401003FC40100E6
-:1011000040C4010041C4010042C4010043C40100C5
-:1011100044C4010045C4010046C4010047C40100A5
-:1011200048C4010049C401004AC401004BC4010085
-:101130004CC401004DC401004EC401004FC4010065
-:1011400050C4010051C4010052C4010053C4010045
-:1011500054C4010055C4010056C4010057C4010025
-:1011600058C4010059C401005AC401005BC4010005
-:101170005CC401005DC401005EC401005FC40100E5
-:1011800060C4010061C4010062C4010063C40100C5
-:1011900064C4010065C4010066C4010067C40100A5
-:1011A00068C4010069C401006AC401006BC4010085
-:1011B0006CC401006DC401006EC401006FC4010065
-:1011C00070C4010071C4010072C4010073C4010045
-:1011D00074C4010075C4010076C4010077C4010025
-:1011E00078C4010079C401007AC401007BC4010005
-:1011F0007CC401007DC401007EC401007FC40100E5
-:1012000080C4010081C4010082C4010083C40100C4
-:1012100084C4010085C4010086C4010087C40100A4
-:1012200088C4010089C401008AC401008BC4010084
-:101230008CC401008DC401008EC401008FC4010064
-:1012400090C4010091C4010092C4010093C4010044
-:1012500094C4010095C4010096C4010097C4010024
-:1012600098C4010099C401009AC401009BC4010004
-:101270009CC401009DC401009EC401009FC40100E4
-:10128000A0C40100A1C40100A2C40100A3C40100C4
-:10129000A4C40100A5C40100A6C40100A7C40100A4
-:1012A000A8C40100A9C40100AAC40100ABC4010084
-:1012B000ACC40100ADC40100AEC40100AFC4010064
-:1012C000B0C40100B1C40100B2C40100B3C4010044
-:1012D000B4C40100B5C40100B6C40100B7C4010024
-:1012E000B8C40100B9C40100BAC40100BBC4010004
-:1012F000BCC40100BDC40100BEC40100BFC40100E4
-:10130000C0C40100C1C40100C2C40100C3C40100C3
-:10131000C4C40100C5C40100C6C40100C7C40100A3
-:10132000C8C40100C9C40100CAC40100CBC4010083
-:10133000CCC40100CDC40100CEC40100CFC4010063
-:10134000D0C40100D1C40100D2C40100D3C4010043
-:10135000D4C40100D5C40100D6C40100D7C4010023
-:10136000D8C40100D9C40100DAC40100DBC4010003
-:10137000DCC40100DDC40100DEC40100DFC40100E3
-:10138000E0C40100E1C40100E2C40100E3C40100C3
-:10139000E4C40100E5C40100E6C40100E7C40100A3
-:1013A000E8C40100E9C40100EAC40100EBC4010083
-:1013B000ECC40100EDC40100EEC40100EFC4010063
-:1013C000F0C40100F1C40100F2C40100F3C4010043
-:1013D000F4C40100F5C40100F6C40100F7C4010023
-:1013E000F8C40100F9C40100FAC40100FBC4010003
-:1013F000FCC40100FDC40100FEC40100FFC40100E3
-:1014000000C5010001C5010002C5010003C50100BE
-:1014100004C5010005C5010006C5010007C501009E
-:1014200008C5010009C501000AC501000BC501007E
-:101430000CC501000DC501000EC501000FC501005E
-:1014400010C5010011C5010012C5010013C501003E
-:1014500014C5010015C5010016C5010017C501001E
-:1014600018C5010019C501001AC501001BC50100FE
-:101470001CC501001DC501001EC501001FC50100DE
-:1014800020C5010021C5010022C5010023C50100BE
-:1014900024C5010025C5010026C5010027C501009E
-:1014A00028C5010029C501002AC501002BC501007E
-:1014B0002CC501002DC501002EC501002FC501005E
-:1014C00030C5010031C5010032C5010033C501003E
-:1014D00034C5010035C5010036C5010037C501001E
-:1014E00038C5010039C501003AC501003BC50100FE
-:1014F0003CC501003DC501003EC501003FC50100DE
-:1015000040C5010041C5010042C5010043C50100BD
-:1015100044C5010045C5010046C5010047C501009D
-:1015200048C5010049C501004AC501004BC501007D
-:101530004CC501004DC501004EC501004FC501005D
-:1015400050C5010051C5010052C5010053C501003D
-:1015500054C5010055C5010056C5010057C501001D
-:1015600058C5010059C501005AC501005BC50100FD
-:101570005CC501005DC501005EC501005FC50100DD
-:1015800060C5010061C5010062C5010063C50100BD
-:1015900064C5010065C5010066C5010067C501009D
-:1015A00068C5010069C501006AC501006BC501007D
-:1015B0006CC501006DC501006EC501006FC501005D
-:1015C00070C5010071C5010072C5010073C501003D
-:1015D00074C5010075C5010076C5010077C501001D
-:1015E00078C5010079C501007AC501007BC50100FD
-:1015F0007CC501007DC501007EC501007FC50100DD
-:1016000080C5010081C5010082C5010083C50100BC
-:1016100084C5010085C5010086C5010087C501009C
-:1016200088C5010089C501008AC501008BC501007C
-:101630008CC501008DC501008EC501008FC501005C
-:1016400090C5010091C5010092C5010093C501003C
-:1016500094C5010095C5010096C5010097C501001C
-:1016600098C5010099C501009AC501009BC50100FC
-:101670009CC501009DC501009EC501009FC50100DC
-:10168000A0C50100A1C50100A2C50100A3C50100BC
-:10169000A4C50100A5C50100A6C50100A7C501009C
-:1016A000A8C50100A9C50100AAC50100ABC501007C
-:1016B000ACC50100ADC50100AEC50100AFC501005C
-:1016C000B0C50100B1C50100B2C50100B3C501003C
-:1016D000B4C50100B5C50100B6C50100B7C501001C
-:1016E000B8C50100B9C50100BAC50100BBC50100FC
-:1016F000BCC50100BDC50100BEC50100BFC50100DC
-:10170000C0C50100C1C50100C2C50100C3C50100BB
-:10171000C4C50100C5C50100C6C50100C7C501009B
-:10172000C8C50100C9C50100CAC50100CBC501007B
-:10173000CCC50100CDC50100CEC50100CFC501005B
-:10174000D0C50100D1C50100D2C50100D3C501003B
-:10175000D4C50100D5C50100D6C50100D7C501001B
-:10176000D8C50100D9C50100DAC50100DBC50100FB
-:10177000DCC50100DDC50100DEC50100DFC50100DB
-:10178000E0C50100E1C50100E2C50100E3C50100BB
-:10179000E4C50100E5C50100E6C50100E7C501009B
-:1017A000E8C50100E9C50100EAC50100EBC501007B
-:1017B000ECC50100EDC50100EEC50100EFC501005B
-:1017C000F0C50100F1C50100F2C50100F3C501003B
-:1017D000F4C50100F5C50100F6C50100F7C501001B
-:1017E000F8C50100F9C50100FAC50100FBC50100FB
-:1017F000FCC50100FDC50100FEC50100FFC50100DB
-:1018000000C6010001C6010002C6010003C60100B6
-:1018100004C6010005C6010006C6010007C6010096
-:1018200008C6010009C601000AC601000BC6010076
-:101830000CC601000DC601000EC601000FC6010056
-:1018400010C6010011C6010012C6010013C6010036
-:1018500014C6010015C6010016C6010017C6010016
-:1018600018C6010019C601001AC601001BC60100F6
-:101870001CC601001DC601001EC601001FC60100D6
-:1018800020C6010021C6010022C6010023C60100B6
-:1018900024C6010025C6010026C6010027C6010096
-:1018A00028C6010029C601002AC601002BC6010076
-:1018B0002CC601002DC601002EC601002FC6010056
-:1018C00030C6010031C6010032C6010033C6010036
-:1018D00034C6010035C6010036C6010037C6010016
-:1018E00038C6010039C601003AC601003BC60100F6
-:1018F0003CC601003DC601003EC601003FC60100D6
-:1019000040C6010041C6010042C6010043C60100B5
-:1019100044C6010045C6010046C6010047C6010095
-:1019200048C6010049C601004AC601004BC6010075
-:101930004CC601004DC601004EC601004FC6010055
-:1019400050C6010051C6010052C6010053C6010035
-:1019500054C6010055C6010056C6010057C6010015
-:1019600058C6010059C601005AC601005BC60100F5
-:101970005CC601005DC601005EC601005FC60100D5
-:1019800060C6010061C6010062C6010063C60100B5
-:1019900064C6010065C6010066C6010067C6010095
-:1019A00068C6010069C601006AC601006BC6010075
-:1019B0006CC601006DC601006EC601006FC6010055
-:1019C00070C6010071C6010072C6010073C6010035
-:1019D00074C6010075C6010076C6010077C6010015
-:1019E00078C6010079C601007AC601007BC60100F5
-:1019F0007CC601007DC601007EC601007FC60100D5
-:101A000080C6010081C6010082C6010083C60100B4
-:101A100084C6010085C6010086C6010087C6010094
-:101A200088C6010089C601008AC601008BC6010074
-:101A30008CC601008DC601008EC601008FC6010054
-:101A400090C6010091C6010092C6010093C6010034
-:101A500094C6010095C6010096C6010097C6010014
-:101A600098C6010099C601009AC601009BC60100F4
-:101A70009CC601009DC601009EC601009FC60100D4
-:101A8000A0C60100A1C60100A2C60100A3C60100B4
-:101A9000A4C60100A5C60100A6C60100A7C6010094
-:101AA000A8C60100A9C60100AAC60100ABC6010074
-:101AB000ACC60100ADC60100AEC60100AFC6010054
-:101AC000B0C60100B1C60100B2C60100B3C6010034
-:101AD000B4C60100B5C60100B6C60100B7C6010014
-:101AE000B8C60100B9C60100BAC60100BBC60100F4
-:101AF000BCC60100BDC60100BEC60100BFC60100D4
-:101B0000C0C60100C1C60100C2C60100C3C60100B3
-:101B1000C4C60100C5C60100C6C60100C7C6010093
-:101B2000C8C60100C9C60100CAC60100CBC6010073
-:101B3000CCC60100CDC60100CEC60100CFC6010053
-:101B4000D0C60100D1C60100D2C60100D3C6010033
-:101B5000D4C60100D5C60100D6C60100D7C6010013
-:101B6000D8C60100D9C60100DAC60100DBC60100F3
-:101B7000DCC60100DDC60100DEC60100DFC60100D3
-:101B8000E0C60100E1C60100E2C60100E3C60100B3
-:101B9000E4C60100E5C60100E6C60100E7C6010093
-:101BA000E8C60100E9C60100EAC60100EBC6010073
-:101BB000ECC60100EDC60100EEC60100EFC6010053
-:101BC000F0C60100F1C60100F2C60100F3C6010033
-:101BD000F4C60100F5C60100F6C60100F7C6010013
-:101BE000F8C60100F9C60100FAC60100FBC60100F3
-:101BF000FCC60100FDC60100FEC60100FFC60100D3
-:101C000000C7010001C7010002C7010003C70100AE
-:101C100004C7010005C7010006C7010007C701008E
-:101C200008C7010009C701000AC701000BC701006E
-:101C30000CC701000DC701000EC701000FC701004E
-:101C400010C7010011C7010012C7010013C701002E
-:101C500014C7010015C7010016C7010017C701000E
-:101C600018C7010019C701001AC701001BC70100EE
-:101C70001CC701001DC701001EC701001FC70100CE
-:101C800020C7010021C7010022C7010023C70100AE
-:101C900024C7010025C7010026C7010027C701008E
-:101CA00028C7010029C701002AC701002BC701006E
-:101CB0002CC701002DC701002EC701002FC701004E
-:101CC00030C7010031C7010032C7010033C701002E
-:101CD00034C7010035C7010036C7010037C701000E
-:101CE00038C7010039C701003AC701003BC70100EE
-:101CF0003CC701003DC701003EC701003FC70100CE
-:101D000040C7010041C7010042C7010043C70100AD
-:101D100044C7010045C7010046C7010047C701008D
-:101D200048C7010049C701004AC701004BC701006D
-:101D30004CC701004DC701004EC701004FC701004D
-:101D400050C7010051C7010052C7010053C701002D
-:101D500054C7010055C7010056C7010057C701000D
-:101D600058C7010059C701005AC701005BC70100ED
-:101D70005CC701005DC701005EC701005FC70100CD
-:101D800060C7010061C7010062C7010063C70100AD
-:101D900064C7010065C7010066C7010067C701008D
-:101DA00068C7010069C701006AC701006BC701006D
-:101DB0006CC701006DC701006EC701006FC701004D
-:101DC00070C7010071C7010072C7010073C701002D
-:101DD00074C7010075C7010076C7010077C701000D
-:101DE00078C7010079C701007AC701007BC70100ED
-:101DF0007CC701007DC701007EC701007FC70100CD
-:101E000080C7010081C7010082C7010083C70100AC
-:101E100084C7010085C7010086C7010087C701008C
-:101E200088C7010089C701008AC701008BC701006C
-:101E30008CC701008DC701008EC701008FC701004C
-:101E400090C7010091C7010092C7010093C701002C
-:101E500094C7010095C7010096C7010097C701000C
-:101E600098C7010099C701009AC701009BC70100EC
-:101E70009CC701009DC701009EC701009FC70100CC
-:101E8000A0C70100A1C70100A2C70100A3C70100AC
-:101E9000A4C70100A5C70100A6C70100A7C701008C
-:101EA000A8C70100A9C70100AAC70100ABC701006C
-:101EB000ACC70100ADC70100AEC70100AFC701004C
-:101EC000B0C70100B1C70100B2C70100B3C701002C
-:101ED000B4C70100B5C70100B6C70100B7C701000C
-:101EE000B8C70100B9C70100BAC70100BBC70100EC
-:101EF000BCC70100BDC70100BEC70100BFC70100CC
-:101F0000C0C70100C1C70100C2C70100C3C70100AB
-:101F1000C4C70100C5C70100C6C70100C7C701008B
-:101F2000C8C70100C9C70100CAC70100CBC701006B
-:101F3000CCC70100CDC70100CEC70100CFC701004B
-:101F4000D0C70100D1C70100D2C70100D3C701002B
-:101F5000D4C70100D5C70100D6C70100D7C701000B
-:101F6000D8C70100D9C70100DAC70100DBC70100EB
-:101F7000DCC70100DDC70100DEC70100DFC70100CB
-:101F8000E0C70100E1C70100E2C70100E3C70100AB
-:101F9000E4C70100E5C70100E6C70100E7C701008B
-:101FA000E8C70100E9C70100EAC70100EBC701006B
-:101FB000ECC70100EDC70100EEC70100EFC701004B
-:101FC000F0C70100F1C70100F2C70100F3C701002B
-:101FD000F4C70100F5C70100F6C70100F7C701000B
-:101FE000F8C70100F9C70100FAC70100FBC70100EB
-:101FF000FCC70100FDC70100FEC70100FFC70100CB
-:1020000000C8010001C8010002C8010003C80100A6
-:1020100004C8010005C8010006C8010007C8010086
-:1020200008C8010009C801000AC801000BC8010066
-:102030000CC801000DC801000EC801000FC8010046
-:1020400010C8010011C8010012C8010013C8010026
-:1020500014C8010015C8010016C8010017C8010006
-:1020600018C8010019C801001AC801001BC80100E6
-:102070001CC801001DC801001EC801001FC80100C6
-:1020800020C8010021C8010022C8010023C80100A6
-:1020900024C8010025C8010026C8010027C8010086
-:1020A00028C8010029C801002AC801002BC8010066
-:1020B0002CC801002DC801002EC801002FC8010046
-:1020C00030C8010031C8010032C8010033C8010026
-:1020D00034C8010035C8010036C8010037C8010006
-:1020E00038C8010039C801003AC801003BC80100E6
-:1020F0003CC801003DC801003EC801003FC80100C6
-:1021000040C8010041C8010042C8010043C80100A5
-:1021100044C8010045C8010046C8010047C8010085
-:1021200048C8010049C801004AC801004BC8010065
-:102130004CC801004DC801004EC801004FC8010045
-:1021400050C8010051C8010052C8010053C8010025
-:1021500054C8010055C8010056C8010057C8010005
-:1021600058C8010059C801005AC801005BC80100E5
-:102170005CC801005DC801005EC801005FC80100C5
-:1021800060C8010061C8010062C8010063C80100A5
-:1021900064C8010065C8010066C8010067C8010085
-:1021A00068C8010069C801006AC801006BC8010065
-:1021B0006CC801006DC801006EC801006FC8010045
-:1021C00070C8010071C8010072C8010073C8010025
-:1021D00074C8010075C8010076C8010077C8010005
-:1021E00078C8010079C801007AC801007BC80100E5
-:1021F0007CC801007DC801007EC801007FC80100C5
-:1022000080C8010081C8010082C8010083C80100A4
-:1022100084C8010085C8010086C8010087C8010084
-:1022200088C8010089C801008AC801008BC8010064
-:102230008CC801008DC801008EC801008FC8010044
-:1022400090C8010091C8010092C8010093C8010024
-:1022500094C8010095C8010096C8010097C8010004
-:1022600098C8010099C801009AC801009BC80100E4
-:102270009CC801009DC801009EC801009FC80100C4
-:10228000A0C80100A1C80100A2C80100A3C80100A4
-:10229000A4C80100A5C80100A6C80100A7C8010084
-:1022A000A8C80100A9C80100AAC80100ABC8010064
-:1022B000ACC80100ADC80100AEC80100AFC8010044
-:1022C000B0C80100B1C80100B2C80100B3C8010024
-:1022D000B4C80100B5C80100B6C80100B7C8010004
-:1022E000B8C80100B9C80100BAC80100BBC80100E4
-:1022F000BCC80100BDC80100BEC80100BFC80100C4
-:10230000C0C80100C1C80100C2C80100C3C80100A3
-:10231000C4C80100C5C80100C6C80100C7C8010083
-:10232000C8C80100C9C80100CAC80100CBC8010063
-:10233000CCC80100CDC80100CEC80100CFC8010043
-:10234000D0C80100D1C80100D2C80100D3C8010023
-:10235000D4C80100D5C80100D6C80100D7C8010003
-:10236000D8C80100D9C80100DAC80100DBC80100E3
-:10237000DCC80100DDC80100DEC80100DFC80100C3
-:10238000E0C80100E1C80100E2C80100E3C80100A3
-:10239000E4C80100E5C80100E6C80100E7C8010083
-:1023A000E8C80100E9C80100EAC80100EBC8010063
-:1023B000ECC80100EDC80100EEC80100EFC8010043
-:1023C000F0C80100F1C80100F2C80100F3C8010023
-:1023D000F4C80100F5C80100F6C80100F7C8010003
-:1023E000F8C80100F9C80100FAC80100FBC80100E3
-:1023F000FCC80100FDC80100FEC80100FFC80100C3
-:1024000000C9010001C9010002C9010003C901009E
-:1024100004C9010005C9010006C9010007C901007E
-:1024200008C9010009C901000AC901000BC901005E
-:102430000CC901000DC901000EC901000FC901003E
-:1024400010C9010011C9010012C9010013C901001E
-:1024500014C9010015C9010016C9010017C90100FE
-:1024600018C9010019C901001AC901001BC90100DE
-:102470001CC901001DC901001EC901001FC90100BE
-:1024800020C9010021C9010022C9010023C901009E
-:1024900024C9010025C9010026C9010027C901007E
-:1024A00028C9010029C901002AC901002BC901005E
-:1024B0002CC901002DC901002EC901002FC901003E
-:1024C00030C9010031C9010032C9010033C901001E
-:1024D00034C9010035C9010036C9010037C90100FE
-:1024E00038C9010039C901003AC901003BC90100DE
-:1024F0003CC901003DC901003EC901003FC90100BE
-:1025000040C9010041C9010042C9010043C901009D
-:1025100044C9010045C9010046C9010047C901007D
-:1025200048C9010049C901004AC901004BC901005D
-:102530004CC901004DC901004EC901004FC901003D
-:1025400050C9010051C9010052C9010053C901001D
-:1025500054C9010055C9010056C9010057C90100FD
-:1025600058C9010059C901005AC901005BC90100DD
-:102570005CC901005DC901005EC901005FC90100BD
-:1025800060C9010061C9010062C9010063C901009D
-:1025900064C9010065C9010066C9010067C901007D
-:1025A00068C9010069C901006AC901006BC901005D
-:1025B0006CC901006DC901006EC901006FC901003D
-:1025C00070C9010071C9010072C9010073C901001D
-:1025D00074C9010075C9010076C9010077C90100FD
-:1025E00078C9010079C901007AC901007BC90100DD
-:1025F0007CC901007DC901007EC901007FC90100BD
-:1026000080C9010081C9010082C9010083C901009C
-:1026100084C9010085C9010086C9010087C901007C
-:1026200088C9010089C901008AC901008BC901005C
-:102630008CC901008DC901008EC901008FC901003C
-:1026400090C9010091C9010092C9010093C901001C
-:1026500094C9010095C9010096C9010097C90100FC
-:1026600098C9010099C901009AC901009BC90100DC
-:102670009CC901009DC901009EC901009FC90100BC
-:10268000A0C90100A1C90100A2C90100A3C901009C
-:10269000A4C90100A5C90100A6C90100A7C901007C
-:1026A000A8C90100A9C90100AAC90100ABC901005C
-:1026B000ACC90100ADC90100AEC90100AFC901003C
-:1026C000B0C90100B1C90100B2C90100B3C901001C
-:1026D000B4C90100B5C90100B6C90100B7C90100FC
-:1026E000B8C90100B9C90100BAC90100BBC90100DC
-:1026F000BCC90100BDC90100BEC90100BFC90100BC
-:10270000C0C90100C1C90100C2C90100C3C901009B
-:10271000C4C90100C5C90100C6C90100C7C901007B
-:10272000C8C90100C9C90100CAC90100CBC901005B
-:10273000CCC90100CDC90100CEC90100CFC901003B
-:10274000D0C90100D1C90100D2C90100D3C901001B
-:10275000D4C90100D5C90100D6C90100D7C90100FB
-:10276000D8C90100D9C90100DAC90100DBC90100DB
-:10277000DCC90100DDC90100DEC90100DFC90100BB
-:10278000E0C90100E1C90100E2C90100E3C901009B
-:10279000E4C90100E5C90100E6C90100E7C901007B
-:1027A000E8C90100E9C90100EAC90100EBC901005B
-:1027B000ECC90100EDC90100EEC90100EFC901003B
-:1027C000F0C90100F1C90100F2C90100F3C901001B
-:1027D000F4C90100F5C90100F6C90100F7C90100FB
-:1027E000F8C90100F9C90100FAC90100FBC90100DB
-:1027F000FCC90100FDC90100FEC90100FFC90100BB
-:1028000000CA010001CA010002CA010003CA010096
-:1028100004CA010005CA010006CA010007CA010076
-:1028200008CA010009CA01000ACA01000BCA010056
-:102830000CCA01000DCA01000ECA01000FCA010036
-:1028400010CA010011CA010012CA010013CA010016
-:1028500014CA010015CA010016CA010017CA0100F6
-:1028600018CA010019CA01001ACA01001BCA0100D6
-:102870001CCA01001DCA01001ECA01001FCA0100B6
-:1028800020CA010021CA010022CA010023CA010096
-:1028900024CA010025CA010026CA010027CA010076
-:1028A00028CA010029CA01002ACA01002BCA010056
-:1028B0002CCA01002DCA01002ECA01002FCA010036
-:1028C00030CA010031CA010032CA010033CA010016
-:1028D00034CA010035CA010036CA010037CA0100F6
-:1028E00038CA010039CA01003ACA01003BCA0100D6
-:1028F0003CCA01003DCA01003ECA01003FCA0100B6
-:1029000040CA010041CA010042CA010043CA010095
-:1029100044CA010045CA010046CA010047CA010075
-:1029200048CA010049CA01004ACA01004BCA010055
-:102930004CCA01004DCA01004ECA01004FCA010035
-:1029400050CA010051CA010052CA010053CA010015
-:1029500054CA010055CA010056CA010057CA0100F5
-:1029600058CA010059CA01005ACA01005BCA0100D5
-:102970005CCA01005DCA01005ECA01005FCA0100B5
-:1029800060CA010061CA010062CA010063CA010095
-:1029900064CA010065CA010066CA010067CA010075
-:1029A00068CA010069CA01006ACA01006BCA010055
-:1029B0006CCA01006DCA01006ECA01006FCA010035
-:1029C00070CA010071CA010072CA010073CA010015
-:1029D00074CA010075CA010076CA010077CA0100F5
-:1029E00078CA010079CA01007ACA01007BCA0100D5
-:1029F0007CCA01007DCA01007ECA01007FCA0100B5
-:102A000080CA010081CA010082CA010083CA010094
-:102A100084CA010085CA010086CA010087CA010074
-:102A200088CA010089CA01008ACA01008BCA010054
-:102A30008CCA01008DCA01008ECA01008FCA010034
-:102A400090CA010091CA010092CA010093CA010014
-:102A500094CA010095CA010096CA010097CA0100F4
-:102A600098CA010099CA01009ACA01009BCA0100D4
-:102A70009CCA01009DCA01009ECA01009FCA0100B4
-:102A8000A0CA0100A1CA0100A2CA0100A3CA010094
-:102A9000A4CA0100A5CA0100A6CA0100A7CA010074
-:102AA000A8CA0100A9CA0100AACA0100ABCA010054
-:102AB000ACCA0100ADCA0100AECA0100AFCA010034
-:102AC000B0CA0100B1CA0100B2CA0100B3CA010014
-:102AD000B4CA0100B5CA0100B6CA0100B7CA0100F4
-:102AE000B8CA0100B9CA0100BACA0100BBCA0100D4
-:102AF000BCCA0100BDCA0100BECA0100BFCA0100B4
-:102B0000C0CA0100C1CA0100C2CA0100C3CA010093
-:102B1000C4CA0100C5CA0100C6CA0100C7CA010073
-:102B2000C8CA0100C9CA0100CACA0100CBCA010053
-:102B3000CCCA0100CDCA0100CECA0100CFCA010033
-:102B4000D0CA0100D1CA0100D2CA0100D3CA010013
-:102B5000D4CA0100D5CA0100D6CA0100D7CA0100F3
-:102B6000D8CA0100D9CA0100DACA0100DBCA0100D3
-:102B7000DCCA0100DDCA0100DECA0100DFCA0100B3
-:102B8000E0CA0100E1CA0100E2CA0100E3CA010093
-:102B9000E4CA0100E5CA0100E6CA0100E7CA010073
-:102BA000E8CA0100E9CA0100EACA0100EBCA010053
-:102BB000ECCA0100EDCA0100EECA0100EFCA010033
-:102BC000F0CA0100F1CA0100F2CA0100F3CA010013
-:102BD000F4CA0100F5CA0100F6CA0100F7CA0100F3
-:102BE000F8CA0100F9CA0100FACA0100FBCA0100D3
-:102BF000FCCA0100FDCA0100FECA0100FFCA0100B3
-:102C000000CB010001CB010002CB010003CB01008E
-:102C100004CB010005CB010006CB010007CB01006E
-:102C200008CB010009CB01000ACB01000BCB01004E
-:102C30000CCB01000DCB01000ECB01000FCB01002E
-:102C400010CB010011CB010012CB010013CB01000E
-:102C500014CB010015CB010016CB010017CB0100EE
-:102C600018CB010019CB01001ACB01001BCB0100CE
-:102C70001CCB01001DCB01001ECB01001FCB0100AE
-:102C800020CB010021CB010022CB010023CB01008E
-:102C900024CB010025CB010026CB010027CB01006E
-:102CA00028CB010029CB01002ACB01002BCB01004E
-:102CB0002CCB01002DCB01002ECB01002FCB01002E
-:102CC00030CB010031CB010032CB010033CB01000E
-:102CD00034CB010035CB010036CB010037CB0100EE
-:102CE00038CB010039CB01003ACB01003BCB0100CE
-:102CF0003CCB01003DCB01003ECB01003FCB0100AE
-:102D000040CB010041CB010042CB010043CB01008D
-:102D100044CB010045CB010046CB010047CB01006D
-:102D200048CB010049CB01004ACB01004BCB01004D
-:102D30004CCB01004DCB01004ECB01004FCB01002D
-:102D400050CB010051CB010052CB010053CB01000D
-:102D500054CB010055CB010056CB010057CB0100ED
-:102D600058CB010059CB01005ACB01005BCB0100CD
-:102D70005CCB01005DCB01005ECB01005FCB0100AD
-:102D800060CB010061CB010062CB010063CB01008D
-:102D900064CB010065CB010066CB010067CB01006D
-:102DA00068CB010069CB01006ACB01006BCB01004D
-:102DB0006CCB01006DCB01006ECB01006FCB01002D
-:102DC00070CB010071CB010072CB010073CB01000D
-:102DD00074CB010075CB010076CB010077CB0100ED
-:102DE00078CB010079CB01007ACB01007BCB0100CD
-:102DF0007CCB01007DCB01007ECB01007FCB0100AD
-:102E000080CB010081CB010082CB010083CB01008C
-:102E100084CB010085CB010086CB010087CB01006C
-:102E200088CB010089CB01008ACB01008BCB01004C
-:102E30008CCB01008DCB01008ECB01008FCB01002C
-:102E400090CB010091CB010092CB010093CB01000C
-:102E500094CB010095CB010096CB010097CB0100EC
-:102E600098CB010099CB01009ACB01009BCB0100CC
-:102E70009CCB01009DCB01009ECB01009FCB0100AC
-:102E8000A0CB0100A1CB0100A2CB0100A3CB01008C
-:102E9000A4CB0100A5CB0100A6CB0100A7CB01006C
-:102EA000A8CB0100A9CB0100AACB0100ABCB01004C
-:102EB000ACCB0100ADCB0100AECB0100AFCB01002C
-:102EC000B0CB0100B1CB0100B2CB0100B3CB01000C
-:102ED000B4CB0100B5CB0100B6CB0100B7CB0100EC
-:102EE000B8CB0100B9CB0100BACB0100BBCB0100CC
-:102EF000BCCB0100BDCB0100BECB0100BFCB0100AC
-:102F0000C0CB0100C1CB0100C2CB0100C3CB01008B
-:102F1000C4CB0100C5CB0100C6CB0100C7CB01006B
-:102F2000C8CB0100C9CB0100CACB0100CBCB01004B
-:102F3000CCCB0100CDCB0100CECB0100CFCB01002B
-:102F4000D0CB0100D1CB0100D2CB0100D3CB01000B
-:102F5000D4CB0100D5CB0100D6CB0100D7CB0100EB
-:102F6000D8CB0100D9CB0100DACB0100DBCB0100CB
-:102F7000DCCB0100DDCB0100DECB0100DFCB0100AB
-:102F8000E0CB0100E1CB0100E2CB0100E3CB01008B
-:102F9000E4CB0100E5CB0100E6CB0100E7CB01006B
-:102FA000E8CB0100E9CB0100EACB0100EBCB01004B
-:102FB000ECCB0100EDCB0100EECB0100EFCB01002B
-:102FC000F0CB0100F1CB0100F2CB0100F3CB01000B
-:102FD000F4CB0100F5CB0100F6CB0100F7CB0100EB
-:102FE000F8CB0100F9CB0100FACB0100FBCB0100CB
-:102FF000FCCB0100FDCB0100FECB0100FFCB0100AB
-:1030000000CC010001CC010002CC010003CC010086
-:1030100004CC010005CC010006CC010007CC010066
-:1030200008CC010009CC01000ACC01000BCC010046
-:103030000CCC01000DCC01000ECC01000FCC010026
-:1030400010CC010011CC010012CC010013CC010006
-:1030500014CC010015CC010016CC010017CC0100E6
-:1030600018CC010019CC01001ACC01001BCC0100C6
-:103070001CCC01001DCC01001ECC01001FCC0100A6
-:1030800020CC010021CC010022CC010023CC010086
-:1030900024CC010025CC010026CC010027CC010066
-:1030A00028CC010029CC01002ACC01002BCC010046
-:1030B0002CCC01002DCC01002ECC01002FCC010026
-:1030C00030CC010031CC010032CC010033CC010006
-:1030D00034CC010035CC010036CC010037CC0100E6
-:1030E00038CC010039CC01003ACC01003BCC0100C6
-:1030F0003CCC01003DCC01003ECC01003FCC0100A6
-:1031000040CC010041CC010042CC010043CC010085
-:1031100044CC010045CC010046CC010047CC010065
-:1031200048CC010049CC01004ACC01004BCC010045
-:103130004CCC01004DCC01004ECC01004FCC010025
-:1031400050CC010051CC010052CC010053CC010005
-:1031500054CC010055CC010056CC010057CC0100E5
-:1031600058CC010059CC01005ACC01005BCC0100C5
-:103170005CCC01005DCC01005ECC01005FCC0100A5
-:1031800060CC010061CC010062CC010063CC010085
-:1031900064CC010065CC010066CC010067CC010065
-:1031A00068CC010069CC01006ACC01006BCC010045
-:1031B0006CCC01006DCC01006ECC01006FCC010025
-:1031C00070CC010071CC010072CC010073CC010005
-:1031D00074CC010075CC010076CC010077CC0100E5
-:1031E00078CC010079CC01007ACC01007BCC0100C5
-:1031F0007CCC01007DCC01007ECC01007FCC0100A5
-:1032000080CC010081CC010082CC010083CC010084
-:1032100084CC010085CC010086CC010087CC010064
-:1032200088CC010089CC01008ACC01008BCC010044
-:103230008CCC01008DCC01008ECC01008FCC010024
-:1032400090CC010091CC010092CC010093CC010004
-:1032500094CC010095CC010096CC010097CC0100E4
-:1032600098CC010099CC01009ACC01009BCC0100C4
-:103270009CCC01009DCC01009ECC01009FCC0100A4
-:10328000A0CC0100A1CC0100A2CC0100A3CC010084
-:10329000A4CC0100A5CC0100A6CC0100A7CC010064
-:1032A000A8CC0100A9CC0100AACC0100ABCC010044
-:1032B000ACCC0100ADCC0100AECC0100AFCC010024
-:1032C000B0CC0100B1CC0100B2CC0100B3CC010004
-:1032D000B4CC0100B5CC0100B6CC0100B7CC0100E4
-:1032E000B8CC0100B9CC0100BACC0100BBCC0100C4
-:1032F000BCCC0100BDCC0100BECC0100BFCC0100A4
-:10330000C0CC0100C1CC0100C2CC0100C3CC010083
-:10331000C4CC0100C5CC0100C6CC0100C7CC010063
-:10332000C8CC0100C9CC0100CACC0100CBCC010043
-:10333000CCCC0100CDCC0100CECC0100CFCC010023
-:10334000D0CC0100D1CC0100D2CC0100D3CC010003
-:10335000D4CC0100D5CC0100D6CC0100D7CC0100E3
-:10336000D8CC0100D9CC0100DACC0100DBCC0100C3
-:10337000DCCC0100DDCC0100DECC0100DFCC0100A3
-:10338000E0CC0100E1CC0100E2CC0100E3CC010083
-:10339000E4CC0100E5CC0100E6CC0100E7CC010063
-:1033A000E8CC0100E9CC0100EACC0100EBCC010043
-:1033B000ECCC0100EDCC0100EECC0100EFCC010023
-:1033C000F0CC0100F1CC0100F2CC0100F3CC010003
-:1033D000F4CC0100F5CC0100F6CC0100F7CC0100E3
-:1033E000F8CC0100F9CC0100FACC0100FBCC0100C3
-:1033F000FCCC0100FDCC0100FECC0100FFCC0100A3
-:1034000000CD010001CD010002CD010003CD01007E
-:1034100004CD010005CD010006CD010007CD01005E
-:1034200008CD010009CD01000ACD01000BCD01003E
-:103430000CCD01000DCD01000ECD01000FCD01001E
-:1034400010CD010011CD010012CD010013CD0100FE
-:1034500014CD010015CD010016CD010017CD0100DE
-:1034600018CD010019CD01001ACD01001BCD0100BE
-:103470001CCD01001DCD01001ECD01001FCD01009E
-:1034800020CD010021CD010022CD010023CD01007E
-:1034900024CD010025CD010026CD010027CD01005E
-:1034A00028CD010029CD01002ACD01002BCD01003E
-:1034B0002CCD01002DCD01002ECD01002FCD01001E
-:1034C00030CD010031CD010032CD010033CD0100FE
-:1034D00034CD010035CD010036CD010037CD0100DE
-:1034E00038CD010039CD01003ACD01003BCD0100BE
-:1034F0003CCD01003DCD01003ECD01003FCD01009E
-:1035000040CD010041CD010042CD010043CD01007D
-:1035100044CD010045CD010046CD010047CD01005D
-:1035200048CD010049CD01004ACD01004BCD01003D
-:103530004CCD01004DCD01004ECD01004FCD01001D
-:1035400050CD010051CD010052CD010053CD0100FD
-:1035500054CD010055CD010056CD010057CD0100DD
-:1035600058CD010059CD01005ACD01005BCD0100BD
-:103570005CCD01005DCD01005ECD01005FCD01009D
-:1035800060CD010061CD010062CD010063CD01007D
-:1035900064CD010065CD010066CD010067CD01005D
-:1035A00068CD010069CD01006ACD01006BCD01003D
-:1035B0006CCD01006DCD01006ECD01006FCD01001D
-:1035C00070CD010071CD010072CD010073CD0100FD
-:1035D00074CD010075CD010076CD010077CD0100DD
-:1035E00078CD010079CD01007ACD01007BCD0100BD
-:1035F0007CCD01007DCD01007ECD01007FCD01009D
-:1036000080CD010081CD010082CD010083CD01007C
-:1036100084CD010085CD010086CD010087CD01005C
-:1036200088CD010089CD01008ACD01008BCD01003C
-:103630008CCD01008DCD01008ECD01008FCD01001C
-:1036400090CD010091CD010092CD010093CD0100FC
-:1036500094CD010095CD010096CD010097CD0100DC
-:1036600098CD010099CD01009ACD01009BCD0100BC
-:103670009CCD01009DCD01009ECD01009FCD01009C
-:10368000A0CD0100A1CD0100A2CD0100A3CD01007C
-:10369000A4CD0100A5CD0100A6CD0100A7CD01005C
-:1036A000A8CD0100A9CD0100AACD0100ABCD01003C
-:1036B000ACCD0100ADCD0100AECD0100AFCD01001C
-:1036C000B0CD0100B1CD0100B2CD0100B3CD0100FC
-:1036D000B4CD0100B5CD0100B6CD0100B7CD0100DC
-:1036E000B8CD0100B9CD0100BACD0100BBCD0100BC
-:1036F000BCCD0100BDCD0100BECD0100BFCD01009C
-:10370000C0CD0100C1CD0100C2CD0100C3CD01007B
-:10371000C4CD0100C5CD0100C6CD0100C7CD01005B
-:10372000C8CD0100C9CD0100CACD0100CBCD01003B
-:10373000CCCD0100CDCD0100CECD0100CFCD01001B
-:10374000D0CD0100D1CD0100D2CD0100D3CD0100FB
-:10375000D4CD0100D5CD0100D6CD0100D7CD0100DB
-:10376000D8CD0100D9CD0100DACD0100DBCD0100BB
-:10377000DCCD0100DDCD0100DECD0100DFCD01009B
-:10378000E0CD0100E1CD0100E2CD0100E3CD01007B
-:10379000E4CD0100E5CD0100E6CD0100E7CD01005B
-:1037A000E8CD0100E9CD0100EACD0100EBCD01003B
-:1037B000ECCD0100EDCD0100EECD0100EFCD01001B
-:1037C000F0CD0100F1CD0100F2CD0100F3CD0100FB
-:1037D000F4CD0100F5CD0100F6CD0100F7CD0100DB
-:1037E000F8CD0100F9CD0100FACD0100FBCD0100BB
-:1037F000FCCD0100FDCD0100FECD0100FFCD01009B
-:1038000000CE010001CE010002CE010003CE010076
-:1038100004CE010005CE010006CE010007CE010056
-:1038200008CE010009CE01000ACE01000BCE010036
-:103830000CCE01000DCE01000ECE01000FCE010016
-:1038400010CE010011CE010012CE010013CE0100F6
-:1038500014CE010015CE010016CE010017CE0100D6
-:1038600018CE010019CE01001ACE01001BCE0100B6
-:103870001CCE01001DCE01001ECE01001FCE010096
-:1038800020CE010021CE010022CE010023CE010076
-:1038900024CE010025CE010026CE010027CE010056
-:1038A00028CE010029CE01002ACE01002BCE010036
-:1038B0002CCE01002DCE01002ECE01002FCE010016
-:1038C00030CE010031CE010032CE010033CE0100F6
-:1038D00034CE010035CE010036CE010037CE0100D6
-:1038E00038CE010039CE01003ACE01003BCE0100B6
-:1038F0003CCE01003DCE01003ECE01003FCE010096
-:1039000040CE010041CE010042CE010043CE010075
-:1039100044CE010045CE010046CE010047CE010055
-:1039200048CE010049CE01004ACE01004BCE010035
-:103930004CCE01004DCE01004ECE01004FCE010015
-:1039400050CE010051CE010052CE010053CE0100F5
-:1039500054CE010055CE010056CE010057CE0100D5
-:1039600058CE010059CE01005ACE01005BCE0100B5
-:103970005CCE01005DCE01005ECE01005FCE010095
-:1039800060CE010061CE010062CE010063CE010075
-:1039900064CE010065CE010066CE010067CE010055
-:1039A00068CE010069CE01006ACE01006BCE010035
-:1039B0006CCE01006DCE01006ECE01006FCE010015
-:1039C00070CE010071CE010072CE010073CE0100F5
-:1039D00074CE010075CE010076CE010077CE0100D5
-:1039E00078CE010079CE01007ACE01007BCE0100B5
-:1039F0007CCE01007DCE01007ECE01007FCE010095
-:103A000080CE010081CE010082CE010083CE010074
-:103A100084CE010085CE010086CE010087CE010054
-:103A200088CE010089CE01008ACE01008BCE010034
-:103A30008CCE01008DCE01008ECE01008FCE010014
-:103A400090CE010091CE010092CE010093CE0100F4
-:103A500094CE010095CE010096CE010097CE0100D4
-:103A600098CE010099CE01009ACE01009BCE0100B4
-:103A70009CCE01009DCE01009ECE01009FCE010094
-:103A8000A0CE0100A1CE0100A2CE0100A3CE010074
-:103A9000A4CE0100A5CE0100A6CE0100A7CE010054
-:103AA000A8CE0100A9CE0100AACE0100ABCE010034
-:103AB000ACCE0100ADCE0100AECE0100AFCE010014
-:103AC000B0CE0100B1CE0100B2CE0100B3CE0100F4
-:103AD000B4CE0100B5CE0100B6CE0100B7CE0100D4
-:103AE000B8CE0100B9CE0100BACE0100BBCE0100B4
-:103AF000BCCE0100BDCE0100BECE0100BFCE010094
-:103B0000C0CE0100C1CE0100C2CE0100C3CE010073
-:103B1000C4CE0100C5CE0100C6CE0100C7CE010053
-:103B2000C8CE0100C9CE0100CACE0100CBCE010033
-:103B3000CCCE0100CDCE0100CECE0100CFCE010013
-:103B4000D0CE0100D1CE0100D2CE0100D3CE0100F3
-:103B5000D4CE0100D5CE0100D6CE0100D7CE0100D3
-:103B6000D8CE0100D9CE0100DACE0100DBCE0100B3
-:103B7000DCCE0100DDCE0100DECE0100DFCE010093
-:103B8000E0CE0100E1CE0100E2CE0100E3CE010073
-:103B9000E4CE0100E5CE0100E6CE0100E7CE010053
-:103BA000E8CE0100E9CE0100EACE0100EBCE010033
-:103BB000ECCE0100EDCE0100EECE0100EFCE010013
-:103BC000F0CE0100F1CE0100F2CE0100F3CE0100F3
-:103BD000F4CE0100F5CE0100F6CE0100F7CE0100D3
-:103BE000F8CE0100F9CE0100FACE0100FBCE0100B3
-:103BF000FCCE0100FDCE0100FECE0100FFCE010093
-:103C000000CF010001CF010002CF010003CF01006E
-:103C100004CF010005CF010006CF010007CF01004E
-:103C200008CF010009CF01000ACF01000BCF01002E
-:103C30000CCF01000DCF01000ECF01000FCF01000E
-:103C400010CF010011CF010012CF010013CF0100EE
-:103C500014CF010015CF010016CF010017CF0100CE
-:103C600018CF010019CF01001ACF01001BCF0100AE
-:103C70001CCF01001DCF01001ECF01001FCF01008E
-:103C800020CF010021CF010022CF010023CF01006E
-:103C900024CF010025CF010026CF010027CF01004E
-:103CA00028CF010029CF01002ACF01002BCF01002E
-:103CB0002CCF01002DCF01002ECF01002FCF01000E
-:103CC00030CF010031CF010032CF010033CF0100EE
-:103CD00034CF010035CF010036CF010037CF0100CE
-:103CE00038CF010039CF01003ACF01003BCF0100AE
-:103CF0003CCF01003DCF01003ECF01003FCF01008E
-:103D000040CF010041CF010042CF010043CF01006D
-:103D100044CF010045CF010046CF010047CF01004D
-:103D200048CF010049CF01004ACF01004BCF01002D
-:103D30004CCF01004DCF01004ECF01004FCF01000D
-:103D400050CF010051CF010052CF010053CF0100ED
-:103D500054CF010055CF010056CF010057CF0100CD
-:103D600058CF010059CF01005ACF01005BCF0100AD
-:103D70005CCF01005DCF01005ECF01005FCF01008D
-:103D800060CF010061CF010062CF010063CF01006D
-:103D900064CF010065CF010066CF010067CF01004D
-:103DA00068CF010069CF01006ACF01006BCF01002D
-:103DB0006CCF01006DCF01006ECF01006FCF01000D
-:103DC00070CF010071CF010072CF010073CF0100ED
-:103DD00074CF010075CF010076CF010077CF0100CD
-:103DE00078CF010079CF01007ACF01007BCF0100AD
-:103DF0007CCF01007DCF01007ECF01007FCF01008D
-:103E000080CF010081CF010082CF010083CF01006C
-:103E100084CF010085CF010086CF010087CF01004C
-:103E200088CF010089CF01008ACF01008BCF01002C
-:103E30008CCF01008DCF01008ECF01008FCF01000C
-:103E400090CF010091CF010092CF010093CF0100EC
-:103E500094CF010095CF010096CF010097CF0100CC
-:103E600098CF010099CF01009ACF01009BCF0100AC
-:103E70009CCF01009DCF01009ECF01009FCF01008C
-:103E8000A0CF0100A1CF0100A2CF0100A3CF01006C
-:103E9000A4CF0100A5CF0100A6CF0100A7CF01004C
-:103EA000A8CF0100A9CF0100AACF0100ABCF01002C
-:103EB000ACCF0100ADCF0100AECF0100AFCF01000C
-:103EC000B0CF0100B1CF0100B2CF0100B3CF0100EC
-:103ED000B4CF0100B5CF0100B6CF0100B7CF0100CC
-:103EE000B8CF0100B9CF0100BACF0100BBCF0100AC
-:103EF000BCCF0100BDCF0100BECF0100BFCF01008C
-:103F0000C0CF0100C1CF0100C2CF0100C3CF01006B
-:103F1000C4CF0100C5CF0100C6CF0100C7CF01004B
-:103F2000C8CF0100C9CF0100CACF0100CBCF01002B
-:103F3000CCCF0100CDCF0100CECF0100CFCF01000B
-:103F4000D0CF0100D1CF0100D2CF0100D3CF0100EB
-:103F5000D4CF0100D5CF0100D6CF0100D7CF0100CB
-:103F6000D8CF0100D9CF0100DACF0100DBCF0100AB
-:103F7000DCCF0100DDCF0100DECF0100DFCF01008B
-:103F8000E0CF0100E1CF0100E2CF0100E3CF01006B
-:103F9000E4CF0100E5CF0100E6CF0100E7CF01004B
-:103FA000E8CF0100E9CF0100EACF0100EBCF01002B
-:103FB000ECCF0100EDCF0100EECF0100EFCF01000B
-:103FC000F0CF0100F1CF0100F2CF0100F3CF0100EB
-:103FD000F4CF0100F5CF0100F6CF0100F7CF0100CB
-:103FE000F8CF0100F9CF0100FACF0100FBCF0100AB
-:103FF000FCCF0100FDCF0100FECF0100FFCF01008B
-:1040000000D0010001D0010002D0010003D0010066
-:1040100004D0010005D0010006D0010007D0010046
-:1040200008D0010009D001000AD001000BD0010026
-:104030000CD001000DD001000ED001000FD0010006
-:1040400010D0010011D0010012D0010013D00100E6
-:1040500014D0010015D0010016D0010017D00100C6
-:1040600018D0010019D001001AD001001BD00100A6
-:104070001CD001001DD001001ED001001FD0010086
-:1040800020D0010021D0010022D0010023D0010066
-:1040900024D0010025D0010026D0010027D0010046
-:1040A00028D0010029D001002AD001002BD0010026
-:1040B0002CD001002DD001002ED001002FD0010006
-:1040C00030D0010031D0010032D0010033D00100E6
-:1040D00034D0010035D0010036D0010037D00100C6
-:1040E00038D0010039D001003AD001003BD00100A6
-:1040F0003CD001003DD001003ED001003FD0010086
-:1041000040D0010041D0010042D0010043D0010065
-:1041100044D0010045D0010046D0010047D0010045
-:1041200048D0010049D001004AD001004BD0010025
-:104130004CD001004DD001004ED001004FD0010005
-:1041400050D0010051D0010052D0010053D00100E5
-:1041500054D0010055D0010056D0010057D00100C5
-:1041600058D0010059D001005AD001005BD00100A5
-:104170005CD001005DD001005ED001005FD0010085
-:1041800060D0010061D0010062D0010063D0010065
-:1041900064D0010065D0010066D0010067D0010045
-:1041A00068D0010069D001006AD001006BD0010025
-:1041B0006CD001006DD001006ED001006FD0010005
-:1041C00070D0010071D0010072D0010073D00100E5
-:1041D00074D0010075D0010076D0010077D00100C5
-:1041E00078D0010079D001007AD001007BD00100A5
-:1041F0007CD001007DD001007ED001007FD0010085
-:1042000080D0010081D0010082D0010083D0010064
-:1042100084D0010085D0010086D0010087D0010044
-:1042200088D0010089D001008AD001008BD0010024
-:104230008CD001008DD001008ED001008FD0010004
-:1042400090D0010091D0010092D0010093D00100E4
-:1042500094D0010095D0010096D0010097D00100C4
-:1042600098D0010099D001009AD001009BD00100A4
-:104270009CD001009DD001009ED001009FD0010084
-:10428000A0D00100A1D00100A2D00100A3D0010064
-:10429000A4D00100A5D00100A6D00100A7D0010044
-:1042A000A8D00100A9D00100AAD00100ABD0010024
-:1042B000ACD00100ADD00100AED00100AFD0010004
-:1042C000B0D00100B1D00100B2D00100B3D00100E4
-:1042D000B4D00100B5D00100B6D00100B7D00100C4
-:1042E000B8D00100B9D00100BAD00100BBD00100A4
-:1042F000BCD00100BDD00100BED00100BFD0010084
-:10430000C0D00100C1D00100C2D00100C3D0010063
-:10431000C4D00100C5D00100C6D00100C7D0010043
-:10432000C8D00100C9D00100CAD00100CBD0010023
-:10433000CCD00100CDD00100CED00100CFD0010003
-:10434000D0D00100D1D00100D2D00100D3D00100E3
-:10435000D4D00100D5D00100D6D00100D7D00100C3
-:10436000D8D00100D9D00100DAD00100DBD00100A3
-:10437000DCD00100DDD00100DED00100DFD0010083
-:10438000E0D00100E1D00100E2D00100E3D0010063
-:10439000E4D00100E5D00100E6D00100E7D0010043
-:1043A000E8D00100E9D00100EAD00100EBD0010023
-:1043B000ECD00100EDD00100EED00100EFD0010003
-:1043C000F0D00100F1D00100F2D00100F3D00100E3
-:1043D000F4D00100F5D00100F6D00100F7D00100C3
-:1043E000F8D00100F9D00100FAD00100FBD00100A3
-:1043F000FCD00100FDD00100FED00100FFD0010083
-:1044000000D1010001D1010002D1010003D101005E
-:1044100004D1010005D1010006D1010007D101003E
-:1044200008D1010009D101000AD101000BD101001E
-:104430000CD101000DD101000ED101000FD10100FE
-:1044400010D1010011D1010012D1010013D10100DE
-:1044500014D1010015D1010016D1010017D10100BE
-:1044600018D1010019D101001AD101001BD101009E
-:104470001CD101001DD101001ED101001FD101007E
-:1044800020D1010021D1010022D1010023D101005E
-:1044900024D1010025D1010026D1010027D101003E
-:1044A00028D1010029D101002AD101002BD101001E
-:1044B0002CD101002DD101002ED101002FD10100FE
-:1044C00030D1010031D1010032D1010033D10100DE
-:1044D00034D1010035D1010036D1010037D10100BE
-:1044E00038D1010039D101003AD101003BD101009E
-:1044F0003CD101003DD101003ED101003FD101007E
-:1045000040D1010041D1010042D1010043D101005D
-:1045100044D1010045D1010046D1010047D101003D
-:1045200048D1010049D101004AD101004BD101001D
-:104530004CD101004DD101004ED101004FD10100FD
-:1045400050D1010051D1010052D1010053D10100DD
-:1045500054D1010055D1010056D1010057D10100BD
-:1045600058D1010059D101005AD101005BD101009D
-:104570005CD101005DD101005ED101005FD101007D
-:1045800060D1010061D1010062D1010063D101005D
-:1045900064D1010065D1010066D1010067D101003D
-:1045A00068D1010069D101006AD101006BD101001D
-:1045B0006CD101006DD101006ED101006FD10100FD
-:1045C00070D1010071D1010072D1010073D10100DD
-:1045D00074D1010075D1010076D1010077D10100BD
-:1045E00078D1010079D101007AD101007BD101009D
-:1045F0007CD101007DD101007ED101007FD101007D
-:1046000080D1010081D1010082D1010083D101005C
-:1046100084D1010085D1010086D1010087D101003C
-:1046200088D1010089D101008AD101008BD101001C
-:104630008CD101008DD101008ED101008FD10100FC
-:1046400090D1010091D1010092D1010093D10100DC
-:1046500094D1010095D1010096D1010097D10100BC
-:1046600098D1010099D101009AD101009BD101009C
-:104670009CD101009DD101009ED101009FD101007C
-:10468000A0D10100A1D10100A2D10100A3D101005C
-:10469000A4D10100A5D10100A6D10100A7D101003C
-:1046A000A8D10100A9D10100AAD10100ABD101001C
-:1046B000ACD10100ADD10100AED10100AFD10100FC
-:1046C000B0D10100B1D10100B2D10100B3D10100DC
-:1046D000B4D10100B5D10100B6D10100B7D10100BC
-:1046E000B8D10100B9D10100BAD10100BBD101009C
-:1046F000BCD10100BDD10100BED10100BFD101007C
-:10470000C0D10100C1D10100C2D10100C3D101005B
-:10471000C4D10100C5D10100C6D10100C7D101003B
-:10472000C8D10100C9D10100CAD10100CBD101001B
-:10473000CCD10100CDD10100CED10100CFD10100FB
-:10474000D0D10100D1D10100D2D10100D3D10100DB
-:10475000D4D10100D5D10100D6D10100D7D10100BB
-:10476000D8D10100D9D10100DAD10100DBD101009B
-:10477000DCD10100DDD10100DED10100DFD101007B
-:10478000E0D10100E1D10100E2D10100E3D101005B
-:10479000E4D10100E5D10100E6D10100E7D101003B
-:1047A000E8D10100E9D10100EAD10100EBD101001B
-:1047B000ECD10100EDD10100EED10100EFD10100FB
-:1047C000F0D10100F1D10100F2D10100F3D10100DB
-:1047D000F4D10100F5D10100F6D10100F7D10100BB
-:1047E000F8D10100F9D10100FAD10100FBD101009B
-:1047F000FCD10100FDD10100FED10100FFD101007B
-:1048000000D2010001D2010002D2010003D2010056
-:1048100004D2010005D2010006D2010007D2010036
-:1048200008D2010009D201000AD201000BD2010016
-:104830000CD201000DD201000ED201000FD20100F6
-:1048400010D2010011D2010012D2010013D20100D6
-:1048500014D2010015D2010016D2010017D20100B6
-:1048600018D2010019D201001AD201001BD2010096
-:104870001CD201001DD201001ED201001FD2010076
-:1048800020D2010021D2010022D2010023D2010056
-:1048900024D2010025D2010026D2010027D2010036
-:1048A00028D2010029D201002AD201002BD2010016
-:1048B0002CD201002DD201002ED201002FD20100F6
-:1048C00030D2010031D2010032D2010033D20100D6
-:1048D00034D2010035D2010036D2010037D20100B6
-:1048E00038D2010039D201003AD201003BD2010096
-:1048F0003CD201003DD201003ED201003FD2010076
-:1049000040D2010041D2010042D2010043D2010055
-:1049100044D2010045D2010046D2010047D2010035
-:1049200048D2010049D201004AD201004BD2010015
-:104930004CD201004DD201004ED201004FD20100F5
-:1049400050D2010051D2010052D2010053D20100D5
-:1049500054D2010055D2010056D2010057D20100B5
-:1049600058D2010059D201005AD201005BD2010095
-:104970005CD201005DD201005ED201005FD2010075
-:1049800060D2010061D2010062D2010063D2010055
-:1049900064D2010065D2010066D2010067D2010035
-:1049A00068D2010069D201006AD201006BD2010015
-:1049B0006CD201006DD201006ED201006FD20100F5
-:1049C00070D2010071D2010072D2010073D20100D5
-:1049D00074D2010075D2010076D2010077D20100B5
-:1049E00078D2010079D201007AD201007BD2010095
-:1049F0007CD201007DD201007ED201007FD2010075
-:104A000080D2010081D2010082D2010083D2010054
-:104A100084D2010085D2010086D2010087D2010034
-:104A200088D2010089D201008AD201008BD2010014
-:104A30008CD201008DD201008ED201008FD20100F4
-:104A400090D2010091D2010092D2010093D20100D4
-:104A500094D2010095D2010096D2010097D20100B4
-:104A600098D2010099D201009AD201009BD2010094
-:104A70009CD201009DD201009ED201009FD2010074
-:104A8000A0D20100A1D20100A2D20100A3D2010054
-:104A9000A4D20100A5D20100A6D20100A7D2010034
-:104AA000A8D20100A9D20100AAD20100ABD2010014
-:104AB000ACD20100ADD20100AED20100AFD20100F4
-:104AC000B0D20100B1D20100B2D20100B3D20100D4
-:104AD000B4D20100B5D20100B6D20100B7D20100B4
-:104AE000B8D20100B9D20100BAD20100BBD2010094
-:104AF000BCD20100BDD20100BED20100BFD2010074
-:104B0000C0D20100C1D20100C2D20100C3D2010053
-:104B1000C4D20100C5D20100C6D20100C7D2010033
-:104B2000C8D20100C9D20100CAD20100CBD2010013
-:104B3000CCD20100CDD20100CED20100CFD20100F3
-:104B4000D0D20100D1D20100D2D20100D3D20100D3
-:104B5000D4D20100D5D20100D6D20100D7D20100B3
-:104B6000D8D20100D9D20100DAD20100DBD2010093
-:104B7000DCD20100DDD20100DED20100DFD2010073
-:104B8000E0D20100E1D20100E2D20100E3D2010053
-:104B9000E4D20100E5D20100E6D20100E7D2010033
-:104BA000E8D20100E9D20100EAD20100EBD2010013
-:104BB000ECD20100EDD20100EED20100EFD20100F3
-:104BC000F0D20100F1D20100F2D20100F3D20100D3
-:104BD000F4D20100F5D20100F6D20100F7D20100B3
-:104BE000F8D20100F9D20100FAD20100FBD2010093
-:104BF000FCD20100FDD20100FED20100FFD2010073
-:104C000000D3010001D3010002D3010003D301004E
-:104C100004D3010005D3010006D3010007D301002E
-:104C200008D3010009D301000AD301000BD301000E
-:104C30000CD301000DD301000ED301000FD30100EE
-:104C400010D3010011D3010012D3010013D30100CE
-:104C500014D3010015D3010016D3010017D30100AE
-:104C600018D3010019D301001AD301001BD301008E
-:104C70001CD301001DD301001ED301001FD301006E
-:104C800020D3010021D3010022D3010023D301004E
-:104C900024D3010025D3010026D3010027D301002E
-:104CA00028D3010029D301002AD301002BD301000E
-:104CB0002CD301002DD301002ED301002FD30100EE
-:104CC00030D3010031D3010032D3010033D30100CE
-:104CD00034D3010035D3010036D3010037D30100AE
-:104CE00038D3010039D301003AD301003BD301008E
-:104CF0003CD301003DD301003ED301003FD301006E
-:104D000040D3010041D3010042D3010043D301004D
-:104D100044D3010045D3010046D3010047D301002D
-:104D200048D3010049D301004AD301004BD301000D
-:104D30004CD301004DD301004ED301004FD30100ED
-:104D400050D3010051D3010052D3010053D30100CD
-:104D500054D3010055D3010056D3010057D30100AD
-:104D600058D3010059D301005AD301005BD301008D
-:104D70005CD301005DD301005ED301005FD301006D
-:104D800060D3010061D3010062D3010063D301004D
-:104D900064D3010065D3010066D3010067D301002D
-:104DA00068D3010069D301006AD301006BD301000D
-:104DB0006CD301006DD301006ED301006FD30100ED
-:104DC00070D3010071D3010072D3010073D30100CD
-:104DD00074D3010075D3010076D3010077D30100AD
-:104DE00078D3010079D301007AD301007BD301008D
-:104DF0007CD301007DD301007ED301007FD301006D
-:104E000080D3010081D3010082D3010083D301004C
-:104E100084D3010085D3010086D3010087D301002C
-:104E200088D3010089D301008AD301008BD301000C
-:104E30008CD301008DD301008ED301008FD30100EC
-:104E400090D3010091D3010092D3010093D30100CC
-:104E500094D3010095D3010096D3010097D30100AC
-:104E600098D3010099D301009AD301009BD301008C
-:104E70009CD301009DD301009ED301009FD301006C
-:104E8000A0D30100A1D30100A2D30100A3D301004C
-:104E9000A4D30100A5D30100A6D30100A7D301002C
-:104EA000A8D30100A9D30100AAD30100ABD301000C
-:104EB000ACD30100ADD30100AED30100AFD30100EC
-:104EC000B0D30100B1D30100B2D30100B3D30100CC
-:104ED000B4D30100B5D30100B6D30100B7D30100AC
-:104EE000B8D30100B9D30100BAD30100BBD301008C
-:104EF000BCD30100BDD30100BED30100BFD301006C
-:104F0000C0D30100C1D30100C2D30100C3D301004B
-:104F1000C4D30100C5D30100C6D30100C7D301002B
-:104F2000C8D30100C9D30100CAD30100CBD301000B
-:104F3000CCD30100CDD30100CED30100CFD30100EB
-:104F4000D0D30100D1D30100D2D30100D3D30100CB
-:104F5000D4D30100D5D30100D6D30100D7D30100AB
-:104F6000D8D30100D9D30100DAD30100DBD301008B
-:104F7000DCD30100DDD30100DED30100DFD301006B
-:104F8000E0D30100E1D30100E2D30100E3D301004B
-:104F9000E4D30100E5D30100E6D30100E7D301002B
-:104FA000E8D30100E9D30100EAD30100EBD301000B
-:104FB000ECD30100EDD30100EED30100EFD30100EB
-:104FC000F0D30100F1D30100F2D30100F3D30100CB
-:104FD000F4D30100F5D30100F6D30100F7D30100AB
-:104FE000F8D30100F9D30100FAD30100FBD301008B
-:104FF000FCD30100FDD30100FED30100FFD301006B
-:1050000000D4010001D4010002D4010003D4010046
-:1050100004D4010005D4010006D4010007D4010026
-:1050200008D4010009D401000AD401000BD4010006
-:105030000CD401000DD401000ED401000FD40100E6
-:1050400010D4010011D4010012D4010013D40100C6
-:1050500014D4010015D4010016D4010017D40100A6
-:1050600018D4010019D401001AD401001BD4010086
-:105070001CD401001DD401001ED401001FD4010066
-:1050800020D4010021D4010022D4010023D4010046
-:1050900024D4010025D4010026D4010027D4010026
-:1050A00028D4010029D401002AD401002BD4010006
-:1050B0002CD401002DD401002ED401002FD40100E6
-:1050C00030D4010031D4010032D4010033D40100C6
-:1050D00034D4010035D4010036D4010037D40100A6
-:1050E00038D4010039D401003AD401003BD4010086
-:1050F0003CD401003DD401003ED401003FD4010066
-:1051000040D4010041D4010042D4010043D4010045
-:1051100044D4010045D4010046D4010047D4010025
-:1051200048D4010049D401004AD401004BD4010005
-:105130004CD401004DD401004ED401004FD40100E5
-:1051400050D4010051D4010052D4010053D40100C5
-:1051500054D4010055D4010056D4010057D40100A5
-:1051600058D4010059D401005AD401005BD4010085
-:105170005CD401005DD401005ED401005FD4010065
-:1051800060D4010061D4010062D4010063D4010045
-:1051900064D4010065D4010066D4010067D4010025
-:1051A00068D4010069D401006AD401006BD4010005
-:1051B0006CD401006DD401006ED401006FD40100E5
-:1051C00070D4010071D4010072D4010073D40100C5
-:1051D00074D4010075D4010076D4010077D40100A5
-:1051E00078D4010079D401007AD401007BD4010085
-:1051F0007CD401007DD401007ED401007FD4010065
-:1052000080D4010081D4010082D4010083D4010044
-:1052100084D4010085D4010086D4010087D4010024
-:1052200088D4010089D401008AD401008BD4010004
-:105230008CD401008DD401008ED401008FD40100E4
-:1052400090D4010091D4010092D4010093D40100C4
-:1052500094D4010095D4010096D4010097D40100A4
-:1052600098D4010099D401009AD401009BD4010084
-:105270009CD401009DD401009ED401009FD4010064
-:10528000A0D40100A1D40100A2D40100A3D4010044
-:10529000A4D40100A5D40100A6D40100A7D4010024
-:1052A000A8D40100A9D40100AAD40100ABD4010004
-:1052B000ACD40100ADD40100AED40100AFD40100E4
-:1052C000B0D40100B1D40100B2D40100B3D40100C4
-:1052D000B4D40100B5D40100B6D40100B7D40100A4
-:1052E000B8D40100B9D40100BAD40100BBD4010084
-:1052F000BCD40100BDD40100BED40100BFD4010064
-:10530000C0D40100C1D40100C2D40100C3D4010043
-:10531000C4D40100C5D40100C6D40100C7D4010023
-:10532000C8D40100C9D40100CAD40100CBD4010003
-:10533000CCD40100CDD40100CED40100CFD40100E3
-:10534000D0D40100D1D40100D2D40100D3D40100C3
-:10535000D4D40100D5D40100D6D40100D7D40100A3
-:10536000D8D40100D9D40100DAD40100DBD4010083
-:10537000DCD40100DDD40100DED40100DFD4010063
-:10538000E0D40100E1D40100E2D40100E3D4010043
-:10539000E4D40100E5D40100E6D40100E7D4010023
-:1053A000E8D40100E9D40100EAD40100EBD4010003
-:1053B000ECD40100EDD40100EED40100EFD40100E3
-:1053C000F0D40100F1D40100F2D40100F3D40100C3
-:1053D000F4D40100F5D40100F6D40100F7D40100A3
-:1053E000F8D40100F9D40100FAD40100FBD4010083
-:1053F000FCD40100FDD40100FED40100FFD4010063
-:1054000000D5010001D5010002D5010003D501003E
-:1054100004D5010005D5010006D5010007D501001E
-:1054200008D5010009D501000AD501000BD50100FE
-:105430000CD501000DD501000ED501000FD50100DE
-:1054400010D5010011D5010012D5010013D50100BE
-:1054500014D5010015D5010016D5010017D501009E
-:1054600018D5010019D501001AD501001BD501007E
-:105470001CD501001DD501001ED501001FD501005E
-:1054800020D5010021D5010022D5010023D501003E
-:1054900024D5010025D5010026D5010027D501001E
-:1054A00028D5010029D501002AD501002BD50100FE
-:1054B0002CD501002DD501002ED501002FD50100DE
-:1054C00030D5010031D5010032D5010033D50100BE
-:1054D00034D5010035D5010036D5010037D501009E
-:1054E00038D5010039D501003AD501003BD501007E
-:1054F0003CD501003DD501003ED501003FD501005E
-:1055000040D5010041D5010042D5010043D501003D
-:1055100044D5010045D5010046D5010047D501001D
-:1055200048D5010049D501004AD501004BD50100FD
-:105530004CD501004DD501004ED501004FD50100DD
-:1055400050D5010051D5010052D5010053D50100BD
-:1055500054D5010055D5010056D5010057D501009D
-:1055600058D5010059D501005AD501005BD501007D
-:105570005CD501005DD501005ED501005FD501005D
-:1055800060D5010061D5010062D5010063D501003D
-:1055900064D5010065D5010066D5010067D501001D
-:1055A00068D5010069D501006AD501006BD50100FD
-:1055B0006CD501006DD501006ED501006FD50100DD
-:1055C00070D5010071D5010072D5010073D50100BD
-:1055D00074D5010075D5010076D5010077D501009D
-:1055E00078D5010079D501007AD501007BD501007D
-:1055F0007CD501007DD501007ED501007FD501005D
-:1056000080D5010081D5010082D5010083D501003C
-:1056100084D5010085D5010086D5010087D501001C
-:1056200088D5010089D501008AD501008BD50100FC
-:105630008CD501008DD501008ED501008FD50100DC
-:1056400090D5010091D5010092D5010093D50100BC
-:1056500094D5010095D5010096D5010097D501009C
-:1056600098D5010099D501009AD501009BD501007C
-:105670009CD501009DD501009ED501009FD501005C
-:10568000A0D50100A1D50100A2D50100A3D501003C
-:10569000A4D50100A5D50100A6D50100A7D501001C
-:1056A000A8D50100A9D50100AAD50100ABD50100FC
-:1056B000ACD50100ADD50100AED50100AFD50100DC
-:1056C000B0D50100B1D50100B2D50100B3D50100BC
-:1056D000B4D50100B5D50100B6D50100B7D501009C
-:1056E000B8D50100B9D50100BAD50100BBD501007C
-:1056F000BCD50100BDD50100BED50100BFD501005C
-:10570000C0D50100C1D50100C2D50100C3D501003B
-:10571000C4D50100C5D50100C6D50100C7D501001B
-:10572000C8D50100C9D50100CAD50100CBD50100FB
-:10573000CCD50100CDD50100CED50100CFD50100DB
-:10574000D0D50100D1D50100D2D50100D3D50100BB
-:10575000D4D50100D5D50100D6D50100D7D501009B
-:10576000D8D50100D9D50100DAD50100DBD501007B
-:10577000DCD50100DDD50100DED50100DFD501005B
-:10578000E0D50100E1D50100E2D50100E3D501003B
-:10579000E4D50100E5D50100E6D50100E7D501001B
-:1057A000E8D50100E9D50100EAD50100EBD50100FB
-:1057B000ECD50100EDD50100EED50100EFD50100DB
-:1057C000F0D50100F1D50100F2D50100F3D50100BB
-:1057D000F4D50100F5D50100F6D50100F7D501009B
-:1057E000F8D50100F9D50100FAD50100FBD501007B
-:1057F000FCD50100FDD50100FED50100FFD501005B
-:1058000000D6010001D6010002D6010003D6010036
-:1058100004D6010005D6010006D6010007D6010016
-:1058200008D6010009D601000AD601000BD60100F6
-:105830000CD601000DD601000ED601000FD60100D6
-:1058400010D6010011D6010012D6010013D60100B6
-:1058500014D6010015D6010016D6010017D6010096
-:1058600018D6010019D601001AD601001BD6010076
-:105870001CD601001DD601001ED601001FD6010056
-:1058800020D6010021D6010022D6010023D6010036
-:1058900024D6010025D6010026D6010027D6010016
-:1058A00028D6010029D601002AD601002BD60100F6
-:1058B0002CD601002DD601002ED601002FD60100D6
-:1058C00030D6010031D6010032D6010033D60100B6
-:1058D00034D6010035D6010036D6010037D6010096
-:1058E00038D6010039D601003AD601003BD6010076
-:1058F0003CD601003DD601003ED601003FD6010056
-:1059000040D6010041D6010042D6010043D6010035
-:1059100044D6010045D6010046D6010047D6010015
-:1059200048D6010049D601004AD601004BD60100F5
-:105930004CD601004DD601004ED601004FD60100D5
-:1059400050D6010051D6010052D6010053D60100B5
-:1059500054D6010055D6010056D6010057D6010095
-:1059600058D6010059D601005AD601005BD6010075
-:105970005CD601005DD601005ED601005FD6010055
-:1059800060D6010061D6010062D6010063D6010035
-:1059900064D6010065D6010066D6010067D6010015
-:1059A00068D6010069D601006AD601006BD60100F5
-:1059B0006CD601006DD601006ED601006FD60100D5
-:1059C00070D6010071D6010072D6010073D60100B5
-:1059D00074D6010075D6010076D6010077D6010095
-:1059E00078D6010079D601007AD601007BD6010075
-:1059F0007CD601007DD601007ED601007FD6010055
-:105A000080D6010081D6010082D6010083D6010034
-:105A100084D6010085D6010086D6010087D6010014
-:105A200088D6010089D601008AD601008BD60100F4
-:105A30008CD601008DD601008ED601008FD60100D4
-:105A400090D6010091D6010092D6010093D60100B4
-:105A500094D6010095D6010096D6010097D6010094
-:105A600098D6010099D601009AD601009BD6010074
-:105A70009CD601009DD601009ED601009FD6010054
-:105A8000A0D60100A1D60100A2D60100A3D6010034
-:105A9000A4D60100A5D60100A6D60100A7D6010014
-:105AA000A8D60100A9D60100AAD60100ABD60100F4
-:105AB000ACD60100ADD60100AED60100AFD60100D4
-:105AC000B0D60100B1D60100B2D60100B3D60100B4
-:105AD000B4D60100B5D60100B6D60100B7D6010094
-:105AE000B8D60100B9D60100BAD60100BBD6010074
-:105AF000BCD60100BDD60100BED60100BFD6010054
-:105B0000C0D60100C1D60100C2D60100C3D6010033
-:105B1000C4D60100C5D60100C6D60100C7D6010013
-:105B2000C8D60100C9D60100CAD60100CBD60100F3
-:105B3000CCD60100CDD60100CED60100CFD60100D3
-:105B4000D0D60100D1D60100D2D60100D3D60100B3
-:105B5000D4D60100D5D60100D6D60100D7D6010093
-:105B6000D8D60100D9D60100DAD60100DBD6010073
-:105B7000DCD60100DDD60100DED60100DFD6010053
-:105B8000E0D60100E1D60100E2D60100E3D6010033
-:105B9000E4D60100E5D60100E6D60100E7D6010013
-:105BA000E8D60100E9D60100EAD60100EBD60100F3
-:105BB000ECD60100EDD60100EED60100EFD60100D3
-:105BC000F0D60100F1D60100F2D60100F3D60100B3
-:105BD000F4D60100F5D60100F6D60100F7D6010093
-:105BE000F8D60100F9D60100FAD60100FBD6010073
-:105BF000FCD60100FDD60100FED60100FFD6010053
-:105C000000D7010001D7010002D7010003D701002E
-:105C100004D7010005D7010006D7010007D701000E
-:105C200008D7010009D701000AD701000BD70100EE
-:105C30000CD701000DD701000ED701000FD70100CE
-:105C400010D7010011D7010012D7010013D70100AE
-:105C500014D7010015D7010016D7010017D701008E
-:105C600018D7010019D701001AD701001BD701006E
-:105C70001CD701001DD701001ED701001FD701004E
-:105C800020D7010021D7010022D7010023D701002E
-:105C900024D7010025D7010026D7010027D701000E
-:105CA00028D7010029D701002AD701002BD70100EE
-:105CB0002CD701002DD701002ED701002FD70100CE
-:105CC00030D7010031D7010032D7010033D70100AE
-:105CD00034D7010035D7010036D7010037D701008E
-:105CE00038D7010039D701003AD701003BD701006E
-:105CF0003CD701003DD701003ED701003FD701004E
-:105D000040D7010041D7010042D7010043D701002D
-:105D100044D7010045D7010046D7010047D701000D
-:105D200048D7010049D701004AD701004BD70100ED
-:105D30004CD701004DD701004ED701004FD70100CD
-:105D400050D7010051D7010052D7010053D70100AD
-:105D500054D7010055D7010056D7010057D701008D
-:105D600058D7010059D701005AD701005BD701006D
-:105D70005CD701005DD701005ED701005FD701004D
-:105D800060D7010061D7010062D7010063D701002D
-:105D900064D7010065D7010066D7010067D701000D
-:105DA00068D7010069D701006AD701006BD70100ED
-:105DB0006CD701006DD701006ED701006FD70100CD
-:105DC00070D7010071D7010072D7010073D70100AD
-:105DD00074D7010075D7010076D7010077D701008D
-:105DE00078D7010079D701007AD701007BD701006D
-:105DF0007CD701007DD701007ED701007FD701004D
-:105E000080D7010081D7010082D7010083D701002C
-:105E100084D7010085D7010086D7010087D701000C
-:105E200088D7010089D701008AD701008BD70100EC
-:105E30008CD701008DD701008ED701008FD70100CC
-:105E400090D7010091D7010092D7010093D70100AC
-:105E500094D7010095D7010096D7010097D701008C
-:105E600098D7010099D701009AD701009BD701006C
-:105E70009CD701009DD701009ED701009FD701004C
-:105E8000A0D70100A1D70100A2D70100A3D701002C
-:105E9000A4D70100A5D70100A6D70100A7D701000C
-:105EA000A8D70100A9D70100AAD70100ABD70100EC
-:105EB000ACD70100ADD70100AED70100AFD70100CC
-:105EC000B0D70100B1D70100B2D70100B3D70100AC
-:105ED000B4D70100B5D70100B6D70100B7D701008C
-:105EE000B8D70100B9D70100BAD70100BBD701006C
-:105EF000BCD70100BDD70100BED70100BFD701004C
-:105F0000C0D70100C1D70100C2D70100C3D701002B
-:105F1000C4D70100C5D70100C6D70100C7D701000B
-:105F2000C8D70100C9D70100CAD70100CBD70100EB
-:105F3000CCD70100CDD70100CED70100CFD70100CB
-:105F4000D0D70100D1D70100D2D70100D3D70100AB
-:105F5000D4D70100D5D70100D6D70100D7D701008B
-:105F6000D8D70100D9D70100DAD70100DBD701006B
-:105F7000DCD70100DDD70100DED70100DFD701004B
-:105F8000E0D70100E1D70100E2D70100E3D701002B
-:105F9000E4D70100E5D70100E6D70100E7D701000B
-:105FA000E8D70100E9D70100EAD70100EBD70100EB
-:105FB000ECD70100EDD70100EED70100EFD70100CB
-:105FC000F0D70100F1D70100F2D70100F3D70100AB
-:105FD000F4D70100F5D70100F6D70100F7D701008B
-:105FE000F8D70100F9D70100FAD70100FBD701006B
-:105FF000FCD70100FDD70100FED70100FFD701004B
-:1060000000D8010001D8010002D8010003D8010026
-:1060100004D8010005D8010006D8010007D8010006
-:1060200008D8010009D801000AD801000BD80100E6
-:106030000CD801000DD801000ED801000FD80100C6
-:1060400010D8010011D8010012D8010013D80100A6
-:1060500014D8010015D8010016D8010017D8010086
-:1060600018D8010019D801001AD801001BD8010066
-:106070001CD801001DD801001ED801001FD8010046
-:1060800020D8010021D8010022D8010023D8010026
-:1060900024D8010025D8010026D8010027D8010006
-:1060A00028D8010029D801002AD801002BD80100E6
-:1060B0002CD801002DD801002ED801002FD80100C6
-:1060C00030D8010031D8010032D8010033D80100A6
-:1060D00034D8010035D8010036D8010037D8010086
-:1060E00038D8010039D801003AD801003BD8010066
-:1060F0003CD801003DD801003ED801003FD8010046
-:1061000040D8010041D8010042D8010043D8010025
-:1061100044D8010045D8010046D8010047D8010005
-:1061200048D8010049D801004AD801004BD80100E5
-:106130004CD801004DD801004ED801004FD80100C5
-:1061400050D8010051D8010052D8010053D80100A5
-:1061500054D8010055D8010056D8010057D8010085
-:1061600058D8010059D801005AD801005BD8010065
-:106170005CD801005DD801005ED801005FD8010045
-:1061800060D8010061D8010062D8010063D8010025
-:1061900064D8010065D8010066D8010067D8010005
-:1061A00068D8010069D801006AD801006BD80100E5
-:1061B0006CD801006DD801006ED801006FD80100C5
-:1061C00070D8010071D8010072D8010073D80100A5
-:1061D00074D8010075D8010076D8010077D8010085
-:1061E00078D8010079D801007AD801007BD8010065
-:1061F0007CD801007DD801007ED801007FD8010045
-:1062000080D8010081D8010082D8010083D8010024
-:1062100084D8010085D8010086D8010087D8010004
-:1062200088D8010089D801008AD801008BD80100E4
-:106230008CD801008DD801008ED801008FD80100C4
-:1062400090D8010091D8010092D8010093D80100A4
-:1062500094D8010095D8010096D8010097D8010084
-:1062600098D8010099D801009AD801009BD8010064
-:106270009CD801009DD801009ED801009FD8010044
-:10628000A0D80100A1D80100A2D80100A3D8010024
-:10629000A4D80100A5D80100A6D80100A7D8010004
-:1062A000A8D80100A9D80100AAD80100ABD80100E4
-:1062B000ACD80100ADD80100AED80100AFD80100C4
-:1062C000B0D80100B1D80100B2D80100B3D80100A4
-:1062D000B4D80100B5D80100B6D80100B7D8010084
-:1062E000B8D80100B9D80100BAD80100BBD8010064
-:1062F000BCD80100BDD80100BED80100BFD8010044
-:10630000C0D80100C1D80100C2D80100C3D8010023
-:10631000C4D80100C5D80100C6D80100C7D8010003
-:10632000C8D80100C9D80100CAD80100CBD80100E3
-:10633000CCD80100CDD80100CED80100CFD80100C3
-:10634000D0D80100D1D80100D2D80100D3D80100A3
-:10635000D4D80100D5D80100D6D80100D7D8010083
-:10636000D8D80100D9D80100DAD80100DBD8010063
-:10637000DCD80100DDD80100DED80100DFD8010043
-:10638000E0D80100E1D80100E2D80100E3D8010023
-:10639000E4D80100E5D80100E6D80100E7D8010003
-:1063A000E8D80100E9D80100EAD80100EBD80100E3
-:1063B000ECD80100EDD80100EED80100EFD80100C3
-:1063C000F0D80100F1D80100F2D80100F3D80100A3
-:1063D000F4D80100F5D80100F6D80100F7D8010083
-:1063E000F8D80100F9D80100FAD80100FBD8010063
-:1063F000FCD80100FDD80100FED80100FFD8010043
-:1064000000D9010001D9010002D9010003D901001E
-:1064100004D9010005D9010006D9010007D90100FE
-:1064200008D9010009D901000AD901000BD90100DE
-:106430000CD901000DD901000ED901000FD90100BE
-:1064400010D9010011D9010012D9010013D901009E
-:1064500014D9010015D9010016D9010017D901007E
-:1064600018D9010019D901001AD901001BD901005E
-:106470001CD901001DD901001ED901001FD901003E
-:1064800020D9010021D9010022D9010023D901001E
-:1064900024D9010025D9010026D9010027D90100FE
-:1064A00028D9010029D901002AD901002BD90100DE
-:1064B0002CD901002DD901002ED901002FD90100BE
-:1064C00030D9010031D9010032D9010033D901009E
-:1064D00034D9010035D9010036D9010037D901007E
-:1064E00038D9010039D901003AD901003BD901005E
-:1064F0003CD901003DD901003ED901003FD901003E
-:1065000040D9010041D9010042D9010043D901001D
-:1065100044D9010045D9010046D9010047D90100FD
-:1065200048D9010049D901004AD901004BD90100DD
-:106530004CD901004DD901004ED901004FD90100BD
-:1065400050D9010051D9010052D9010053D901009D
-:1065500054D9010055D9010056D9010057D901007D
-:1065600058D9010059D901005AD901005BD901005D
-:106570005CD901005DD901005ED901005FD901003D
-:1065800060D9010061D9010062D9010063D901001D
-:1065900064D9010065D9010066D9010067D90100FD
-:1065A00068D9010069D901006AD901006BD90100DD
-:1065B0006CD901006DD901006ED901006FD90100BD
-:1065C00070D9010071D9010072D9010073D901009D
-:1065D00074D9010075D9010076D9010077D901007D
-:1065E00078D9010079D901007AD901007BD901005D
-:1065F0007CD901007DD901007ED901007FD901003D
-:1066000080D9010081D9010082D9010083D901001C
-:1066100084D9010085D9010086D9010087D90100FC
-:1066200088D9010089D901008AD901008BD90100DC
-:106630008CD901008DD901008ED901008FD90100BC
-:1066400090D9010091D9010092D9010093D901009C
-:1066500094D9010095D9010096D9010097D901007C
-:1066600098D9010099D901009AD901009BD901005C
-:106670009CD901009DD901009ED901009FD901003C
-:10668000A0D90100A1D90100A2D90100A3D901001C
-:10669000A4D90100A5D90100A6D90100A7D90100FC
-:1066A000A8D90100A9D90100AAD90100ABD90100DC
-:1066B000ACD90100ADD90100AED90100AFD90100BC
-:1066C000B0D90100B1D90100B2D90100B3D901009C
-:1066D000B4D90100B5D90100B6D90100B7D901007C
-:1066E000B8D90100B9D90100BAD90100BBD901005C
-:1066F000BCD90100BDD90100BED90100BFD901003C
-:10670000C0D90100C1D90100C2D90100C3D901001B
-:10671000C4D90100C5D90100C6D90100C7D90100FB
-:10672000C8D90100C9D90100CAD90100CBD90100DB
-:10673000CCD90100CDD90100CED90100CFD90100BB
-:10674000D0D90100D1D90100D2D90100D3D901009B
-:10675000D4D90100D5D90100D6D90100D7D901007B
-:10676000D8D90100D9D90100DAD90100DBD901005B
-:10677000DCD90100DDD90100DED90100DFD901003B
-:10678000E0D90100E1D90100E2D90100E3D901001B
-:10679000E4D90100E5D90100E6D90100E7D90100FB
-:1067A000E8D90100E9D90100EAD90100EBD90100DB
-:1067B000ECD90100EDD90100EED90100EFD90100BB
-:1067C000F0D90100F1D90100F2D90100F3D901009B
-:1067D000F4D90100F5D90100F6D90100F7D901007B
-:1067E000F8D90100F9D90100FAD90100FBD901005B
-:1067F000FCD90100FDD90100FED90100FFD901003B
-:1068000000DA010001DA010002DA010003DA010016
-:1068100004DA010005DA010006DA010007DA0100F6
-:1068200008DA010009DA01000ADA01000BDA0100D6
-:106830000CDA01000DDA01000EDA01000FDA0100B6
-:1068400010DA010011DA010012DA010013DA010096
-:1068500014DA010015DA010016DA010017DA010076
-:1068600018DA010019DA01001ADA01001BDA010056
-:106870001CDA01001DDA01001EDA01001FDA010036
-:1068800020DA010021DA010022DA010023DA010016
-:1068900024DA010025DA010026DA010027DA0100F6
-:1068A00028DA010029DA01002ADA01002BDA0100D6
-:1068B0002CDA01002DDA01002EDA01002FDA0100B6
-:1068C00030DA010031DA010032DA010033DA010096
-:1068D00034DA010035DA010036DA010037DA010076
-:1068E00038DA010039DA01003ADA01003BDA010056
-:1068F0003CDA01003DDA01003EDA01003FDA010036
-:1069000040DA010041DA010042DA010043DA010015
-:1069100044DA010045DA010046DA010047DA0100F5
-:1069200048DA010049DA01004ADA01004BDA0100D5
-:106930004CDA01004DDA01004EDA01004FDA0100B5
-:1069400050DA010051DA010052DA010053DA010095
-:1069500054DA010055DA010056DA010057DA010075
-:1069600058DA010059DA01005ADA01005BDA010055
-:106970005CDA01005DDA01005EDA01005FDA010035
-:1069800060DA010061DA010062DA010063DA010015
-:1069900064DA010065DA010066DA010067DA0100F5
-:1069A00068DA010069DA01006ADA01006BDA0100D5
-:1069B0006CDA01006DDA01006EDA01006FDA0100B5
-:1069C00070DA010071DA010072DA010073DA010095
-:1069D00074DA010075DA010076DA010077DA010075
-:1069E00078DA010079DA01007ADA01007BDA010055
-:1069F0007CDA01007DDA01007EDA01007FDA010035
-:106A000080DA010081DA010082DA010083DA010014
-:106A100084DA010085DA010086DA010087DA0100F4
-:106A200088DA010089DA01008ADA01008BDA0100D4
-:106A30008CDA01008DDA01008EDA01008FDA0100B4
-:106A400090DA010091DA010092DA010093DA010094
-:106A500094DA010095DA010096DA010097DA010074
-:106A600098DA010099DA01009ADA01009BDA010054
-:106A70009CDA01009DDA01009EDA01009FDA010034
-:106A8000A0DA0100A1DA0100A2DA0100A3DA010014
-:106A9000A4DA0100A5DA0100A6DA0100A7DA0100F4
-:106AA000A8DA0100A9DA0100AADA0100ABDA0100D4
-:106AB000ACDA0100ADDA0100AEDA0100AFDA0100B4
-:106AC000B0DA0100B1DA0100B2DA0100B3DA010094
-:106AD000B4DA0100B5DA0100B6DA0100B7DA010074
-:106AE000B8DA0100B9DA0100BADA0100BBDA010054
-:106AF000BCDA0100BDDA0100BEDA0100BFDA010034
-:106B0000C0DA0100C1DA0100C2DA0100C3DA010013
-:106B1000C4DA0100C5DA0100C6DA0100C7DA0100F3
-:106B2000C8DA0100C9DA0100CADA0100CBDA0100D3
-:106B3000CCDA0100CDDA0100CEDA0100CFDA0100B3
-:106B4000D0DA0100D1DA0100D2DA0100D3DA010093
-:106B5000D4DA0100D5DA0100D6DA0100D7DA010073
-:106B6000D8DA0100D9DA0100DADA0100DBDA010053
-:106B7000DCDA0100DDDA0100DEDA0100DFDA010033
-:106B8000E0DA0100E1DA0100E2DA0100E3DA010013
-:106B9000E4DA0100E5DA0100E6DA0100E7DA0100F3
-:106BA000E8DA0100E9DA0100EADA0100EBDA0100D3
-:106BB000ECDA0100EDDA0100EEDA0100EFDA0100B3
-:106BC000F0DA0100F1DA0100F2DA0100F3DA010093
-:106BD000F4DA0100F5DA0100F6DA0100F7DA010073
-:106BE000F8DA0100F9DA0100FADA0100FBDA010053
-:106BF000FCDA0100FDDA0100FEDA0100FFDA010033
-:106C000000DB010001DB010002DB010003DB01000E
-:106C100004DB010005DB010006DB010007DB0100EE
-:106C200008DB010009DB01000ADB01000BDB0100CE
-:106C30000CDB01000DDB01000EDB01000FDB0100AE
-:106C400010DB010011DB010012DB010013DB01008E
-:106C500014DB010015DB010016DB010017DB01006E
-:106C600018DB010019DB01001ADB01001BDB01004E
-:106C70001CDB01001DDB01001EDB01001FDB01002E
-:106C800020DB010021DB010022DB010023DB01000E
-:106C900024DB010025DB010026DB010027DB0100EE
-:106CA00028DB010029DB01002ADB01002BDB0100CE
-:106CB0002CDB01002DDB01002EDB01002FDB0100AE
-:106CC00030DB010031DB010032DB010033DB01008E
-:106CD00034DB010035DB010036DB010037DB01006E
-:106CE00038DB010039DB01003ADB01003BDB01004E
-:106CF0003CDB01003DDB01003EDB01003FDB01002E
-:106D000040DB010041DB010042DB010043DB01000D
-:106D100044DB010045DB010046DB010047DB0100ED
-:106D200048DB010049DB01004ADB01004BDB0100CD
-:106D30004CDB01004DDB01004EDB01004FDB0100AD
-:106D400050DB010051DB010052DB010053DB01008D
-:106D500054DB010055DB010056DB010057DB01006D
-:106D600058DB010059DB01005ADB01005BDB01004D
-:106D70005CDB01005DDB01005EDB01005FDB01002D
-:106D800060DB010061DB010062DB010063DB01000D
-:106D900064DB010065DB010066DB010067DB0100ED
-:106DA00068DB010069DB01006ADB01006BDB0100CD
-:106DB0006CDB01006DDB01006EDB01006FDB0100AD
-:106DC00070DB010071DB010072DB010073DB01008D
-:106DD00074DB010075DB010076DB010077DB01006D
-:106DE00078DB010079DB01007ADB01007BDB01004D
-:106DF0007CDB01007DDB01007EDB01007FDB01002D
-:106E000080DB010081DB010082DB010083DB01000C
-:106E100084DB010085DB010086DB010087DB0100EC
-:106E200088DB010089DB01008ADB01008BDB0100CC
-:106E30008CDB01008DDB01008EDB01008FDB0100AC
-:106E400090DB010091DB010092DB010093DB01008C
-:106E500094DB010095DB010096DB010097DB01006C
-:106E600098DB010099DB01009ADB01009BDB01004C
-:106E70009CDB01009DDB01009EDB01009FDB01002C
-:106E8000A0DB0100A1DB0100A2DB0100A3DB01000C
-:106E9000A4DB0100A5DB0100A6DB0100A7DB0100EC
-:106EA000A8DB0100A9DB0100AADB0100ABDB0100CC
-:106EB000ACDB0100ADDB0100AEDB0100AFDB0100AC
-:106EC000B0DB0100B1DB0100B2DB0100B3DB01008C
-:106ED000B4DB0100B5DB0100B6DB0100B7DB01006C
-:106EE000B8DB0100B9DB0100BADB0100BBDB01004C
-:106EF000BCDB0100BDDB0100BEDB0100BFDB01002C
-:106F0000C0DB0100C1DB0100C2DB0100C3DB01000B
-:106F1000C4DB0100C5DB0100C6DB0100C7DB0100EB
-:106F2000C8DB0100C9DB0100CADB0100CBDB0100CB
-:106F3000CCDB0100CDDB0100CEDB0100CFDB0100AB
-:106F4000D0DB0100D1DB0100D2DB0100D3DB01008B
-:106F5000D4DB0100D5DB0100D6DB0100D7DB01006B
-:106F6000D8DB0100D9DB0100DADB0100DBDB01004B
-:106F7000DCDB0100DDDB0100DEDB0100DFDB01002B
-:106F8000E0DB0100E1DB0100E2DB0100E3DB01000B
-:106F9000E4DB0100E5DB0100E6DB0100E7DB0100EB
-:106FA000E8DB0100E9DB0100EADB0100EBDB0100CB
-:106FB000ECDB0100EDDB0100EEDB0100EFDB0100AB
-:106FC000F0DB0100F1DB0100F2DB0100F3DB01008B
-:106FD000F4DB0100F5DB0100F6DB0100F7DB01006B
-:106FE000F8DB0100F9DB0100FADB0100FBDB01004B
-:106FF000FCDB0100FDDB0100FEDB0100FFDB01002B
-:1070000000DC010001DC010002DC010003DC010006
-:1070100004DC010005DC010006DC010007DC0100E6
-:1070200008DC010009DC01000ADC01000BDC0100C6
-:107030000CDC01000DDC01000EDC01000FDC0100A6
-:1070400010DC010011DC010012DC010013DC010086
-:1070500014DC010015DC010016DC010017DC010066
-:1070600018DC010019DC01001ADC01001BDC010046
-:107070001CDC01001DDC01001EDC01001FDC010026
-:1070800020DC010021DC010022DC010023DC010006
-:1070900024DC010025DC010026DC010027DC0100E6
-:1070A00028DC010029DC01002ADC01002BDC0100C6
-:1070B0002CDC01002DDC01002EDC01002FDC0100A6
-:1070C00030DC010031DC010032DC010033DC010086
-:1070D00034DC010035DC010036DC010037DC010066
-:1070E00038DC010039DC01003ADC01003BDC010046
-:1070F0003CDC01003DDC01003EDC01003FDC010026
-:1071000040DC010041DC010042DC010043DC010005
-:1071100044DC010045DC010046DC010047DC0100E5
-:1071200048DC010049DC01004ADC01004BDC0100C5
-:107130004CDC01004DDC01004EDC01004FDC0100A5
-:1071400050DC010051DC010052DC010053DC010085
-:1071500054DC010055DC010056DC010057DC010065
-:1071600058DC010059DC01005ADC01005BDC010045
-:107170005CDC01005DDC01005EDC01005FDC010025
-:1071800060DC010061DC010062DC010063DC010005
-:1071900064DC010065DC010066DC010067DC0100E5
-:1071A00068DC010069DC01006ADC01006BDC0100C5
-:1071B0006CDC01006DDC01006EDC01006FDC0100A5
-:1071C00070DC010071DC010072DC010073DC010085
-:1071D00074DC010075DC010076DC010077DC010065
-:1071E00078DC010079DC01007ADC01007BDC010045
-:1071F0007CDC01007DDC01007EDC01007FDC010025
-:1072000080DC010081DC010082DC010083DC010004
-:1072100084DC010085DC010086DC010087DC0100E4
-:1072200088DC010089DC01008ADC01008BDC0100C4
-:107230008CDC01008DDC01008EDC01008FDC0100A4
-:1072400090DC010091DC010092DC010093DC010084
-:1072500094DC010095DC010096DC010097DC010064
-:1072600098DC010099DC01009ADC01009BDC010044
-:107270009CDC01009DDC01009EDC01009FDC010024
-:10728000A0DC0100A1DC0100A2DC0100A3DC010004
-:10729000A4DC0100A5DC0100A6DC0100A7DC0100E4
-:1072A000A8DC0100A9DC0100AADC0100ABDC0100C4
-:1072B000ACDC0100ADDC0100AEDC0100AFDC0100A4
-:1072C000B0DC0100B1DC0100B2DC0100B3DC010084
-:1072D000B4DC0100B5DC0100B6DC0100B7DC010064
-:1072E000B8DC0100B9DC0100BADC0100BBDC010044
-:1072F000BCDC0100BDDC0100BEDC0100BFDC010024
-:10730000C0DC0100C1DC0100C2DC0100C3DC010003
-:10731000C4DC0100C5DC0100C6DC0100C7DC0100E3
-:10732000C8DC0100C9DC0100CADC0100CBDC0100C3
-:10733000CCDC0100CDDC0100CEDC0100CFDC0100A3
-:10734000D0DC0100D1DC0100D2DC0100D3DC010083
-:10735000D4DC0100D5DC0100D6DC0100D7DC010063
-:10736000D8DC0100D9DC0100DADC0100DBDC010043
-:10737000DCDC0100DDDC0100DEDC0100DFDC010023
-:10738000E0DC0100E1DC0100E2DC0100E3DC010003
-:10739000E4DC0100E5DC0100E6DC0100E7DC0100E3
-:1073A000E8DC0100E9DC0100EADC0100EBDC0100C3
-:1073B000ECDC0100EDDC0100EEDC0100EFDC0100A3
-:1073C000F0DC0100F1DC0100F2DC0100F3DC010083
-:1073D000F4DC0100F5DC0100F6DC0100F7DC010063
-:1073E000F8DC0100F9DC0100FADC0100FBDC010043
-:1073F000FCDC0100FDDC0100FEDC0100FFDC010023
-:1074000000DD010001DD010002DD010003DD0100FE
-:1074100004DD010005DD010006DD010007DD0100DE
-:1074200008DD010009DD01000ADD01000BDD0100BE
-:107430000CDD01000DDD01000EDD01000FDD01009E
-:1074400010DD010011DD010012DD010013DD01007E
-:1074500014DD010015DD010016DD010017DD01005E
-:1074600018DD010019DD01001ADD01001BDD01003E
-:107470001CDD01001DDD01001EDD01001FDD01001E
-:1074800020DD010021DD010022DD010023DD0100FE
-:1074900024DD010025DD010026DD010027DD0100DE
-:1074A00028DD010029DD01002ADD01002BDD0100BE
-:1074B0002CDD01002DDD01002EDD01002FDD01009E
-:1074C00030DD010031DD010032DD010033DD01007E
-:1074D00034DD010035DD010036DD010037DD01005E
-:1074E00038DD010039DD01003ADD01003BDD01003E
-:1074F0003CDD01003DDD01003EDD01003FDD01001E
-:1075000040DD010041DD010042DD010043DD0100FD
-:1075100044DD010045DD010046DD010047DD0100DD
-:1075200048DD010049DD01004ADD01004BDD0100BD
-:107530004CDD01004DDD01004EDD01004FDD01009D
-:1075400050DD010051DD010052DD010053DD01007D
-:1075500054DD010055DD010056DD010057DD01005D
-:1075600058DD010059DD01005ADD01005BDD01003D
-:107570005CDD01005DDD01005EDD01005FDD01001D
-:1075800060DD010061DD010062DD010063DD0100FD
-:1075900064DD010065DD010066DD010067DD0100DD
-:1075A00068DD010069DD01006ADD01006BDD0100BD
-:1075B0006CDD01006DDD01006EDD01006FDD01009D
-:1075C00070DD010071DD010072DD010073DD01007D
-:1075D00074DD010075DD010076DD010077DD01005D
-:1075E00078DD010079DD01007ADD01007BDD01003D
-:1075F0007CDD01007DDD01007EDD01007FDD01001D
-:1076000080DD010081DD010082DD010083DD0100FC
-:1076100084DD010085DD010086DD010087DD0100DC
-:1076200088DD010089DD01008ADD01008BDD0100BC
-:107630008CDD01008DDD01008EDD01008FDD01009C
-:1076400090DD010091DD010092DD010093DD01007C
-:1076500094DD010095DD010096DD010097DD01005C
-:1076600098DD010099DD01009ADD01009BDD01003C
-:107670009CDD01009DDD01009EDD01009FDD01001C
-:10768000A0DD0100A1DD0100A2DD0100A3DD0100FC
-:10769000A4DD0100A5DD0100A6DD0100A7DD0100DC
-:1076A000A8DD0100A9DD0100AADD0100ABDD0100BC
-:1076B000ACDD0100ADDD0100AEDD0100AFDD01009C
-:1076C000B0DD0100B1DD0100B2DD0100B3DD01007C
-:1076D000B4DD0100B5DD0100B6DD0100B7DD01005C
-:1076E000B8DD0100B9DD0100BADD0100BBDD01003C
-:1076F000BCDD0100BDDD0100BEDD0100BFDD01001C
-:10770000C0DD0100C1DD0100C2DD0100C3DD0100FB
-:10771000C4DD0100C5DD0100C6DD0100C7DD0100DB
-:10772000C8DD0100C9DD0100CADD0100CBDD0100BB
-:10773000CCDD0100CDDD0100CEDD0100CFDD01009B
-:10774000D0DD0100D1DD0100D2DD0100D3DD01007B
-:10775000D4DD0100D5DD0100D6DD0100D7DD01005B
-:10776000D8DD0100D9DD0100DADD0100DBDD01003B
-:10777000DCDD0100DDDD0100DEDD0100DFDD01001B
-:10778000E0DD0100E1DD0100E2DD0100E3DD0100FB
-:10779000E4DD0100E5DD0100E6DD0100E7DD0100DB
-:1077A000E8DD0100E9DD0100EADD0100EBDD0100BB
-:1077B000ECDD0100EDDD0100EEDD0100EFDD01009B
-:1077C000F0DD0100F1DD0100F2DD0100F3DD01007B
-:1077D000F4DD0100F5DD0100F6DD0100F7DD01005B
-:1077E000F8DD0100F9DD0100FADD0100FBDD01003B
-:1077F000FCDD0100FDDD0100FEDD0100FFDD01001B
-:1078000000DE010001DE010002DE010003DE0100F6
-:1078100004DE010005DE010006DE010007DE0100D6
-:1078200008DE010009DE01000ADE01000BDE0100B6
-:107830000CDE01000DDE01000EDE01000FDE010096
-:1078400010DE010011DE010012DE010013DE010076
-:1078500014DE010015DE010016DE010017DE010056
-:1078600018DE010019DE01001ADE01001BDE010036
-:107870001CDE01001DDE01001EDE01001FDE010016
-:1078800020DE010021DE010022DE010023DE0100F6
-:1078900024DE010025DE010026DE010027DE0100D6
-:1078A00028DE010029DE01002ADE01002BDE0100B6
-:1078B0002CDE01002DDE01002EDE01002FDE010096
-:1078C00030DE010031DE010032DE010033DE010076
-:1078D00034DE010035DE010036DE010037DE010056
-:1078E00038DE010039DE01003ADE01003BDE010036
-:1078F0003CDE01003DDE01003EDE01003FDE010016
-:1079000040DE010041DE010042DE010043DE0100F5
-:1079100044DE010045DE010046DE010047DE0100D5
-:1079200048DE010049DE01004ADE01004BDE0100B5
-:107930004CDE01004DDE01004EDE01004FDE010095
-:1079400050DE010051DE010052DE010053DE010075
-:1079500054DE010055DE010056DE010057DE010055
-:1079600058DE010059DE01005ADE01005BDE010035
-:107970005CDE01005DDE01005EDE01005FDE010015
-:1079800060DE010061DE010062DE010063DE0100F5
-:1079900064DE010065DE010066DE010067DE0100D5
-:1079A00068DE010069DE01006ADE01006BDE0100B5
-:1079B0006CDE01006DDE01006EDE01006FDE010095
-:1079C00070DE010071DE010072DE010073DE010075
-:1079D00074DE010075DE010076DE010077DE010055
-:1079E00078DE010079DE01007ADE01007BDE010035
-:1079F0007CDE01007DDE01007EDE01007FDE010015
-:107A000080DE010081DE010082DE010083DE0100F4
-:107A100084DE010085DE010086DE010087DE0100D4
-:107A200088DE010089DE01008ADE01008BDE0100B4
-:107A30008CDE01008DDE01008EDE01008FDE010094
-:107A400090DE010091DE010092DE010093DE010074
-:107A500094DE010095DE010096DE010097DE010054
-:107A600098DE010099DE01009ADE01009BDE010034
-:107A70009CDE01009DDE01009EDE01009FDE010014
-:107A8000A0DE0100A1DE0100A2DE0100A3DE0100F4
-:107A9000A4DE0100A5DE0100A6DE0100A7DE0100D4
-:107AA000A8DE0100A9DE0100AADE0100ABDE0100B4
-:107AB000ACDE0100ADDE0100AEDE0100AFDE010094
-:107AC000B0DE0100B1DE0100B2DE0100B3DE010074
-:107AD000B4DE0100B5DE0100B6DE0100B7DE010054
-:107AE000B8DE0100B9DE0100BADE0100BBDE010034
-:107AF000BCDE0100BDDE0100BEDE0100BFDE010014
-:107B0000C0DE0100C1DE0100C2DE0100C3DE0100F3
-:107B1000C4DE0100C5DE0100C6DE0100C7DE0100D3
-:107B2000C8DE0100C9DE0100CADE0100CBDE0100B3
-:107B3000CCDE0100CDDE0100CEDE0100CFDE010093
-:107B4000D0DE0100D1DE0100D2DE0100D3DE010073
-:107B5000D4DE0100D5DE0100D6DE0100D7DE010053
-:107B6000D8DE0100D9DE0100DADE0100DBDE010033
-:107B7000DCDE0100DDDE0100DEDE0100DFDE010013
-:107B8000E0DE0100E1DE0100E2DE0100E3DE0100F3
-:107B9000E4DE0100E5DE0100E6DE0100E7DE0100D3
-:107BA000E8DE0100E9DE0100EADE0100EBDE0100B3
-:107BB000ECDE0100EDDE0100EEDE0100EFDE010093
-:107BC000F0DE0100F1DE0100F2DE0100F3DE010073
-:107BD000F4DE0100F5DE0100F6DE0100F7DE010053
-:107BE000F8DE0100F9DE0100FADE0100FBDE010033
-:107BF000FCDE0100FDDE0100FEDE0100FFDE010013
-:107C000000DF010001DF010002DF010003DF0100EE
-:107C100004DF010005DF010006DF010007DF0100CE
-:107C200008DF010009DF01000ADF01000BDF0100AE
-:107C30000CDF01000DDF01000EDF01000FDF01008E
-:107C400010DF010011DF010012DF010013DF01006E
-:107C500014DF010015DF010016DF010017DF01004E
-:107C600018DF010019DF01001ADF01001BDF01002E
-:107C70001CDF01001DDF01001EDF01001FDF01000E
-:107C800020DF010021DF010022DF010023DF0100EE
-:107C900024DF010025DF010026DF010027DF0100CE
-:107CA00028DF010029DF01002ADF01002BDF0100AE
-:107CB0002CDF01002DDF01002EDF01002FDF01008E
-:107CC00030DF010031DF010032DF010033DF01006E
-:107CD00034DF010035DF010036DF010037DF01004E
-:107CE00038DF010039DF01003ADF01003BDF01002E
-:107CF0003CDF01003DDF01003EDF01003FDF01000E
-:107D000040DF010041DF010042DF010043DF0100ED
-:107D100044DF010045DF010046DF010047DF0100CD
-:107D200048DF010049DF01004ADF01004BDF0100AD
-:107D30004CDF01004DDF01004EDF01004FDF01008D
-:107D400050DF010051DF010052DF010053DF01006D
-:107D500054DF010055DF010056DF010057DF01004D
-:107D600058DF010059DF01005ADF01005BDF01002D
-:107D70005CDF01005DDF01005EDF01005FDF01000D
-:107D800060DF010061DF010062DF010063DF0100ED
-:107D900064DF010065DF010066DF010067DF0100CD
-:107DA00068DF010069DF01006ADF01006BDF0100AD
-:107DB0006CDF01006DDF01006EDF01006FDF01008D
-:107DC00070DF010071DF010072DF010073DF01006D
-:107DD00074DF010075DF010076DF010077DF01004D
-:107DE00078DF010079DF01007ADF01007BDF01002D
-:107DF0007CDF01007DDF01007EDF01007FDF01000D
-:107E000080DF010081DF010082DF010083DF0100EC
-:107E100084DF010085DF010086DF010087DF0100CC
-:107E200088DF010089DF01008ADF01008BDF0100AC
-:107E30008CDF01008DDF01008EDF01008FDF01008C
-:107E400090DF010091DF010092DF010093DF01006C
-:107E500094DF010095DF010096DF010097DF01004C
-:107E600098DF010099DF01009ADF01009BDF01002C
-:107E70009CDF01009DDF01009EDF01009FDF01000C
-:107E8000A0DF0100A1DF0100A2DF0100A3DF0100EC
-:107E9000A4DF0100A5DF0100A6DF0100A7DF0100CC
-:107EA000A8DF0100A9DF0100AADF0100ABDF0100AC
-:107EB000ACDF0100ADDF0100AEDF0100AFDF01008C
-:107EC000B0DF0100B1DF0100B2DF0100B3DF01006C
-:107ED000B4DF0100B5DF0100B6DF0100B7DF01004C
-:107EE000B8DF0100B9DF0100BADF0100BBDF01002C
-:107EF000BCDF0100BDDF0100BEDF0100BFDF01000C
-:107F0000C0DF0100C1DF0100C2DF0100C3DF0100EB
-:107F1000C4DF0100C5DF0100C6DF0100C7DF0100CB
-:107F2000C8DF0100C9DF0100CADF0100CBDF0100AB
-:107F3000CCDF0100CDDF0100CEDF0100CFDF01008B
-:107F4000D0DF0100D1DF0100D2DF0100D3DF01006B
-:107F5000D4DF0100D5DF0100D6DF0100D7DF01004B
-:107F6000D8DF0100D9DF0100DADF0100DBDF01002B
-:107F7000DCDF0100DDDF0100DEDF0100DFDF01000B
-:107F8000E0DF0100E1DF0100E2DF0100E3DF0100EB
-:107F9000E4DF0100E5DF0100E6DF0100E7DF0100CB
-:107FA000E8DF0100E9DF0100EADF0100EBDF0100AB
-:107FB000ECDF0100EDDF0100EEDF0100EFDF01008B
-:107FC000F0DF0100F1DF0100F2DF0100F3DF01006B
-:107FD000F4DF0100F5DF0100F6DF0100F7DF01004B
-:107FE000F8DF0100F9DF0100FADF0100FBDF01002B
-:107FF000FCDF0100FDDF0100FEDF0100FFDF01000B
-:1080000000E0010001E0010002E0010003E00100E6
-:1080100004E0010005E0010006E0010007E00100C6
-:1080200008E0010009E001000AE001000BE00100A6
-:108030000CE001000DE001000EE001000FE0010086
-:1080400010E0010011E0010012E0010013E0010066
-:1080500014E0010015E0010016E0010017E0010046
-:1080600018E0010019E001001AE001001BE0010026
-:108070001CE001001DE001001EE001001FE0010006
-:1080800020E0010021E0010022E0010023E00100E6
-:1080900024E0010025E0010026E0010027E00100C6
-:1080A00028E0010029E001002AE001002BE00100A6
-:1080B0002CE001002DE001002EE001002FE0010086
-:1080C00030E0010031E0010032E0010033E0010066
-:1080D00034E0010035E0010036E0010037E0010046
-:1080E00038E0010039E001003AE001003BE0010026
-:1080F0003CE001003DE001003EE001003FE0010006
-:1081000040E0010041E0010042E0010043E00100E5
-:1081100044E0010045E0010046E0010047E00100C5
-:1081200048E0010049E001004AE001004BE00100A5
-:108130004CE001004DE001004EE001004FE0010085
-:1081400050E0010051E0010052E0010053E0010065
-:1081500054E0010055E0010056E0010057E0010045
-:1081600058E0010059E001005AE001005BE0010025
-:108170005CE001005DE001005EE001005FE0010005
-:1081800060E0010061E0010062E0010063E00100E5
-:1081900064E0010065E0010066E0010067E00100C5
-:1081A00068E0010069E001006AE001006BE00100A5
-:1081B0006CE001006DE001006EE001006FE0010085
-:1081C00070E0010071E0010072E0010073E0010065
-:1081D00074E0010075E0010076E0010077E0010045
-:1081E00078E0010079E001007AE001007BE0010025
-:1081F0007CE001007DE001007EE001007FE0010005
-:1082000080E0010081E0010082E0010083E00100E4
-:1082100084E0010085E0010086E0010087E00100C4
-:1082200088E0010089E001008AE001008BE00100A4
-:108230008CE001008DE001008EE001008FE0010084
-:1082400090E0010091E0010092E0010093E0010064
-:1082500094E0010095E0010096E0010097E0010044
-:1082600098E0010099E001009AE001009BE0010024
-:108270009CE001009DE001009EE001009FE0010004
-:10828000A0E00100A1E00100A2E00100A3E00100E4
-:10829000A4E00100A5E00100A6E00100A7E00100C4
-:1082A000A8E00100A9E00100AAE00100ABE00100A4
-:1082B000ACE00100ADE00100AEE00100AFE0010084
-:1082C000B0E00100B1E00100B2E00100B3E0010064
-:1082D000B4E00100B5E00100B6E00100B7E0010044
-:1082E000B8E00100B9E00100BAE00100BBE0010024
-:1082F000BCE00100BDE00100BEE00100BFE0010004
-:10830000C0E00100C1E00100C2E00100C3E00100E3
-:10831000C4E00100C5E00100C6E00100C7E00100C3
-:10832000C8E00100C9E00100CAE00100CBE00100A3
-:10833000CCE00100CDE00100CEE00100CFE0010083
-:10834000D0E00100D1E00100D2E00100D3E0010063
-:10835000D4E00100D5E00100D6E00100D7E0010043
-:10836000D8E00100D9E00100DAE00100DBE0010023
-:10837000DCE00100DDE00100DEE00100DFE0010003
-:10838000E0E00100E1E00100E2E00100E3E00100E3
-:10839000E4E00100E5E00100E6E00100E7E00100C3
-:1083A000E8E00100E9E00100EAE00100EBE00100A3
-:1083B000ECE00100EDE00100EEE00100EFE0010083
-:1083C000F0E00100F1E00100F2E00100F3E0010063
-:1083D000F4E00100F5E00100F6E00100F7E0010043
-:1083E000F8E00100F9E00100FAE00100FBE0010023
-:1083F000FCE00100FDE00100FEE00100FFE0010003
-:1084000000E1010001E1010002E1010003E10100DE
-:1084100004E1010005E1010006E1010007E10100BE
-:1084200008E1010009E101000AE101000BE101009E
-:108430000CE101000DE101000EE101000FE101007E
-:1084400010E1010011E1010012E1010013E101005E
-:1084500014E1010015E1010016E1010017E101003E
-:1084600018E1010019E101001AE101001BE101001E
-:108470001CE101001DE101001EE101001FE10100FE
-:1084800020E1010021E1010022E1010023E10100DE
-:1084900024E1010025E1010026E1010027E10100BE
-:1084A00028E1010029E101002AE101002BE101009E
-:1084B0002CE101002DE101002EE101002FE101007E
-:1084C00030E1010031E1010032E1010033E101005E
-:1084D00034E1010035E1010036E1010037E101003E
-:1084E00038E1010039E101003AE101003BE101001E
-:1084F0003CE101003DE101003EE101003FE10100FE
-:1085000040E1010041E1010042E1010043E10100DD
-:1085100044E1010045E1010046E1010047E10100BD
-:1085200048E1010049E101004AE101004BE101009D
-:108530004CE101004DE101004EE101004FE101007D
-:1085400050E1010051E1010052E1010053E101005D
-:1085500054E1010055E1010056E1010057E101003D
-:1085600058E1010059E101005AE101005BE101001D
-:108570005CE101005DE101005EE101005FE10100FD
-:1085800060E1010061E1010062E1010063E10100DD
-:1085900064E1010065E1010066E1010067E10100BD
-:1085A00068E1010069E101006AE101006BE101009D
-:1085B0006CE101006DE101006EE101006FE101007D
-:1085C00070E1010071E1010072E1010073E101005D
-:1085D00074E1010075E1010076E1010077E101003D
-:1085E00078E1010079E101007AE101007BE101001D
-:1085F0007CE101007DE101007EE101007FE10100FD
-:1086000080E1010081E1010082E1010083E10100DC
-:1086100084E1010085E1010086E1010087E10100BC
-:1086200088E1010089E101008AE101008BE101009C
-:108630008CE101008DE101008EE101008FE101007C
-:1086400090E1010091E1010092E1010093E101005C
-:1086500094E1010095E1010096E1010097E101003C
-:1086600098E1010099E101009AE101009BE101001C
-:108670009CE101009DE101009EE101009FE10100FC
-:10868000A0E10100A1E10100A2E10100A3E10100DC
-:10869000A4E10100A5E10100A6E10100A7E10100BC
-:1086A000A8E10100A9E10100AAE10100ABE101009C
-:1086B000ACE10100ADE10100AEE10100AFE101007C
-:1086C000B0E10100B1E10100B2E10100B3E101005C
-:1086D000B4E10100B5E10100B6E10100B7E101003C
-:1086E000B8E10100B9E10100BAE10100BBE101001C
-:1086F000BCE10100BDE10100BEE10100BFE10100FC
-:10870000C0E10100C1E10100C2E10100C3E10100DB
-:10871000C4E10100C5E10100C6E10100C7E10100BB
-:10872000C8E10100C9E10100CAE10100CBE101009B
-:10873000CCE10100CDE10100CEE10100CFE101007B
-:10874000D0E10100D1E10100D2E10100D3E101005B
-:10875000D4E10100D5E10100D6E10100D7E101003B
-:10876000D8E10100D9E10100DAE10100DBE101001B
-:10877000DCE10100DDE10100DEE10100DFE10100FB
-:10878000E0E10100E1E10100E2E10100E3E10100DB
-:10879000E4E10100E5E10100E6E10100E7E10100BB
-:1087A000E8E10100E9E10100EAE10100EBE101009B
-:1087B000ECE10100EDE10100EEE10100EFE101007B
-:1087C000F0E10100F1E10100F2E10100F3E101005B
-:1087D000F4E10100F5E10100F6E10100F7E101003B
-:1087E000F8E10100F9E10100FAE10100FBE101001B
-:1087F000FCE10100FDE10100FEE10100FFE10100FB
-:1088000000E2010001E2010002E2010003E20100D6
-:1088100004E2010005E2010006E2010007E20100B6
-:1088200008E2010009E201000AE201000BE2010096
-:108830000CE201000DE201000EE201000FE2010076
-:1088400010E2010011E2010012E2010013E2010056
-:1088500014E2010015E2010016E2010017E2010036
-:1088600018E2010019E201001AE201001BE2010016
-:108870001CE201001DE201001EE201001FE20100F6
-:1088800020E2010021E2010022E2010023E20100D6
-:1088900024E2010025E2010026E2010027E20100B6
-:1088A00028E2010029E201002AE201002BE2010096
-:1088B0002CE201002DE201002EE201002FE2010076
-:1088C00030E2010031E2010032E2010033E2010056
-:1088D00034E2010035E2010036E2010037E2010036
-:1088E00038E2010039E201003AE201003BE2010016
-:1088F0003CE201003DE201003EE201003FE20100F6
-:1089000040E2010041E2010042E2010043E20100D5
-:1089100044E2010045E2010046E2010047E20100B5
-:1089200048E2010049E201004AE201004BE2010095
-:108930004CE201004DE201004EE201004FE2010075
-:1089400050E2010051E2010052E2010053E2010055
-:1089500054E2010055E2010056E2010057E2010035
-:1089600058E2010059E201005AE201005BE2010015
-:108970005CE201005DE201005EE201005FE20100F5
-:1089800060E2010061E2010062E2010063E20100D5
-:1089900064E2010065E2010066E2010067E20100B5
-:1089A00068E2010069E201006AE201006BE2010095
-:1089B0006CE201006DE201006EE201006FE2010075
-:1089C00070E2010071E2010072E2010073E2010055
-:1089D00074E2010075E2010076E2010077E2010035
-:1089E00078E2010079E201007AE201007BE2010015
-:1089F0007CE201007DE201007EE201007FE20100F5
-:108A000080E2010081E2010082E2010083E20100D4
-:108A100084E2010085E2010086E2010087E20100B4
-:108A200088E2010089E201008AE201008BE2010094
-:108A30008CE201008DE201008EE201008FE2010074
-:108A400090E2010091E2010092E2010093E2010054
-:108A500094E2010095E2010096E2010097E2010034
-:108A600098E2010099E201009AE201009BE2010014
-:108A70009CE201009DE201009EE201009FE20100F4
-:108A8000A0E20100A1E20100A2E20100A3E20100D4
-:108A9000A4E20100A5E20100A6E20100A7E20100B4
-:108AA000A8E20100A9E20100AAE20100ABE2010094
-:108AB000ACE20100ADE20100AEE20100AFE2010074
-:108AC000B0E20100B1E20100B2E20100B3E2010054
-:108AD000B4E20100B5E20100B6E20100B7E2010034
-:108AE000B8E20100B9E20100BAE20100BBE2010014
-:108AF000BCE20100BDE20100BEE20100BFE20100F4
-:108B0000C0E20100C1E20100C2E20100C3E20100D3
-:108B1000C4E20100C5E20100C6E20100C7E20100B3
-:108B2000C8E20100C9E20100CAE20100CBE2010093
-:108B3000CCE20100CDE20100CEE20100CFE2010073
-:108B4000D0E20100D1E20100D2E20100D3E2010053
-:108B5000D4E20100D5E20100D6E20100D7E2010033
-:108B6000D8E20100D9E20100DAE20100DBE2010013
-:108B7000DCE20100DDE20100DEE20100DFE20100F3
-:108B8000E0E20100E1E20100E2E20100E3E20100D3
-:108B9000E4E20100E5E20100E6E20100E7E20100B3
-:108BA000E8E20100E9E20100EAE20100EBE2010093
-:108BB000ECE20100EDE20100EEE20100EFE2010073
-:108BC000F0E20100F1E20100F2E20100F3E2010053
-:108BD000F4E20100F5E20100F6E20100F7E2010033
-:108BE000F8E20100F9E20100FAE20100FBE2010013
-:108BF000FCE20100FDE20100FEE20100FFE20100F3
-:108C000000E3010001E3010002E3010003E30100CE
-:108C100004E3010005E3010006E3010007E30100AE
-:108C200008E3010009E301000AE301000BE301008E
-:108C30000CE301000DE301000EE301000FE301006E
-:108C400010E3010011E3010012E3010013E301004E
-:108C500014E3010015E3010016E3010017E301002E
-:108C600018E3010019E301001AE301001BE301000E
-:108C70001CE301001DE301001EE301001FE30100EE
-:108C800020E3010021E3010022E3010023E30100CE
-:108C900024E3010025E3010026E3010027E30100AE
-:108CA00028E3010029E301002AE301002BE301008E
-:108CB0002CE301002DE301002EE301002FE301006E
-:108CC00030E3010031E3010032E3010033E301004E
-:108CD00034E3010035E3010036E3010037E301002E
-:108CE00038E3010039E301003AE301003BE301000E
-:108CF0003CE301003DE301003EE301003FE30100EE
-:108D000040E3010041E3010042E3010043E30100CD
-:108D100044E3010045E3010046E3010047E30100AD
-:108D200048E3010049E301004AE301004BE301008D
-:108D30004CE301004DE301004EE301004FE301006D
-:108D400050E3010051E3010052E3010053E301004D
-:108D500054E3010055E3010056E3010057E301002D
-:108D600058E3010059E301005AE301005BE301000D
-:108D70005CE301005DE301005EE301005FE30100ED
-:108D800060E3010061E3010062E3010063E30100CD
-:108D900064E3010065E3010066E3010067E30100AD
-:108DA00068E3010069E301006AE301006BE301008D
-:108DB0006CE301006DE301006EE301006FE301006D
-:108DC00070E3010071E3010072E3010073E301004D
-:108DD00074E3010075E3010076E3010077E301002D
-:108DE00078E3010079E301007AE301007BE301000D
-:108DF0007CE301007DE301007EE301007FE30100ED
-:108E000080E3010081E3010082E3010083E30100CC
-:108E100084E3010085E3010086E3010087E30100AC
-:108E200088E3010089E301008AE301008BE301008C
-:108E30008CE301008DE301008EE301008FE301006C
-:108E400090E3010091E3010092E3010093E301004C
-:108E500094E3010095E3010096E3010097E301002C
-:108E600098E3010099E301009AE301009BE301000C
-:108E70009CE301009DE301009EE301009FE30100EC
-:108E8000A0E30100A1E30100A2E30100A3E30100CC
-:108E9000A4E30100A5E30100A6E30100A7E30100AC
-:108EA000A8E30100A9E30100AAE30100ABE301008C
-:108EB000ACE30100ADE30100AEE30100AFE301006C
-:108EC000B0E30100B1E30100B2E30100B3E301004C
-:108ED000B4E30100B5E30100B6E30100B7E301002C
-:108EE000B8E30100B9E30100BAE30100BBE301000C
-:108EF000BCE30100BDE30100BEE30100BFE30100EC
-:108F0000C0E30100C1E30100C2E30100C3E30100CB
-:108F1000C4E30100C5E30100C6E30100C7E30100AB
-:108F2000C8E30100C9E30100CAE30100CBE301008B
-:108F3000CCE30100CDE30100CEE30100CFE301006B
-:108F4000D0E30100D1E30100D2E30100D3E301004B
-:108F5000D4E30100D5E30100D6E30100D7E301002B
-:108F6000D8E30100D9E30100DAE30100DBE301000B
-:108F7000DCE30100DDE30100DEE30100DFE30100EB
-:108F8000E0E30100E1E30100E2E30100E3E30100CB
-:108F9000E4E30100E5E30100E6E30100E7E30100AB
-:108FA000E8E30100E9E30100EAE30100EBE301008B
-:108FB000ECE30100EDE30100EEE30100EFE301006B
-:108FC000F0E30100F1E30100F2E30100F3E301004B
-:108FD000F4E30100F5E30100F6E30100F7E301002B
-:108FE000F8E30100F9E30100FAE30100FBE301000B
-:108FF000FCE30100FDE30100FEE30100FFE30100EB
-:1090000000E4010001E4010002E4010003E40100C6
-:1090100004E4010005E4010006E4010007E40100A6
-:1090200008E4010009E401000AE401000BE4010086
-:109030000CE401000DE401000EE401000FE4010066
-:1090400010E4010011E4010012E4010013E4010046
-:1090500014E4010015E4010016E4010017E4010026
-:1090600018E4010019E401001AE401001BE4010006
-:109070001CE401001DE401001EE401001FE40100E6
-:1090800020E4010021E4010022E4010023E40100C6
-:1090900024E4010025E4010026E4010027E40100A6
-:1090A00028E4010029E401002AE401002BE4010086
-:1090B0002CE401002DE401002EE401002FE4010066
-:1090C00030E4010031E4010032E4010033E4010046
-:1090D00034E4010035E4010036E4010037E4010026
-:1090E00038E4010039E401003AE401003BE4010006
-:1090F0003CE401003DE401003EE401003FE40100E6
-:1091000040E4010041E4010042E4010043E40100C5
-:1091100044E4010045E4010046E4010047E40100A5
-:1091200048E4010049E401004AE401004BE4010085
-:109130004CE401004DE401004EE401004FE4010065
-:1091400050E4010051E4010052E4010053E4010045
-:1091500054E4010055E4010056E4010057E4010025
-:1091600058E4010059E401005AE401005BE4010005
-:109170005CE401005DE401005EE401005FE40100E5
-:1091800060E4010061E4010062E4010063E40100C5
-:1091900064E4010065E4010066E4010067E40100A5
-:1091A00068E4010069E401006AE401006BE4010085
-:1091B0006CE401006DE401006EE401006FE4010065
-:1091C00070E4010071E4010072E4010073E4010045
-:1091D00074E4010075E4010076E4010077E4010025
-:1091E00078E4010079E401007AE401007BE4010005
-:1091F0007CE401007DE401007EE401007FE40100E5
-:1092000080E4010081E4010082E4010083E40100C4
-:1092100084E4010085E4010086E4010087E40100A4
-:1092200088E4010089E401008AE401008BE4010084
-:109230008CE401008DE401008EE401008FE4010064
-:1092400090E4010091E4010092E4010093E4010044
-:1092500094E4010095E4010096E4010097E4010024
-:1092600098E4010099E401009AE401009BE4010004
-:109270009CE401009DE401009EE401009FE40100E4
-:10928000A0E40100A1E40100A2E40100A3E40100C4
-:10929000A4E40100A5E40100A6E40100A7E40100A4
-:1092A000A8E40100A9E40100AAE40100ABE4010084
-:1092B000ACE40100ADE40100AEE40100AFE4010064
-:1092C000B0E40100B1E40100B2E40100B3E4010044
-:1092D000B4E40100B5E40100B6E40100B7E4010024
-:1092E000B8E40100B9E40100BAE40100BBE4010004
-:1092F000BCE40100BDE40100BEE40100BFE40100E4
-:10930000C0E40100C1E40100C2E40100C3E40100C3
-:10931000C4E40100C5E40100C6E40100C7E40100A3
-:10932000C8E40100C9E40100CAE40100CBE4010083
-:10933000CCE40100CDE40100CEE40100CFE4010063
-:10934000D0E40100D1E40100D2E40100D3E4010043
-:10935000D4E40100D5E40100D6E40100D7E4010023
-:10936000D8E40100D9E40100DAE40100DBE4010003
-:10937000DCE40100DDE40100DEE40100DFE40100E3
-:10938000E0E40100E1E40100E2E40100E3E40100C3
-:10939000E4E40100E5E40100E6E40100E7E40100A3
-:1093A000E8E40100E9E40100EAE40100EBE4010083
-:1093B000ECE40100EDE40100EEE40100EFE4010063
-:1093C000F0E40100F1E40100F2E40100F3E4010043
-:1093D000F4E40100F5E40100F6E40100F7E4010023
-:1093E000F8E40100F9E40100FAE40100FBE4010003
-:1093F000FCE40100FDE40100FEE40100FFE40100E3
-:1094000000E5010001E5010002E5010003E50100BE
-:1094100004E5010005E5010006E5010007E501009E
-:1094200008E5010009E501000AE501000BE501007E
-:109430000CE501000DE501000EE501000FE501005E
-:1094400010E5010011E5010012E5010013E501003E
-:1094500014E5010015E5010016E5010017E501001E
-:1094600018E5010019E501001AE501001BE50100FE
-:109470001CE501001DE501001EE501001FE50100DE
-:1094800020E5010021E5010022E5010023E50100BE
-:1094900024E5010025E5010026E5010027E501009E
-:1094A00028E5010029E501002AE501002BE501007E
-:1094B0002CE501002DE501002EE501002FE501005E
-:1094C00030E5010031E5010032E5010033E501003E
-:1094D00034E5010035E5010036E5010037E501001E
-:1094E00038E5010039E501003AE501003BE50100FE
-:1094F0003CE501003DE501003EE501003FE50100DE
-:1095000040E5010041E5010042E5010043E50100BD
-:1095100044E5010045E5010046E5010047E501009D
-:1095200048E5010049E501004AE501004BE501007D
-:109530004CE501004DE501004EE501004FE501005D
-:1095400050E5010051E5010052E5010053E501003D
-:1095500054E5010055E5010056E5010057E501001D
-:1095600058E5010059E501005AE501005BE50100FD
-:109570005CE501005DE501005EE501005FE50100DD
-:1095800060E5010061E5010062E5010063E50100BD
-:1095900064E5010065E5010066E5010067E501009D
-:1095A00068E5010069E501006AE501006BE501007D
-:1095B0006CE501006DE501006EE501006FE501005D
-:1095C00070E5010071E5010072E5010073E501003D
-:1095D00074E5010075E5010076E5010077E501001D
-:1095E00078E5010079E501007AE501007BE50100FD
-:1095F0007CE501007DE501007EE501007FE50100DD
-:1096000080E5010081E5010082E5010083E50100BC
-:1096100084E5010085E5010086E5010087E501009C
-:1096200088E5010089E501008AE501008BE501007C
-:109630008CE501008DE501008EE501008FE501005C
-:1096400090E5010091E5010092E5010093E501003C
-:1096500094E5010095E5010096E5010097E501001C
-:1096600098E5010099E501009AE501009BE50100FC
-:109670009CE501009DE501009EE501009FE50100DC
-:10968000A0E50100A1E50100A2E50100A3E50100BC
-:10969000A4E50100A5E50100A6E50100A7E501009C
-:1096A000A8E50100A9E50100AAE50100ABE501007C
-:1096B000ACE50100ADE50100AEE50100AFE501005C
-:1096C000B0E50100B1E50100B2E50100B3E501003C
-:1096D000B4E50100B5E50100B6E50100B7E501001C
-:1096E000B8E50100B9E50100BAE50100BBE50100FC
-:1096F000BCE50100BDE50100BEE50100BFE50100DC
-:10970000C0E50100C1E50100C2E50100C3E50100BB
-:10971000C4E50100C5E50100C6E50100C7E501009B
-:10972000C8E50100C9E50100CAE50100CBE501007B
-:10973000CCE50100CDE50100CEE50100CFE501005B
-:10974000D0E50100D1E50100D2E50100D3E501003B
-:10975000D4E50100D5E50100D6E50100D7E501001B
-:10976000D8E50100D9E50100DAE50100DBE50100FB
-:10977000DCE50100DDE50100DEE50100DFE50100DB
-:10978000E0E50100E1E50100E2E50100E3E50100BB
-:10979000E4E50100E5E50100E6E50100E7E501009B
-:1097A000E8E50100E9E50100EAE50100EBE501007B
-:1097B000ECE50100EDE50100EEE50100EFE501005B
-:1097C000F0E50100F1E50100F2E50100F3E501003B
-:1097D000F4E50100F5E50100F6E50100F7E501001B
-:1097E000F8E50100F9E50100FAE50100FBE50100FB
-:1097F000FCE50100FDE50100FEE50100FFE50100DB
-:1098000000E6010001E6010002E6010003E60100B6
-:1098100004E6010005E6010006E6010007E6010096
-:1098200008E6010009E601000AE601000BE6010076
-:109830000CE601000DE601000EE601000FE6010056
-:1098400010E6010011E6010012E6010013E6010036
-:1098500014E6010015E6010016E6010017E6010016
-:1098600018E6010019E601001AE601001BE60100F6
-:109870001CE601001DE601001EE601001FE60100D6
-:1098800020E6010021E6010022E6010023E60100B6
-:1098900024E6010025E6010026E6010027E6010096
-:1098A00028E6010029E601002AE601002BE6010076
-:1098B0002CE601002DE601002EE601002FE6010056
-:1098C00030E6010031E6010032E6010033E6010036
-:1098D00034E6010035E6010036E6010037E6010016
-:1098E00038E6010039E601003AE601003BE60100F6
-:1098F0003CE601003DE601003EE601003FE60100D6
-:1099000040E6010041E6010042E6010043E60100B5
-:1099100044E6010045E6010046E6010047E6010095
-:1099200048E6010049E601004AE601004BE6010075
-:109930004CE601004DE601004EE601004FE6010055
-:1099400050E6010051E6010052E6010053E6010035
-:1099500054E6010055E6010056E6010057E6010015
-:1099600058E6010059E601005AE601005BE60100F5
-:109970005CE601005DE601005EE601005FE60100D5
-:1099800060E6010061E6010062E6010063E60100B5
-:1099900064E6010065E6010066E6010067E6010095
-:1099A00068E6010069E601006AE601006BE6010075
-:1099B0006CE601006DE601006EE601006FE6010055
-:1099C00070E6010071E6010072E6010073E6010035
-:1099D00074E6010075E6010076E6010077E6010015
-:1099E00078E6010079E601007AE601007BE60100F5
-:1099F0007CE601007DE601007EE601007FE60100D5
-:109A000080E6010081E6010082E6010083E60100B4
-:109A100084E6010085E6010086E6010087E6010094
-:109A200088E6010089E601008AE601008BE6010074
-:109A30008CE601008DE601008EE601008FE6010054
-:109A400090E6010091E6010092E6010093E6010034
-:109A500094E6010095E6010096E6010097E6010014
-:109A600098E6010099E601009AE601009BE60100F4
-:109A70009CE601009DE601009EE601009FE60100D4
-:109A8000A0E60100A1E60100A2E60100A3E60100B4
-:109A9000A4E60100A5E60100A6E60100A7E6010094
-:109AA000A8E60100A9E60100AAE60100ABE6010074
-:109AB000ACE60100ADE60100AEE60100AFE6010054
-:109AC000B0E60100B1E60100B2E60100B3E6010034
-:109AD000B4E60100B5E60100B6E60100B7E6010014
-:109AE000B8E60100B9E60100BAE60100BBE60100F4
-:109AF000BCE60100BDE60100BEE60100BFE60100D4
-:109B0000C0E60100C1E60100C2E60100C3E60100B3
-:109B1000C4E60100C5E60100C6E60100C7E6010093
-:109B2000C8E60100C9E60100CAE60100CBE6010073
-:109B3000CCE60100CDE60100CEE60100CFE6010053
-:109B4000D0E60100D1E60100D2E60100D3E6010033
-:109B5000D4E60100D5E60100D6E60100D7E6010013
-:109B6000D8E60100D9E60100DAE60100DBE60100F3
-:109B7000DCE60100DDE60100DEE60100DFE60100D3
-:109B8000E0E60100E1E60100E2E60100E3E60100B3
-:109B9000E4E60100E5E60100E6E60100E7E6010093
-:109BA000E8E60100E9E60100EAE60100EBE6010073
-:109BB000ECE60100EDE60100EEE60100EFE6010053
-:109BC000F0E60100F1E60100F2E60100F3E6010033
-:109BD000F4E60100F5E60100F6E60100F7E6010013
-:109BE000F8E60100F9E60100FAE60100FBE60100F3
-:109BF000FCE60100FDE60100FEE60100FFE60100D3
-:109C000000E7010001E7010002E7010003E70100AE
-:109C100004E7010005E7010006E7010007E701008E
-:109C200008E7010009E701000AE701000BE701006E
-:109C30000CE701000DE701000EE701000FE701004E
-:109C400010E7010011E7010012E7010013E701002E
-:109C500014E7010015E7010016E7010017E701000E
-:109C600018E7010019E701001AE701001BE70100EE
-:109C70001CE701001DE701001EE701001FE70100CE
-:109C800020E7010021E7010022E7010023E70100AE
-:109C900024E7010025E7010026E7010027E701008E
-:109CA00028E7010029E701002AE701002BE701006E
-:109CB0002CE701002DE701002EE701002FE701004E
-:109CC00030E7010031E7010032E7010033E701002E
-:109CD00034E7010035E7010036E7010037E701000E
-:109CE00038E7010039E701003AE701003BE70100EE
-:109CF0003CE701003DE701003EE701003FE70100CE
-:109D000040E7010041E7010042E7010043E70100AD
-:109D100044E7010045E7010046E7010047E701008D
-:109D200048E7010049E701004AE701004BE701006D
-:109D30004CE701004DE701004EE701004FE701004D
-:109D400050E7010051E7010052E7010053E701002D
-:109D500054E7010055E7010056E7010057E701000D
-:109D600058E7010059E701005AE701005BE70100ED
-:109D70005CE701005DE701005EE701005FE70100CD
-:109D800060E7010061E7010062E7010063E70100AD
-:109D900064E7010065E7010066E7010067E701008D
-:109DA00068E7010069E701006AE701006BE701006D
-:109DB0006CE701006DE701006EE701006FE701004D
-:109DC00070E7010071E7010072E7010073E701002D
-:109DD00074E7010075E7010076E7010077E701000D
-:109DE00078E7010079E701007AE701007BE70100ED
-:109DF0007CE701007DE701007EE701007FE70100CD
-:109E000080E7010081E7010082E7010083E70100AC
-:109E100084E7010085E7010086E7010087E701008C
-:109E200088E7010089E701008AE701008BE701006C
-:109E30008CE701008DE701008EE701008FE701004C
-:109E400090E7010091E7010092E7010093E701002C
-:109E500094E7010095E7010096E7010097E701000C
-:109E600098E7010099E701009AE701009BE70100EC
-:109E70009CE701009DE701009EE701009FE70100CC
-:109E8000A0E70100A1E70100A2E70100A3E70100AC
-:109E9000A4E70100A5E70100A6E70100A7E701008C
-:109EA000A8E70100A9E70100AAE70100ABE701006C
-:109EB000ACE70100ADE70100AEE70100AFE701004C
-:109EC000B0E70100B1E70100B2E70100B3E701002C
-:109ED000B4E70100B5E70100B6E70100B7E701000C
-:109EE000B8E70100B9E70100BAE70100BBE70100EC
-:109EF000BCE70100BDE70100BEE70100BFE70100CC
-:109F0000C0E70100C1E70100C2E70100C3E70100AB
-:109F1000C4E70100C5E70100C6E70100C7E701008B
-:109F2000C8E70100C9E70100CAE70100CBE701006B
-:109F3000CCE70100CDE70100CEE70100CFE701004B
-:109F4000D0E70100D1E70100D2E70100D3E701002B
-:109F5000D4E70100D5E70100D6E70100D7E701000B
-:109F6000D8E70100D9E70100DAE70100DBE70100EB
-:109F7000DCE70100DDE70100DEE70100DFE70100CB
-:109F8000E0E70100E1E70100E2E70100E3E70100AB
-:109F9000E4E70100E5E70100E6E70100E7E701008B
-:109FA000E8E70100E9E70100EAE70100EBE701006B
-:109FB000ECE70100EDE70100EEE70100EFE701004B
-:109FC000F0E70100F1E70100F2E70100F3E701002B
-:109FD000F4E70100F5E70100F6E70100F7E701000B
-:109FE000F8E70100F9E70100FAE70100FBE70100EB
-:109FF000FCE70100FDE70100FEE70100FFE70100CB
-:10A0000000E8010001E8010002E8010003E80100A6
-:10A0100004E8010005E8010006E8010007E8010086
-:10A0200008E8010009E801000AE801000BE8010066
-:10A030000CE801000DE801000EE801000FE8010046
-:10A0400010E8010011E8010012E8010013E8010026
-:10A0500014E8010015E8010016E8010017E8010006
-:10A0600018E8010019E801001AE801001BE80100E6
-:10A070001CE801001DE801001EE801001FE80100C6
-:10A0800020E8010021E8010022E8010023E80100A6
-:10A0900024E8010025E8010026E8010027E8010086
-:10A0A00028E8010029E801002AE801002BE8010066
-:10A0B0002CE801002DE801002EE801002FE8010046
-:10A0C00030E8010031E8010032E8010033E8010026
-:10A0D00034E8010035E8010036E8010037E8010006
-:10A0E00038E8010039E801003AE801003BE80100E6
-:10A0F0003CE801003DE801003EE801003FE80100C6
-:10A1000040E8010041E8010042E8010043E80100A5
-:10A1100044E8010045E8010046E8010047E8010085
-:10A1200048E8010049E801004AE801004BE8010065
-:10A130004CE801004DE801004EE801004FE8010045
-:10A1400050E8010051E8010052E8010053E8010025
-:10A1500054E8010055E8010056E8010057E8010005
-:10A1600058E8010059E801005AE801005BE80100E5
-:10A170005CE801005DE801005EE801005FE80100C5
-:10A1800060E8010061E8010062E8010063E80100A5
-:10A1900064E8010065E8010066E8010067E8010085
-:10A1A00068E8010069E801006AE801006BE8010065
-:10A1B0006CE801006DE801006EE801006FE8010045
-:10A1C00070E8010071E8010072E8010073E8010025
-:10A1D00074E8010075E8010076E8010077E8010005
-:10A1E00078E8010079E801007AE801007BE80100E5
-:10A1F0007CE801007DE801007EE801007FE80100C5
-:10A2000080E8010081E8010082E8010083E80100A4
-:10A2100084E8010085E8010086E8010087E8010084
-:10A2200088E8010089E801008AE801008BE8010064
-:10A230008CE801008DE801008EE801008FE8010044
-:10A2400090E8010091E8010092E8010093E8010024
-:10A2500094E8010095E8010096E8010097E8010004
-:10A2600098E8010099E801009AE801009BE80100E4
-:10A270009CE801009DE801009EE801009FE80100C4
-:10A28000A0E80100A1E80100A2E80100A3E80100A4
-:10A29000A4E80100A5E80100A6E80100A7E8010084
-:10A2A000A8E80100A9E80100AAE80100ABE8010064
-:10A2B000ACE80100ADE80100AEE80100AFE8010044
-:10A2C000B0E80100B1E80100B2E80100B3E8010024
-:10A2D000B4E80100B5E80100B6E80100B7E8010004
-:10A2E000B8E80100B9E80100BAE80100BBE80100E4
-:10A2F000BCE80100BDE80100BEE80100BFE80100C4
-:10A30000C0E80100C1E80100C2E80100C3E80100A3
-:10A31000C4E80100C5E80100C6E80100C7E8010083
-:10A32000C8E80100C9E80100CAE80100CBE8010063
-:10A33000CCE80100CDE80100CEE80100CFE8010043
-:10A34000D0E80100D1E80100D2E80100D3E8010023
-:10A35000D4E80100D5E80100D6E80100D7E8010003
-:10A36000D8E80100D9E80100DAE80100DBE80100E3
-:10A37000DCE80100DDE80100DEE80100DFE80100C3
-:10A38000E0E80100E1E80100E2E80100E3E80100A3
-:10A39000E4E80100E5E80100E6E80100E7E8010083
-:10A3A000E8E80100E9E80100EAE80100EBE8010063
-:10A3B000ECE80100EDE80100EEE80100EFE8010043
-:10A3C000F0E80100F1E80100F2E80100F3E8010023
-:10A3D000F4E80100F5E80100F6E80100F7E8010003
-:10A3E000F8E80100F9E80100FAE80100FBE80100E3
-:10A3F000FCE80100FDE80100FEE80100FFE80100C3
-:10A4000000E9010001E9010002E9010003E901009E
-:10A4100004E9010005E9010006E9010007E901007E
-:10A4200008E9010009E901000AE901000BE901005E
-:10A430000CE901000DE901000EE901000FE901003E
-:10A4400010E9010011E9010012E9010013E901001E
-:10A4500014E9010015E9010016E9010017E90100FE
-:10A4600018E9010019E901001AE901001BE90100DE
-:10A470001CE901001DE901001EE901001FE90100BE
-:10A4800020E9010021E9010022E9010023E901009E
-:10A4900024E9010025E9010026E9010027E901007E
-:10A4A00028E9010029E901002AE901002BE901005E
-:10A4B0002CE901002DE901002EE901002FE901003E
-:10A4C00030E9010031E9010032E9010033E901001E
-:10A4D00034E9010035E9010036E9010037E90100FE
-:10A4E00038E9010039E901003AE901003BE90100DE
-:10A4F0003CE901003DE901003EE901003FE90100BE
-:10A5000040E9010041E9010042E9010043E901009D
-:10A5100044E9010045E9010046E9010047E901007D
-:10A5200048E9010049E901004AE901004BE901005D
-:10A530004CE901004DE901004EE901004FE901003D
-:10A5400050E9010051E9010052E9010053E901001D
-:10A5500054E9010055E9010056E9010057E90100FD
-:10A5600058E9010059E901005AE901005BE90100DD
-:10A570005CE901005DE901005EE901005FE90100BD
-:10A5800060E9010061E9010062E9010063E901009D
-:10A5900064E9010065E9010066E9010067E901007D
-:10A5A00068E9010069E901006AE901006BE901005D
-:10A5B0006CE901006DE901006EE901006FE901003D
-:10A5C00070E9010071E9010072E9010073E901001D
-:10A5D00074E9010075E9010076E9010077E90100FD
-:10A5E00078E9010079E901007AE901007BE90100DD
-:10A5F0007CE901007DE901007EE901007FE90100BD
-:10A6000080E9010081E9010082E9010083E901009C
-:10A6100084E9010085E9010086E9010087E901007C
-:10A6200088E9010089E901008AE901008BE901005C
-:10A630008CE901008DE901008EE901008FE901003C
-:10A6400090E9010091E9010092E9010093E901001C
-:10A6500094E9010095E9010096E9010097E90100FC
-:10A6600098E9010099E901009AE901009BE90100DC
-:10A670009CE901009DE901009EE901009FE90100BC
-:10A68000A0E90100A1E90100A2E90100A3E901009C
-:10A69000A4E90100A5E90100A6E90100A7E901007C
-:10A6A000A8E90100A9E90100AAE90100ABE901005C
-:10A6B000ACE90100ADE90100AEE90100AFE901003C
-:10A6C000B0E90100B1E90100B2E90100B3E901001C
-:10A6D000B4E90100B5E90100B6E90100B7E90100FC
-:10A6E000B8E90100B9E90100BAE90100BBE90100DC
-:10A6F000BCE90100BDE90100BEE90100BFE90100BC
-:10A70000C0E90100C1E90100C2E90100C3E901009B
-:10A71000C4E90100C5E90100C6E90100C7E901007B
-:10A72000C8E90100C9E90100CAE90100CBE901005B
-:10A73000CCE90100CDE90100CEE90100CFE901003B
-:10A74000D0E90100D1E90100D2E90100D3E901001B
-:10A75000D4E90100D5E90100D6E90100D7E90100FB
-:10A76000D8E90100D9E90100DAE90100DBE90100DB
-:10A77000DCE90100DDE90100DEE90100DFE90100BB
-:10A78000E0E90100E1E90100E2E90100E3E901009B
-:10A79000E4E90100E5E90100E6E90100E7E901007B
-:10A7A000E8E90100E9E90100EAE90100EBE901005B
-:10A7B000ECE90100EDE90100EEE90100EFE901003B
-:10A7C000F0E90100F1E90100F2E90100F3E901001B
-:10A7D000F4E90100F5E90100F6E90100F7E90100FB
-:10A7E000F8E90100F9E90100FAE90100FBE90100DB
-:10A7F000FCE90100FDE90100FEE90100FFE90100BB
-:10A8000000EA010001EA010002EA010003EA010096
-:10A8100004EA010005EA010006EA010007EA010076
-:10A8200008EA010009EA01000AEA01000BEA010056
-:10A830000CEA01000DEA01000EEA01000FEA010036
-:10A8400010EA010011EA010012EA010013EA010016
-:10A8500014EA010015EA010016EA010017EA0100F6
-:10A8600018EA010019EA01001AEA01001BEA0100D6
-:10A870001CEA01001DEA01001EEA01001FEA0100B6
-:10A8800020EA010021EA010022EA010023EA010096
-:10A8900024EA010025EA010026EA010027EA010076
-:10A8A00028EA010029EA01002AEA01002BEA010056
-:10A8B0002CEA01002DEA01002EEA01002FEA010036
-:10A8C00030EA010031EA010032EA010033EA010016
-:10A8D00034EA010035EA010036EA010037EA0100F6
-:10A8E00038EA010039EA01003AEA01003BEA0100D6
-:10A8F0003CEA01003DEA01003EEA01003FEA0100B6
-:10A9000040EA010041EA010042EA010043EA010095
-:10A9100044EA010045EA010046EA010047EA010075
-:10A9200048EA010049EA01004AEA01004BEA010055
-:10A930004CEA01004DEA01004EEA01004FEA010035
-:10A9400050EA010051EA010052EA010053EA010015
-:10A9500054EA010055EA010056EA010057EA0100F5
-:10A9600058EA010059EA01005AEA01005BEA0100D5
-:10A970005CEA01005DEA01005EEA01005FEA0100B5
-:10A9800060EA010061EA010062EA010063EA010095
-:10A9900064EA010065EA010066EA010067EA010075
-:10A9A00068EA010069EA01006AEA01006BEA010055
-:10A9B0006CEA01006DEA01006EEA01006FEA010035
-:10A9C00070EA010071EA010072EA010073EA010015
-:10A9D00074EA010075EA010076EA010077EA0100F5
-:10A9E00078EA010079EA01007AEA01007BEA0100D5
-:10A9F0007CEA01007DEA01007EEA01007FEA0100B5
-:10AA000080EA010081EA010082EA010083EA010094
-:10AA100084EA010085EA010086EA010087EA010074
-:10AA200088EA010089EA01008AEA01008BEA010054
-:10AA30008CEA01008DEA01008EEA01008FEA010034
-:10AA400090EA010091EA010092EA010093EA010014
-:10AA500094EA010095EA010096EA010097EA0100F4
-:10AA600098EA010099EA01009AEA01009BEA0100D4
-:10AA70009CEA01009DEA01009EEA01009FEA0100B4
-:10AA8000A0EA0100A1EA0100A2EA0100A3EA010094
-:10AA9000A4EA0100A5EA0100A6EA0100A7EA010074
-:10AAA000A8EA0100A9EA0100AAEA0100ABEA010054
-:10AAB000ACEA0100ADEA0100AEEA0100AFEA010034
-:10AAC000B0EA0100B1EA0100B2EA0100B3EA010014
-:10AAD000B4EA0100B5EA0100B6EA0100B7EA0100F4
-:10AAE000B8EA0100B9EA0100BAEA0100BBEA0100D4
-:10AAF000BCEA0100BDEA0100BEEA0100BFEA0100B4
-:10AB0000C0EA0100C1EA0100C2EA0100C3EA010093
-:10AB1000C4EA0100C5EA0100C6EA0100C7EA010073
-:10AB2000C8EA0100C9EA0100CAEA0100CBEA010053
-:10AB3000CCEA0100CDEA0100CEEA0100CFEA010033
-:10AB4000D0EA0100D1EA0100D2EA0100D3EA010013
-:10AB5000D4EA0100D5EA0100D6EA0100D7EA0100F3
-:10AB6000D8EA0100D9EA0100DAEA0100DBEA0100D3
-:10AB7000DCEA0100DDEA0100DEEA0100DFEA0100B3
-:10AB8000E0EA0100E1EA0100E2EA0100E3EA010093
-:10AB9000E4EA0100E5EA0100E6EA0100E7EA010073
-:10ABA000E8EA0100E9EA0100EAEA0100EBEA010053
-:10ABB000ECEA0100EDEA0100EEEA0100EFEA010033
-:10ABC000F0EA0100F1EA0100F2EA0100F3EA010013
-:10ABD000F4EA0100F5EA0100F6EA0100F7EA0100F3
-:10ABE000F8EA0100F9EA0100FAEA0100FBEA0100D3
-:10ABF000FCEA0100FDEA0100FEEA0100FFEA0100B3
-:10AC000000EB010001EB010002EB010003EB01008E
-:10AC100004EB010005EB010006EB010007EB01006E
-:10AC200008EB010009EB01000AEB01000BEB01004E
-:10AC30000CEB01000DEB01000EEB01000FEB01002E
-:10AC400010EB010011EB010012EB010013EB01000E
-:10AC500014EB010015EB010016EB010017EB0100EE
-:10AC600018EB010019EB01001AEB01001BEB0100CE
-:10AC70001CEB01001DEB01001EEB01001FEB0100AE
-:10AC800020EB010021EB010022EB010023EB01008E
-:10AC900024EB010025EB010026EB010027EB01006E
-:10ACA00028EB010029EB01002AEB01002BEB01004E
-:10ACB0002CEB01002DEB01002EEB01002FEB01002E
-:10ACC00030EB010031EB010032EB010033EB01000E
-:10ACD00034EB010035EB010036EB010037EB0100EE
-:10ACE00038EB010039EB01003AEB01003BEB0100CE
-:10ACF0003CEB01003DEB01003EEB01003FEB0100AE
-:10AD000040EB010041EB010042EB010043EB01008D
-:10AD100044EB010045EB010046EB010047EB01006D
-:10AD200048EB010049EB01004AEB01004BEB01004D
-:10AD30004CEB01004DEB01004EEB01004FEB01002D
-:10AD400050EB010051EB010052EB010053EB01000D
-:10AD500054EB010055EB010056EB010057EB0100ED
-:10AD600058EB010059EB01005AEB01005BEB0100CD
-:10AD70005CEB01005DEB01005EEB01005FEB0100AD
-:10AD800060EB010061EB010062EB010063EB01008D
-:10AD900064EB010065EB010066EB010067EB01006D
-:10ADA00068EB010069EB01006AEB01006BEB01004D
-:10ADB0006CEB01006DEB01006EEB01006FEB01002D
-:10ADC00070EB010071EB010072EB010073EB01000D
-:10ADD00074EB010075EB010076EB010077EB0100ED
-:10ADE00078EB010079EB01007AEB01007BEB0100CD
-:10ADF0007CEB01007DEB01007EEB01007FEB0100AD
-:10AE000080EB010081EB010082EB010083EB01008C
-:10AE100084EB010085EB010086EB010087EB01006C
-:10AE200088EB010089EB01008AEB01008BEB01004C
-:10AE30008CEB01008DEB01008EEB01008FEB01002C
-:10AE400090EB010091EB010092EB010093EB01000C
-:10AE500094EB010095EB010096EB010097EB0100EC
-:10AE600098EB010099EB01009AEB01009BEB0100CC
-:10AE70009CEB01009DEB01009EEB01009FEB0100AC
-:10AE8000A0EB0100A1EB0100A2EB0100A3EB01008C
-:10AE9000A4EB0100A5EB0100A6EB0100A7EB01006C
-:10AEA000A8EB0100A9EB0100AAEB0100ABEB01004C
-:10AEB000ACEB0100ADEB0100AEEB0100AFEB01002C
-:10AEC000B0EB0100B1EB0100B2EB0100B3EB01000C
-:10AED000B4EB0100B5EB0100B6EB0100B7EB0100EC
-:10AEE000B8EB0100B9EB0100BAEB0100BBEB0100CC
-:10AEF000BCEB0100BDEB0100BEEB0100BFEB0100AC
-:10AF0000C0EB0100C1EB0100C2EB0100C3EB01008B
-:10AF1000C4EB0100C5EB0100C6EB0100C7EB01006B
-:10AF2000C8EB0100C9EB0100CAEB0100CBEB01004B
-:10AF3000CCEB0100CDEB0100CEEB0100CFEB01002B
-:10AF4000D0EB0100D1EB0100D2EB0100D3EB01000B
-:10AF5000D4EB0100D5EB0100D6EB0100D7EB0100EB
-:10AF6000D8EB0100D9EB0100DAEB0100DBEB0100CB
-:10AF7000DCEB0100DDEB0100DEEB0100DFEB0100AB
-:10AF8000E0EB0100E1EB0100E2EB0100E3EB01008B
-:10AF9000E4EB0100E5EB0100E6EB0100E7EB01006B
-:10AFA000E8EB0100E9EB0100EAEB0100EBEB01004B
-:10AFB000ECEB0100EDEB0100EEEB0100EFEB01002B
-:10AFC000F0EB0100F1EB0100F2EB0100F3EB01000B
-:10AFD000F4EB0100F5EB0100F6EB0100F7EB0100EB
-:10AFE000F8EB0100F9EB0100FAEB0100FBEB0100CB
-:10AFF000FCEB0100FDEB0100FEEB0100FFEB0100AB
-:10B0000000EC010001EC010002EC010003EC010086
-:10B0100004EC010005EC010006EC010007EC010066
-:10B0200008EC010009EC01000AEC01000BEC010046
-:10B030000CEC01000DEC01000EEC01000FEC010026
-:10B0400010EC010011EC010012EC010013EC010006
-:10B0500014EC010015EC010016EC010017EC0100E6
-:10B0600018EC010019EC01001AEC01001BEC0100C6
-:10B070001CEC01001DEC01001EEC01001FEC0100A6
-:10B0800020EC010021EC010022EC010023EC010086
-:10B0900024EC010025EC010026EC010027EC010066
-:10B0A00028EC010029EC01002AEC01002BEC010046
-:10B0B0002CEC01002DEC01002EEC01002FEC010026
-:10B0C00030EC010031EC010032EC010033EC010006
-:10B0D00034EC010035EC010036EC010037EC0100E6
-:10B0E00038EC010039EC01003AEC01003BEC0100C6
-:10B0F0003CEC01003DEC01003EEC01003FEC0100A6
-:10B1000040EC010041EC010042EC010043EC010085
-:10B1100044EC010045EC010046EC010047EC010065
-:10B1200048EC010049EC01004AEC01004BEC010045
-:10B130004CEC01004DEC01004EEC01004FEC010025
-:10B1400050EC010051EC010052EC010053EC010005
-:10B1500054EC010055EC010056EC010057EC0100E5
-:10B1600058EC010059EC01005AEC01005BEC0100C5
-:10B170005CEC01005DEC01005EEC01005FEC0100A5
-:10B1800060EC010061EC010062EC010063EC010085
-:10B1900064EC010065EC010066EC010067EC010065
-:10B1A00068EC010069EC01006AEC01006BEC010045
-:10B1B0006CEC01006DEC01006EEC01006FEC010025
-:10B1C00070EC010071EC010072EC010073EC010005
-:10B1D00074EC010075EC010076EC010077EC0100E5
-:10B1E00078EC010079EC01007AEC01007BEC0100C5
-:10B1F0007CEC01007DEC01007EEC01007FEC0100A5
-:10B2000080EC010081EC010082EC010083EC010084
-:10B2100084EC010085EC010086EC010087EC010064
-:10B2200088EC010089EC01008AEC01008BEC010044
-:10B230008CEC01008DEC01008EEC01008FEC010024
-:10B2400090EC010091EC010092EC010093EC010004
-:10B2500094EC010095EC010096EC010097EC0100E4
-:10B2600098EC010099EC01009AEC01009BEC0100C4
-:10B270009CEC01009DEC01009EEC01009FEC0100A4
-:10B28000A0EC0100A1EC0100A2EC0100A3EC010084
-:10B29000A4EC0100A5EC0100A6EC0100A7EC010064
-:10B2A000A8EC0100A9EC0100AAEC0100ABEC010044
-:10B2B000ACEC0100ADEC0100AEEC0100AFEC010024
-:10B2C000B0EC0100B1EC0100B2EC0100B3EC010004
-:10B2D000B4EC0100B5EC0100B6EC0100B7EC0100E4
-:10B2E000B8EC0100B9EC0100BAEC0100BBEC0100C4
-:10B2F000BCEC0100BDEC0100BEEC0100BFEC0100A4
-:10B30000C0EC0100C1EC0100C2EC0100C3EC010083
-:10B31000C4EC0100C5EC0100C6EC0100C7EC010063
-:10B32000C8EC0100C9EC0100CAEC0100CBEC010043
-:10B33000CCEC0100CDEC0100CEEC0100CFEC010023
-:10B34000D0EC0100D1EC0100D2EC0100D3EC010003
-:10B35000D4EC0100D5EC0100D6EC0100D7EC0100E3
-:10B36000D8EC0100D9EC0100DAEC0100DBEC0100C3
-:10B37000DCEC0100DDEC0100DEEC0100DFEC0100A3
-:10B38000E0EC0100E1EC0100E2EC0100E3EC010083
-:10B39000E4EC0100E5EC0100E6EC0100E7EC010063
-:10B3A000E8EC0100E9EC0100EAEC0100EBEC010043
-:10B3B000ECEC0100EDEC0100EEEC0100EFEC010023
-:10B3C000F0EC0100F1EC0100F2EC0100F3EC010003
-:10B3D000F4EC0100F5EC0100F6EC0100F7EC0100E3
-:10B3E000F8EC0100F9EC0100FAEC0100FBEC0100C3
-:10B3F000FCEC0100FDEC0100FEEC0100FFEC0100A3
-:10B4000000ED010001ED010002ED010003ED01007E
-:10B4100004ED010005ED010006ED010007ED01005E
-:10B4200008ED010009ED01000AED01000BED01003E
-:10B430000CED01000DED01000EED01000FED01001E
-:10B4400010ED010011ED010012ED010013ED0100FE
-:10B4500014ED010015ED010016ED010017ED0100DE
-:10B4600018ED010019ED01001AED01001BED0100BE
-:10B470001CED01001DED01001EED01001FED01009E
-:10B4800020ED010021ED010022ED010023ED01007E
-:10B4900024ED010025ED010026ED010027ED01005E
-:10B4A00028ED010029ED01002AED01002BED01003E
-:10B4B0002CED01002DED01002EED01002FED01001E
-:10B4C00030ED010031ED010032ED010033ED0100FE
-:10B4D00034ED010035ED010036ED010037ED0100DE
-:10B4E00038ED010039ED01003AED01003BED0100BE
-:10B4F0003CED01003DED01003EED01003FED01009E
-:10B5000040ED010041ED010042ED010043ED01007D
-:10B5100044ED010045ED010046ED010047ED01005D
-:10B5200048ED010049ED01004AED01004BED01003D
-:10B530004CED01004DED01004EED01004FED01001D
-:10B5400050ED010051ED010052ED010053ED0100FD
-:10B5500054ED010055ED010056ED010057ED0100DD
-:10B5600058ED010059ED01005AED01005BED0100BD
-:10B570005CED01005DED01005EED01005FED01009D
-:10B5800060ED010061ED010062ED010063ED01007D
-:10B5900064ED010065ED010066ED010067ED01005D
-:10B5A00068ED010069ED01006AED01006BED01003D
-:10B5B0006CED01006DED01006EED01006FED01001D
-:10B5C00070ED010071ED010072ED010073ED0100FD
-:10B5D00074ED010075ED010076ED010077ED0100DD
-:10B5E00078ED010079ED01007AED01007BED0100BD
-:10B5F0007CED01007DED01007EED01007FED01009D
-:10B6000080ED010081ED010082ED010083ED01007C
-:10B6100084ED010085ED010086ED010087ED01005C
-:10B6200088ED010089ED01008AED01008BED01003C
-:10B630008CED01008DED01008EED01008FED01001C
-:10B6400090ED010091ED010092ED010093ED0100FC
-:10B6500094ED010095ED010096ED010097ED0100DC
-:10B6600098ED010099ED01009AED01009BED0100BC
-:10B670009CED01009DED01009EED01009FED01009C
-:10B68000A0ED0100A1ED0100A2ED0100A3ED01007C
-:10B69000A4ED0100A5ED0100A6ED0100A7ED01005C
-:10B6A000A8ED0100A9ED0100AAED0100ABED01003C
-:10B6B000ACED0100ADED0100AEED0100AFED01001C
-:10B6C000B0ED0100B1ED0100B2ED0100B3ED0100FC
-:10B6D000B4ED0100B5ED0100B6ED0100B7ED0100DC
-:10B6E000B8ED0100B9ED0100BAED0100BBED0100BC
-:10B6F000BCED0100BDED0100BEED0100BFED01009C
-:10B70000C0ED0100C1ED0100C2ED0100C3ED01007B
-:10B71000C4ED0100C5ED0100C6ED0100C7ED01005B
-:10B72000C8ED0100C9ED0100CAED0100CBED01003B
-:10B73000CCED0100CDED0100CEED0100CFED01001B
-:10B74000D0ED0100D1ED0100D2ED0100D3ED0100FB
-:10B75000D4ED0100D5ED0100D6ED0100D7ED0100DB
-:10B76000D8ED0100D9ED0100DAED0100DBED0100BB
-:10B77000DCED0100DDED0100DEED0100DFED01009B
-:10B78000E0ED0100E1ED0100E2ED0100E3ED01007B
-:10B79000E4ED0100E5ED0100E6ED0100E7ED01005B
-:10B7A000E8ED0100E9ED0100EAED0100EBED01003B
-:10B7B000ECED0100EDED0100EEED0100EFED01001B
-:10B7C000F0ED0100F1ED0100F2ED0100F3ED0100FB
-:10B7D000F4ED0100F5ED0100F6ED0100F7ED0100DB
-:10B7E000F8ED0100F9ED0100FAED0100FBED0100BB
-:10B7F000FCED0100FDED0100FEED0100FFED01009B
-:10B8000000EE010001EE010002EE010003EE010076
-:10B8100004EE010005EE010006EE010007EE010056
-:10B8200008EE010009EE01000AEE01000BEE010036
-:10B830000CEE01000DEE01000EEE01000FEE010016
-:10B8400010EE010011EE010012EE010013EE0100F6
-:10B8500014EE010015EE010016EE010017EE0100D6
-:10B8600018EE010019EE01001AEE01001BEE0100B6
-:10B870001CEE01001DEE01001EEE01001FEE010096
-:10B8800020EE010021EE010022EE010023EE010076
-:10B8900024EE010025EE010026EE010027EE010056
-:10B8A00028EE010029EE01002AEE01002BEE010036
-:10B8B0002CEE01002DEE01002EEE01002FEE010016
-:10B8C00030EE010031EE010032EE010033EE0100F6
-:10B8D00034EE010035EE010036EE010037EE0100D6
-:10B8E00038EE010039EE01003AEE01003BEE0100B6
-:10B8F0003CEE01003DEE01003EEE01003FEE010096
-:10B9000040EE010041EE010042EE010043EE010075
-:10B9100044EE010045EE010046EE010047EE010055
-:10B9200048EE010049EE01004AEE01004BEE010035
-:10B930004CEE01004DEE01004EEE01004FEE010015
-:10B9400050EE010051EE010052EE010053EE0100F5
-:10B9500054EE010055EE010056EE010057EE0100D5
-:10B9600058EE010059EE01005AEE01005BEE0100B5
-:10B970005CEE01005DEE01005EEE01005FEE010095
-:10B9800060EE010061EE010062EE010063EE010075
-:10B9900064EE010065EE010066EE010067EE010055
-:10B9A00068EE010069EE01006AEE01006BEE010035
-:10B9B0006CEE01006DEE01006EEE01006FEE010015
-:10B9C00070EE010071EE010072EE010073EE0100F5
-:10B9D00074EE010075EE010076EE010077EE0100D5
-:10B9E00078EE010079EE01007AEE01007BEE0100B5
-:10B9F0007CEE01007DEE01007EEE01007FEE010095
-:10BA000080EE010081EE010082EE010083EE010074
-:10BA100084EE010085EE010086EE010087EE010054
-:10BA200088EE010089EE01008AEE01008BEE010034
-:10BA30008CEE01008DEE01008EEE01008FEE010014
-:10BA400090EE010091EE010092EE010093EE0100F4
-:10BA500094EE010095EE010096EE010097EE0100D4
-:10BA600098EE010099EE01009AEE01009BEE0100B4
-:10BA70009CEE01009DEE01009EEE01009FEE010094
-:10BA8000A0EE0100A1EE0100A2EE0100A3EE010074
-:10BA9000A4EE0100A5EE0100A6EE0100A7EE010054
-:10BAA000A8EE0100A9EE0100AAEE0100ABEE010034
-:10BAB000ACEE0100ADEE0100AEEE0100AFEE010014
-:10BAC000B0EE0100B1EE0100B2EE0100B3EE0100F4
-:10BAD000B4EE0100B5EE0100B6EE0100B7EE0100D4
-:10BAE000B8EE0100B9EE0100BAEE0100BBEE0100B4
-:10BAF000BCEE0100BDEE0100BEEE0100BFEE010094
-:10BB0000C0EE0100C1EE0100C2EE0100C3EE010073
-:10BB1000C4EE0100C5EE0100C6EE0100C7EE010053
-:10BB2000C8EE0100C9EE0100CAEE0100CBEE010033
-:10BB3000CCEE0100CDEE0100CEEE0100CFEE010013
-:10BB4000D0EE0100D1EE0100D2EE0100D3EE0100F3
-:10BB5000D4EE0100D5EE0100D6EE0100D7EE0100D3
-:10BB6000D8EE0100D9EE0100DAEE0100DBEE0100B3
-:10BB7000DCEE0100DDEE0100DEEE0100DFEE010093
-:10BB8000E0EE0100E1EE0100E2EE0100E3EE010073
-:10BB9000E4EE0100E5EE0100E6EE0100E7EE010053
-:10BBA000E8EE0100E9EE0100EAEE0100EBEE010033
-:10BBB000ECEE0100EDEE0100EEEE0100EFEE010013
-:10BBC000F0EE0100F1EE0100F2EE0100F3EE0100F3
-:10BBD000F4EE0100F5EE0100F6EE0100F7EE0100D3
-:10BBE000F8EE0100F9EE0100FAEE0100FBEE0100B3
-:10BBF000FCEE0100FDEE0100FEEE0100FFEE010093
-:10BC000000EF010001EF010002EF010003EF01006E
-:10BC100004EF010005EF010006EF010007EF01004E
-:10BC200008EF010009EF01000AEF01000BEF01002E
-:10BC30000CEF01000DEF01000EEF01000FEF01000E
-:10BC400010EF010011EF010012EF010013EF0100EE
-:10BC500014EF010015EF010016EF010017EF0100CE
-:10BC600018EF010019EF01001AEF01001BEF0100AE
-:10BC70001CEF01001DEF01001EEF01001FEF01008E
-:10BC800020EF010021EF010022EF010023EF01006E
-:10BC900024EF010025EF010026EF010027EF01004E
-:10BCA00028EF010029EF01002AEF01002BEF01002E
-:10BCB0002CEF01002DEF01002EEF01002FEF01000E
-:10BCC00030EF010031EF010032EF010033EF0100EE
-:10BCD00034EF010035EF010036EF010037EF0100CE
-:10BCE00038EF010039EF01003AEF01003BEF0100AE
-:10BCF0003CEF01003DEF01003EEF01003FEF01008E
-:10BD000040EF010041EF010042EF010043EF01006D
-:10BD100044EF010045EF010046EF010047EF01004D
-:10BD200048EF010049EF01004AEF01004BEF01002D
-:10BD30004CEF01004DEF01004EEF01004FEF01000D
-:10BD400050EF010051EF010052EF010053EF0100ED
-:10BD500054EF010055EF010056EF010057EF0100CD
-:10BD600058EF010059EF01005AEF01005BEF0100AD
-:10BD70005CEF01005DEF01005EEF01005FEF01008D
-:10BD800060EF010061EF010062EF010063EF01006D
-:10BD900064EF010065EF010066EF010067EF01004D
-:10BDA00068EF010069EF01006AEF01006BEF01002D
-:10BDB0006CEF01006DEF01006EEF01006FEF01000D
-:10BDC00070EF010071EF010072EF010073EF0100ED
-:10BDD00074EF010075EF010076EF010077EF0100CD
-:10BDE00078EF010079EF01007AEF01007BEF0100AD
-:10BDF0007CEF01007DEF01007EEF01007FEF01008D
-:10BE000080EF010081EF010082EF010083EF01006C
-:10BE100084EF010085EF010086EF010087EF01004C
-:10BE200088EF010089EF01008AEF01008BEF01002C
-:10BE30008CEF01008DEF01008EEF01008FEF01000C
-:10BE400090EF010091EF010092EF010093EF0100EC
-:10BE500094EF010095EF010096EF010097EF0100CC
-:10BE600098EF010099EF01009AEF01009BEF0100AC
-:10BE70009CEF01009DEF01009EEF01009FEF01008C
-:10BE8000A0EF0100A1EF0100A2EF0100A3EF01006C
-:10BE9000A4EF0100A5EF0100A6EF0100A7EF01004C
-:10BEA000A8EF0100A9EF0100AAEF0100ABEF01002C
-:10BEB000ACEF0100ADEF0100AEEF0100AFEF01000C
-:10BEC000B0EF0100B1EF0100B2EF0100B3EF0100EC
-:10BED000B4EF0100B5EF0100B6EF0100B7EF0100CC
-:10BEE000B8EF0100B9EF0100BAEF0100BBEF0100AC
-:10BEF000BCEF0100BDEF0100BEEF0100BFEF01008C
-:10BF0000C0EF0100C1EF0100C2EF0100C3EF01006B
-:10BF1000C4EF0100C5EF0100C6EF0100C7EF01004B
-:10BF2000C8EF0100C9EF0100CAEF0100CBEF01002B
-:10BF3000CCEF0100CDEF0100CEEF0100CFEF01000B
-:10BF4000D0EF0100D1EF0100D2EF0100D3EF0100EB
-:10BF5000D4EF0100D5EF0100D6EF0100D7EF0100CB
-:10BF6000D8EF0100D9EF0100DAEF0100DBEF0100AB
-:10BF7000DCEF0100DDEF0100DEEF0100DFEF01008B
-:10BF8000E0EF0100E1EF0100E2EF0100E3EF01006B
-:10BF9000E4EF0100E5EF0100E6EF0100E7EF01004B
-:10BFA000E8EF0100E9EF0100EAEF0100EBEF01002B
-:10BFB000ECEF0100EDEF0100EEEF0100EFEF01000B
-:10BFC000F0EF0100F1EF0100F2EF0100F3EF0100EB
-:10BFD000F4EF0100F5EF0100F6EF0100F7EF0100CB
-:10BFE000F8EF0100F9EF0100FAEF0100FBEF0100AB
-:10BFF000FCEF0100FDEF0100FEEF0100FFEF01008B
-:10C0000000F0010001F0010002F0010003F0010066
-:10C0100004F0010005F0010006F0010007F0010046
-:10C0200008F0010009F001000AF001000BF0010026
-:10C030000CF001000DF001000EF001000FF0010006
-:10C0400010F0010011F0010012F0010013F00100E6
-:10C0500014F0010015F0010016F0010017F00100C6
-:10C0600018F0010019F001001AF001001BF00100A6
-:10C070001CF001001DF001001EF001001FF0010086
-:10C0800020F0010021F0010022F0010023F0010066
-:10C0900024F0010025F0010026F0010027F0010046
-:10C0A00028F0010029F001002AF001002BF0010026
-:10C0B0002CF001002DF001002EF001002FF0010006
-:10C0C00030F0010031F0010032F0010033F00100E6
-:10C0D00034F0010035F0010036F0010037F00100C6
-:10C0E00038F0010039F001003AF001003BF00100A6
-:10C0F0003CF001003DF001003EF001003FF0010086
-:10C1000040F0010041F0010042F0010043F0010065
-:10C1100044F0010045F0010046F0010047F0010045
-:10C1200048F0010049F001004AF001004BF0010025
-:10C130004CF001004DF001004EF001004FF0010005
-:10C1400050F0010051F0010052F0010053F00100E5
-:10C1500054F0010055F0010056F0010057F00100C5
-:10C1600058F0010059F001005AF001005BF00100A5
-:10C170005CF001005DF001005EF001005FF0010085
-:10C1800060F0010061F0010062F0010063F0010065
-:10C1900064F0010065F0010066F0010067F0010045
-:10C1A00068F0010069F001006AF001006BF0010025
-:10C1B0006CF001006DF001006EF001006FF0010005
-:10C1C00070F0010071F0010072F0010073F00100E5
-:10C1D00074F0010075F0010076F0010077F00100C5
-:10C1E00078F0010079F001007AF001007BF00100A5
-:10C1F0007CF001007DF001007EF001007FF0010085
-:10C2000080F0010081F0010082F0010083F0010064
-:10C2100084F0010085F0010086F0010087F0010044
-:10C2200088F0010089F001008AF001008BF0010024
-:10C230008CF001008DF001008EF001008FF0010004
-:10C2400090F0010091F0010092F0010093F00100E4
-:10C2500094F0010095F0010096F0010097F00100C4
-:10C2600098F0010099F001009AF001009BF00100A4
-:10C270009CF001009DF001009EF001009FF0010084
-:10C28000A0F00100A1F00100A2F00100A3F0010064
-:10C29000A4F00100A5F00100A6F00100A7F0010044
-:10C2A000A8F00100A9F00100AAF00100ABF0010024
-:10C2B000ACF00100ADF00100AEF00100AFF0010004
-:10C2C000B0F00100B1F00100B2F00100B3F00100E4
-:10C2D000B4F00100B5F00100B6F00100B7F00100C4
-:10C2E000B8F00100B9F00100BAF00100BBF00100A4
-:10C2F000BCF00100BDF00100BEF00100BFF0010084
-:10C30000C0F00100C1F00100C2F00100C3F0010063
-:10C31000C4F00100C5F00100C6F00100C7F0010043
-:10C32000C8F00100C9F00100CAF00100CBF0010023
-:10C33000CCF00100CDF00100CEF00100CFF0010003
-:10C34000D0F00100D1F00100D2F00100D3F00100E3
-:10C35000D4F00100D5F00100D6F00100D7F00100C3
-:10C36000D8F00100D9F00100DAF00100DBF00100A3
-:10C37000DCF00100DDF00100DEF00100DFF0010083
-:10C38000E0F00100E1F00100E2F00100E3F0010063
-:10C39000E4F00100E5F00100E6F00100E7F0010043
-:10C3A000E8F00100E9F00100EAF00100EBF0010023
-:10C3B000ECF00100EDF00100EEF00100EFF0010003
-:10C3C000F0F00100F1F00100F2F00100F3F00100E3
-:10C3D000F4F00100F5F00100F6F00100F7F00100C3
-:10C3E000F8F00100F9F00100FAF00100FBF00100A3
-:10C3F000FCF00100FDF00100FEF00100FFF0010083
-:10C4000000F1010001F1010002F1010003F101005E
-:10C4100004F1010005F1010006F1010007F101003E
-:10C4200008F1010009F101000AF101000BF101001E
-:10C430000CF101000DF101000EF101000FF10100FE
-:10C4400010F1010011F1010012F1010013F10100DE
-:10C4500014F1010015F1010016F1010017F10100BE
-:10C4600018F1010019F101001AF101001BF101009E
-:10C470001CF101001DF101001EF101001FF101007E
-:10C4800020F1010021F1010022F1010023F101005E
-:10C4900024F1010025F1010026F1010027F101003E
-:10C4A00028F1010029F101002AF101002BF101001E
-:10C4B0002CF101002DF101002EF101002FF10100FE
-:10C4C00030F1010031F1010032F1010033F10100DE
-:10C4D00034F1010035F1010036F1010037F10100BE
-:10C4E00038F1010039F101003AF101003BF101009E
-:10C4F0003CF101003DF101003EF101003FF101007E
-:10C5000040F1010041F1010042F1010043F101005D
-:10C5100044F1010045F1010046F1010047F101003D
-:10C5200048F1010049F101004AF101004BF101001D
-:10C530004CF101004DF101004EF101004FF10100FD
-:10C5400050F1010051F1010052F1010053F10100DD
-:10C5500054F1010055F1010056F1010057F10100BD
-:10C5600058F1010059F101005AF101005BF101009D
-:10C570005CF101005DF101005EF101005FF101007D
-:10C5800060F1010061F1010062F1010063F101005D
-:10C5900064F1010065F1010066F1010067F101003D
-:10C5A00068F1010069F101006AF101006BF101001D
-:10C5B0006CF101006DF101006EF101006FF10100FD
-:10C5C00070F1010071F1010072F1010073F10100DD
-:10C5D00074F1010075F1010076F1010077F10100BD
-:10C5E00078F1010079F101007AF101007BF101009D
-:10C5F0007CF101007DF101007EF101007FF101007D
-:10C6000080F1010081F1010082F1010083F101005C
-:10C6100084F1010085F1010086F1010087F101003C
-:10C6200088F1010089F101008AF101008BF101001C
-:10C630008CF101008DF101008EF101008FF10100FC
-:10C6400090F1010091F1010092F1010093F10100DC
-:10C6500094F1010095F1010096F1010097F10100BC
-:10C6600098F1010099F101009AF101009BF101009C
-:10C670009CF101009DF101009EF101009FF101007C
-:10C68000A0F10100A1F10100A2F10100A3F101005C
-:10C69000A4F10100A5F10100A6F10100A7F101003C
-:10C6A000A8F10100A9F10100AAF10100ABF101001C
-:10C6B000ACF10100ADF10100AEF10100AFF10100FC
-:10C6C000B0F10100B1F10100B2F10100B3F10100DC
-:10C6D000B4F10100B5F10100B6F10100B7F10100BC
-:10C6E000B8F10100B9F10100BAF10100BBF101009C
-:10C6F000BCF10100BDF10100BEF10100BFF101007C
-:10C70000C0F10100C1F10100C2F10100C3F101005B
-:10C71000C4F10100C5F10100C6F10100C7F101003B
-:10C72000C8F10100C9F10100CAF10100CBF101001B
-:10C73000CCF10100CDF10100CEF10100CFF10100FB
-:10C74000D0F10100D1F10100D2F10100D3F10100DB
-:10C75000D4F10100D5F10100D6F10100D7F10100BB
-:10C76000D8F10100D9F10100DAF10100DBF101009B
-:10C77000DCF10100DDF10100DEF10100DFF101007B
-:10C78000E0F10100E1F10100E2F10100E3F101005B
-:10C79000E4F10100E5F10100E6F10100E7F101003B
-:10C7A000E8F10100E9F10100EAF10100EBF101001B
-:10C7B000ECF10100EDF10100EEF10100EFF10100FB
-:10C7C000F0F10100F1F10100F2F10100F3F10100DB
-:10C7D000F4F10100F5F10100F6F10100F7F10100BB
-:10C7E000F8F10100F9F10100FAF10100FBF101009B
-:10C7F000FCF10100FDF10100FEF10100FFF101007B
-:10C8000000F2010001F2010002F2010003F2010056
-:10C8100004F2010005F2010006F2010007F2010036
-:10C8200008F2010009F201000AF201000BF2010016
-:10C830000CF201000DF201000EF201000FF20100F6
-:10C8400010F2010011F2010012F2010013F20100D6
-:10C8500014F2010015F2010016F2010017F20100B6
-:10C8600018F2010019F201001AF201001BF2010096
-:10C870001CF201001DF201001EF201001FF2010076
-:10C8800020F2010021F2010022F2010023F2010056
-:10C8900024F2010025F2010026F2010027F2010036
-:10C8A00028F2010029F201002AF201002BF2010016
-:10C8B0002CF201002DF201002EF201002FF20100F6
-:10C8C00030F2010031F2010032F2010033F20100D6
-:10C8D00034F2010035F2010036F2010037F20100B6
-:10C8E00038F2010039F201003AF201003BF2010096
-:10C8F0003CF201003DF201003EF201003FF2010076
-:10C9000040F2010041F2010042F2010043F2010055
-:10C9100044F2010045F2010046F2010047F2010035
-:10C9200048F2010049F201004AF201004BF2010015
-:10C930004CF201004DF201004EF201004FF20100F5
-:10C9400050F2010051F2010052F2010053F20100D5
-:10C9500054F2010055F2010056F2010057F20100B5
-:10C9600058F2010059F201005AF201005BF2010095
-:10C970005CF201005DF201005EF201005FF2010075
-:10C9800060F2010061F2010062F2010063F2010055
-:10C9900064F2010065F2010066F2010067F2010035
-:10C9A00068F2010069F201006AF201006BF2010015
-:10C9B0006CF201006DF201006EF201006FF20100F5
-:10C9C00070F2010071F2010072F2010073F20100D5
-:10C9D00074F2010075F2010076F2010077F20100B5
-:10C9E00078F2010079F201007AF201007BF2010095
-:10C9F0007CF201007DF201007EF201007FF2010075
-:10CA000080F2010081F2010082F2010083F2010054
-:10CA100084F2010085F2010086F2010087F2010034
-:10CA200088F2010089F201008AF201008BF2010014
-:10CA30008CF201008DF201008EF201008FF20100F4
-:10CA400090F2010091F2010092F2010093F20100D4
-:10CA500094F2010095F2010096F2010097F20100B4
-:10CA600098F2010099F201009AF201009BF2010094
-:10CA70009CF201009DF201009EF201009FF2010074
-:10CA8000A0F20100A1F20100A2F20100A3F2010054
-:10CA9000A4F20100A5F20100A6F20100A7F2010034
-:10CAA000A8F20100A9F20100AAF20100ABF2010014
-:10CAB000ACF20100ADF20100AEF20100AFF20100F4
-:10CAC000B0F20100B1F20100B2F20100B3F20100D4
-:10CAD000B4F20100B5F20100B6F20100B7F20100B4
-:10CAE000B8F20100B9F20100BAF20100BBF2010094
-:10CAF000BCF20100BDF20100BEF20100BFF2010074
-:10CB0000C0F20100C1F20100C2F20100C3F2010053
-:10CB1000C4F20100C5F20100C6F20100C7F2010033
-:10CB2000C8F20100C9F20100CAF20100CBF2010013
-:10CB3000CCF20100CDF20100CEF20100CFF20100F3
-:10CB4000D0F20100D1F20100D2F20100D3F20100D3
-:10CB5000D4F20100D5F20100D6F20100D7F20100B3
-:10CB6000D8F20100D9F20100DAF20100DBF2010093
-:10CB7000DCF20100DDF20100DEF20100DFF2010073
-:10CB8000E0F20100E1F20100E2F20100E3F2010053
-:10CB9000E4F20100E5F20100E6F20100E7F2010033
-:10CBA000E8F20100E9F20100EAF20100EBF2010013
-:10CBB000ECF20100EDF20100EEF20100EFF20100F3
-:10CBC000F0F20100F1F20100F2F20100F3F20100D3
-:10CBD000F4F20100F5F20100F6F20100F7F20100B3
-:10CBE000F8F20100F9F20100FAF20100FBF2010093
-:10CBF000FCF20100FDF20100FEF20100FFF2010073
-:10CC000000F3010001F3010002F3010003F301004E
-:10CC100004F3010005F3010006F3010007F301002E
-:10CC200008F3010009F301000AF301000BF301000E
-:10CC30000CF301000DF301000EF301000FF30100EE
-:10CC400010F3010011F3010012F3010013F30100CE
-:10CC500014F3010015F3010016F3010017F30100AE
-:10CC600018F3010019F301001AF301001BF301008E
-:10CC70001CF301001DF301001EF301001FF301006E
-:10CC800020F3010021F3010022F3010023F301004E
-:10CC900024F3010025F3010026F3010027F301002E
-:10CCA00028F3010029F301002AF301002BF301000E
-:10CCB0002CF301002DF301002EF301002FF30100EE
-:10CCC00030F3010031F3010032F3010033F30100CE
-:10CCD00034F3010035F3010036F3010037F30100AE
-:10CCE00038F3010039F301003AF301003BF301008E
-:10CCF0003CF301003DF301003EF301003FF301006E
-:10CD000040F3010041F3010042F3010043F301004D
-:10CD100044F3010045F3010046F3010047F301002D
-:10CD200048F3010049F301004AF301004BF301000D
-:10CD30004CF301004DF301004EF301004FF30100ED
-:10CD400050F3010051F3010052F3010053F30100CD
-:10CD500054F3010055F3010056F3010057F30100AD
-:10CD600058F3010059F301005AF301005BF301008D
-:10CD70005CF301005DF301005EF301005FF301006D
-:10CD800060F3010061F3010062F3010063F301004D
-:10CD900064F3010065F3010066F3010067F301002D
-:10CDA00068F3010069F301006AF301006BF301000D
-:10CDB0006CF301006DF301006EF301006FF30100ED
-:10CDC00070F3010071F3010072F3010073F30100CD
-:10CDD00074F3010075F3010076F3010077F30100AD
-:10CDE00078F3010079F301007AF301007BF301008D
-:10CDF0007CF301007DF301007EF301007FF301006D
-:10CE000080F3010081F3010082F3010083F301004C
-:10CE100084F3010085F3010086F3010087F301002C
-:10CE200088F3010089F301008AF301008BF301000C
-:10CE30008CF301008DF301008EF301008FF30100EC
-:10CE400090F3010091F3010092F3010093F30100CC
-:10CE500094F3010095F3010096F3010097F30100AC
-:10CE600098F3010099F301009AF301009BF301008C
-:10CE70009CF301009DF301009EF301009FF301006C
-:10CE8000A0F30100A1F30100A2F30100A3F301004C
-:10CE9000A4F30100A5F30100A6F30100A7F301002C
-:10CEA000A8F30100A9F30100AAF30100ABF301000C
-:10CEB000ACF30100ADF30100AEF30100AFF30100EC
-:10CEC000B0F30100B1F30100B2F30100B3F30100CC
-:10CED000B4F30100B5F30100B6F30100B7F30100AC
-:10CEE000B8F30100B9F30100BAF30100BBF301008C
-:10CEF000BCF30100BDF30100BEF30100BFF301006C
-:10CF0000C0F30100C1F30100C2F30100C3F301004B
-:10CF1000C4F30100C5F30100C6F30100C7F301002B
-:10CF2000C8F30100C9F30100CAF30100CBF301000B
-:10CF3000CCF30100CDF30100CEF30100CFF30100EB
-:10CF4000D0F30100D1F30100D2F30100D3F30100CB
-:10CF5000D4F30100D5F30100D6F30100D7F30100AB
-:10CF6000D8F30100D9F30100DAF30100DBF301008B
-:10CF7000DCF30100DDF30100DEF30100DFF301006B
-:10CF8000E0F30100E1F30100E2F30100E3F301004B
-:10CF9000E4F30100E5F30100E6F30100E7F301002B
-:10CFA000E8F30100E9F30100EAF30100EBF301000B
-:10CFB000ECF30100EDF30100EEF30100EFF30100EB
-:10CFC000F0F30100F1F30100F2F30100F3F30100CB
-:10CFD000F4F30100F5F30100F6F30100F7F30100AB
-:10CFE000F8F30100F9F30100FAF30100FBF301008B
-:10CFF000FCF30100FDF30100FEF30100FFF301006B
-:10D0000000F4010001F4010002F4010003F4010046
-:10D0100004F4010005F4010006F4010007F4010026
-:10D0200008F4010009F401000AF401000BF4010006
-:10D030000CF401000DF401000EF401000FF40100E6
-:10D0400010F4010011F4010012F4010013F40100C6
-:10D0500014F4010015F4010016F4010017F40100A6
-:10D0600018F4010019F401001AF401001BF4010086
-:10D070001CF401001DF401001EF401001FF4010066
-:10D0800020F4010021F4010022F4010023F4010046
-:10D0900024F4010025F4010026F4010027F4010026
-:10D0A00028F4010029F401002AF401002BF4010006
-:10D0B0002CF401002DF401002EF401002FF40100E6
-:10D0C00030F4010031F4010032F4010033F40100C6
-:10D0D00034F4010035F4010036F4010037F40100A6
-:10D0E00038F4010039F401003AF401003BF4010086
-:10D0F0003CF401003DF401003EF401003FF4010066
-:10D1000040F4010041F4010042F4010043F4010045
-:10D1100044F4010045F4010046F4010047F4010025
-:10D1200048F4010049F401004AF401004BF4010005
-:10D130004CF401004DF401004EF401004FF40100E5
-:10D1400050F4010051F4010052F4010053F40100C5
-:10D1500054F4010055F4010056F4010057F40100A5
-:10D1600058F4010059F401005AF401005BF4010085
-:10D170005CF401005DF401005EF401005FF4010065
-:10D1800060F4010061F4010062F4010063F4010045
-:10D1900064F4010065F4010066F4010067F4010025
-:10D1A00068F4010069F401006AF401006BF4010005
-:10D1B0006CF401006DF401006EF401006FF40100E5
-:10D1C00070F4010071F4010072F4010073F40100C5
-:10D1D00074F4010075F4010076F4010077F40100A5
-:10D1E00078F4010079F401007AF401007BF4010085
-:10D1F0007CF401007DF401007EF401007FF4010065
-:10D2000080F4010081F4010082F4010083F4010044
-:10D2100084F4010085F4010086F4010087F4010024
-:10D2200088F4010089F401008AF401008BF4010004
-:10D230008CF401008DF401008EF401008FF40100E4
-:10D2400090F4010091F4010092F4010093F40100C4
-:10D2500094F4010095F4010096F4010097F40100A4
-:10D2600098F4010099F401009AF401009BF4010084
-:10D270009CF401009DF401009EF401009FF4010064
-:10D28000A0F40100A1F40100A2F40100A3F4010044
-:10D29000A4F40100A5F40100A6F40100A7F4010024
-:10D2A000A8F40100A9F40100AAF40100ABF4010004
-:10D2B000ACF40100ADF40100AEF40100AFF40100E4
-:10D2C000B0F40100B1F40100B2F40100B3F40100C4
-:10D2D000B4F40100B5F40100B6F40100B7F40100A4
-:10D2E000B8F40100B9F40100BAF40100BBF4010084
-:10D2F000BCF40100BDF40100BEF40100BFF4010064
-:10D30000C0F40100C1F40100C2F40100C3F4010043
-:10D31000C4F40100C5F40100C6F40100C7F4010023
-:10D32000C8F40100C9F40100CAF40100CBF4010003
-:10D33000CCF40100CDF40100CEF40100CFF40100E3
-:10D34000D0F40100D1F40100D2F40100D3F40100C3
-:10D35000D4F40100D5F40100D6F40100D7F40100A3
-:10D36000D8F40100D9F40100DAF40100DBF4010083
-:10D37000DCF40100DDF40100DEF40100DFF4010063
-:10D38000E0F40100E1F40100E2F40100E3F4010043
-:10D39000E4F40100E5F40100E6F40100E7F4010023
-:10D3A000E8F40100E9F40100EAF40100EBF4010003
-:10D3B000ECF40100EDF40100EEF40100EFF40100E3
-:10D3C000F0F40100F1F40100F2F40100F3F40100C3
-:10D3D000F4F40100F5F40100F6F40100F7F40100A3
-:10D3E000F8F40100F9F40100FAF40100FBF4010083
-:10D3F000FCF40100FDF40100FEF40100FFF4010063
-:10D4000000F5010001F5010002F5010003F501003E
-:10D4100004F5010005F5010006F5010007F501001E
-:10D4200008F5010009F501000AF501000BF50100FE
-:10D430000CF501000DF501000EF501000FF50100DE
-:10D4400010F5010011F5010012F5010013F50100BE
-:10D4500014F5010015F5010016F5010017F501009E
-:10D4600018F5010019F501001AF501001BF501007E
-:10D470001CF501001DF501001EF501001FF501005E
-:10D4800020F5010021F5010022F5010023F501003E
-:10D4900024F5010025F5010026F5010027F501001E
-:10D4A00028F5010029F501002AF501002BF50100FE
-:10D4B0002CF501002DF501002EF501002FF50100DE
-:10D4C00030F5010031F5010032F5010033F50100BE
-:10D4D00034F5010035F5010036F5010037F501009E
-:10D4E00038F5010039F501003AF501003BF501007E
-:10D4F0003CF501003DF501003EF501003FF501005E
-:10D5000040F5010041F5010042F5010043F501003D
-:10D5100044F5010045F5010046F5010047F501001D
-:10D5200048F5010049F501004AF501004BF50100FD
-:10D530004CF501004DF501004EF501004FF50100DD
-:10D5400050F5010051F5010052F5010053F50100BD
-:10D5500054F5010055F5010056F5010057F501009D
-:10D5600058F5010059F501005AF501005BF501007D
-:10D570005CF501005DF501005EF501005FF501005D
-:10D5800060F5010061F5010062F5010063F501003D
-:10D5900064F5010065F5010066F5010067F501001D
-:10D5A00068F5010069F501006AF501006BF50100FD
-:10D5B0006CF501006DF501006EF501006FF50100DD
-:10D5C00070F5010071F5010072F5010073F50100BD
-:10D5D00074F5010075F5010076F5010077F501009D
-:10D5E00078F5010079F501007AF501007BF501007D
-:10D5F0007CF501007DF501007EF501007FF501005D
-:10D6000080F5010081F5010082F5010083F501003C
-:10D6100084F5010085F5010086F5010087F501001C
-:10D6200088F5010089F501008AF501008BF50100FC
-:10D630008CF501008DF501008EF501008FF50100DC
-:10D6400090F5010091F5010092F5010093F50100BC
-:10D6500094F5010095F5010096F5010097F501009C
-:10D6600098F5010099F501009AF501009BF501007C
-:10D670009CF501009DF501009EF501009FF501005C
-:10D68000A0F50100A1F50100A2F50100A3F501003C
-:10D69000A4F50100A5F50100A6F50100A7F501001C
-:10D6A000A8F50100A9F50100AAF50100ABF50100FC
-:10D6B000ACF50100ADF50100AEF50100AFF50100DC
-:10D6C000B0F50100B1F50100B2F50100B3F50100BC
-:10D6D000B4F50100B5F50100B6F50100B7F501009C
-:10D6E000B8F50100B9F50100BAF50100BBF501007C
-:10D6F000BCF50100BDF50100BEF50100BFF501005C
-:10D70000C0F50100C1F50100C2F50100C3F501003B
-:10D71000C4F50100C5F50100C6F50100C7F501001B
-:10D72000C8F50100C9F50100CAF50100CBF50100FB
-:10D73000CCF50100CDF50100CEF50100CFF50100DB
-:10D74000D0F50100D1F50100D2F50100D3F50100BB
-:10D75000D4F50100D5F50100D6F50100D7F501009B
-:10D76000D8F50100D9F50100DAF50100DBF501007B
-:10D77000DCF50100DDF50100DEF50100DFF501005B
-:10D78000E0F50100E1F50100E2F50100E3F501003B
-:10D79000E4F50100E5F50100E6F50100E7F501001B
-:10D7A000E8F50100E9F50100EAF50100EBF50100FB
-:10D7B000ECF50100EDF50100EEF50100EFF50100DB
-:10D7C000F0F50100F1F50100F2F50100F3F50100BB
-:10D7D000F4F50100F5F50100F6F50100F7F501009B
-:10D7E000F8F50100F9F50100FAF50100FBF501007B
-:10D7F000FCF50100FDF50100FEF50100FFF501005B
-:10D8000000F6010001F6010002F6010003F6010036
-:10D8100004F6010005F6010006F6010007F6010016
-:10D8200008F6010009F601000AF601000BF60100F6
-:10D830000CF601000DF601000EF601000FF60100D6
-:10D8400010F6010011F6010012F6010013F60100B6
-:10D8500014F6010015F6010016F6010017F6010096
-:10D8600018F6010019F601001AF601001BF6010076
-:10D870001CF601001DF601001EF601001FF6010056
-:10D8800020F6010021F6010022F6010023F6010036
-:10D8900024F6010025F6010026F6010027F6010016
-:10D8A00028F6010029F601002AF601002BF60100F6
-:10D8B0002CF601002DF601002EF601002FF60100D6
-:10D8C00030F6010031F6010032F6010033F60100B6
-:10D8D00034F6010035F6010036F6010037F6010096
-:10D8E00038F6010039F601003AF601003BF6010076
-:10D8F0003CF601003DF601003EF601003FF6010056
-:10D9000040F6010041F6010042F6010043F6010035
-:10D9100044F6010045F6010046F6010047F6010015
-:10D9200048F6010049F601004AF601004BF60100F5
-:10D930004CF601004DF601004EF601004FF60100D5
-:10D9400050F6010051F6010052F6010053F60100B5
-:10D9500054F6010055F6010056F6010057F6010095
-:10D9600058F6010059F601005AF601005BF6010075
-:10D970005CF601005DF601005EF601005FF6010055
-:10D9800060F6010061F6010062F6010063F6010035
-:10D9900064F6010065F6010066F6010067F6010015
-:10D9A00068F6010069F601006AF601006BF60100F5
-:10D9B0006CF601006DF601006EF601006FF60100D5
-:10D9C00070F6010071F6010072F6010073F60100B5
-:10D9D00074F6010075F6010076F6010077F6010095
-:10D9E00078F6010079F601007AF601007BF6010075
-:10D9F0007CF601007DF601007EF601007FF6010055
-:10DA000080F6010081F6010082F6010083F6010034
-:10DA100084F6010085F6010086F6010087F6010014
-:10DA200088F6010089F601008AF601008BF60100F4
-:10DA30008CF601008DF601008EF601008FF60100D4
-:10DA400090F6010091F6010092F6010093F60100B4
-:10DA500094F6010095F6010096F6010097F6010094
-:10DA600098F6010099F601009AF601009BF6010074
-:10DA70009CF601009DF601009EF601009FF6010054
-:10DA8000A0F60100A1F60100A2F60100A3F6010034
-:10DA9000A4F60100A5F60100A6F60100A7F6010014
-:10DAA000A8F60100A9F60100AAF60100ABF60100F4
-:10DAB000ACF60100ADF60100AEF60100AFF60100D4
-:10DAC000B0F60100B1F60100B2F60100B3F60100B4
-:10DAD000B4F60100B5F60100B6F60100B7F6010094
-:10DAE000B8F60100B9F60100BAF60100BBF6010074
-:10DAF000BCF60100BDF60100BEF60100BFF6010054
-:10DB0000C0F60100C1F60100C2F60100C3F6010033
-:10DB1000C4F60100C5F60100C6F60100C7F6010013
-:10DB2000C8F60100C9F60100CAF60100CBF60100F3
-:10DB3000CCF60100CDF60100CEF60100CFF60100D3
-:10DB4000D0F60100D1F60100D2F60100D3F60100B3
-:10DB5000D4F60100D5F60100D6F60100D7F6010093
-:10DB6000D8F60100D9F60100DAF60100DBF6010073
-:10DB7000DCF60100DDF60100DEF60100DFF6010053
-:10DB8000E0F60100E1F60100E2F60100E3F6010033
-:10DB9000E4F60100E5F60100E6F60100E7F6010013
-:10DBA000E8F60100E9F60100EAF60100EBF60100F3
-:10DBB000ECF60100EDF60100EEF60100EFF60100D3
-:10DBC000F0F60100F1F60100F2F60100F3F60100B3
-:10DBD000F4F60100F5F60100F6F60100F7F6010093
-:10DBE000F8F60100F9F60100FAF60100FBF6010073
-:10DBF000FCF60100FDF60100FEF60100FFF6010053
-:10DC000000F7010001F7010002F7010003F701002E
-:10DC100004F7010005F7010006F7010007F701000E
-:10DC200008F7010009F701000AF701000BF70100EE
-:10DC30000CF701000DF701000EF701000FF70100CE
-:10DC400010F7010011F7010012F7010013F70100AE
-:10DC500014F7010015F7010016F7010017F701008E
-:10DC600018F7010019F701001AF701001BF701006E
-:10DC70001CF701001DF701001EF701001FF701004E
-:10DC800020F7010021F7010022F7010023F701002E
-:10DC900024F7010025F7010026F7010027F701000E
-:10DCA00028F7010029F701002AF701002BF70100EE
-:10DCB0002CF701002DF701002EF701002FF70100CE
-:10DCC00030F7010031F7010032F7010033F70100AE
-:10DCD00034F7010035F7010036F7010037F701008E
-:10DCE00038F7010039F701003AF701003BF701006E
-:10DCF0003CF701003DF701003EF701003FF701004E
-:10DD000040F7010041F7010042F7010043F701002D
-:10DD100044F7010045F7010046F7010047F701000D
-:10DD200048F7010049F701004AF701004BF70100ED
-:10DD30004CF701004DF701004EF701004FF70100CD
-:10DD400050F7010051F7010052F7010053F70100AD
-:10DD500054F7010055F7010056F7010057F701008D
-:10DD600058F7010059F701005AF701005BF701006D
-:10DD70005CF701005DF701005EF701005FF701004D
-:10DD800060F7010061F7010062F7010063F701002D
-:10DD900064F7010065F7010066F7010067F701000D
-:10DDA00068F7010069F701006AF701006BF70100ED
-:10DDB0006CF701006DF701006EF701006FF70100CD
-:10DDC00070F7010071F7010072F7010073F70100AD
-:10DDD00074F7010075F7010076F7010077F701008D
-:10DDE00078F7010079F701007AF701007BF701006D
-:10DDF0007CF701007DF701007EF701007FF701004D
-:10DE000080F7010081F7010082F7010083F701002C
-:10DE100084F7010085F7010086F7010087F701000C
-:10DE200088F7010089F701008AF701008BF70100EC
-:10DE30008CF701008DF701008EF701008FF70100CC
-:10DE400090F7010091F7010092F7010093F70100AC
-:10DE500094F7010095F7010096F7010097F701008C
-:10DE600098F7010099F701009AF701009BF701006C
-:10DE70009CF701009DF701009EF701009FF701004C
-:10DE8000A0F70100A1F70100A2F70100A3F701002C
-:10DE9000A4F70100A5F70100A6F70100A7F701000C
-:10DEA000A8F70100A9F70100AAF70100ABF70100EC
-:10DEB000ACF70100ADF70100AEF70100AFF70100CC
-:10DEC000B0F70100B1F70100B2F70100B3F70100AC
-:10DED000B4F70100B5F70100B6F70100B7F701008C
-:10DEE000B8F70100B9F70100BAF70100BBF701006C
-:10DEF000BCF70100BDF70100BEF70100BFF701004C
-:10DF0000C0F70100C1F70100C2F70100C3F701002B
-:10DF1000C4F70100C5F70100C6F70100C7F701000B
-:10DF2000C8F70100C9F70100CAF70100CBF70100EB
-:10DF3000CCF70100CDF70100CEF70100CFF70100CB
-:10DF4000D0F70100D1F70100D2F70100D3F70100AB
-:10DF5000D4F70100D5F70100D6F70100D7F701008B
-:10DF6000D8F70100D9F70100DAF70100DBF701006B
-:10DF7000DCF70100DDF70100DEF70100DFF701004B
-:10DF8000E0F70100E1F70100E2F70100E3F701002B
-:10DF9000E4F70100E5F70100E6F70100E7F701000B
-:10DFA000E8F70100E9F70100EAF70100EBF70100EB
-:10DFB000ECF70100EDF70100EEF70100EFF70100CB
-:10DFC000F0F70100F1F70100F2F70100F3F70100AB
-:10DFD000F4F70100F5F70100F6F70100F7F701008B
-:10DFE000F8F70100F9F70100FAF70100FBF701006B
-:10DFF000FCF70100FDF70100FEF70100FFF701004B
-:10E0000000F8010001F8010002F8010003F8010026
-:10E0100004F8010005F8010006F8010007F8010006
-:10E0200008F8010009F801000AF801000BF80100E6
-:10E030000CF801000DF801000EF801000FF80100C6
-:10E0400010F8010011F8010012F8010013F80100A6
-:10E0500014F8010015F8010016F8010017F8010086
-:10E0600018F8010019F801001AF801001BF8010066
-:10E070001CF801001DF801001EF801001FF8010046
-:10E0800020F8010021F8010022F8010023F8010026
-:10E0900024F8010025F8010026F8010027F8010006
-:10E0A00028F8010029F801002AF801002BF80100E6
-:10E0B0002CF801002DF801002EF801002FF80100C6
-:10E0C00030F8010031F8010032F8010033F80100A6
-:10E0D00034F8010035F8010036F8010037F8010086
-:10E0E00038F8010039F801003AF801003BF8010066
-:10E0F0003CF801003DF801003EF801003FF8010046
-:10E1000040F8010041F8010042F8010043F8010025
-:10E1100044F8010045F8010046F8010047F8010005
-:10E1200048F8010049F801004AF801004BF80100E5
-:10E130004CF801004DF801004EF801004FF80100C5
-:10E1400050F8010051F8010052F8010053F80100A5
-:10E1500054F8010055F8010056F8010057F8010085
-:10E1600058F8010059F801005AF801005BF8010065
-:10E170005CF801005DF801005EF801005FF8010045
-:10E1800060F8010061F8010062F8010063F8010025
-:10E1900064F8010065F8010066F8010067F8010005
-:10E1A00068F8010069F801006AF801006BF80100E5
-:10E1B0006CF801006DF801006EF801006FF80100C5
-:10E1C00070F8010071F8010072F8010073F80100A5
-:10E1D00074F8010075F8010076F8010077F8010085
-:10E1E00078F8010079F801007AF801007BF8010065
-:10E1F0007CF801007DF801007EF801007FF8010045
-:10E2000080F8010081F8010082F8010083F8010024
-:10E2100084F8010085F8010086F8010087F8010004
-:10E2200088F8010089F801008AF801008BF80100E4
-:10E230008CF801008DF801008EF801008FF80100C4
-:10E2400090F8010091F8010092F8010093F80100A4
-:10E2500094F8010095F8010096F8010097F8010084
-:10E2600098F8010099F801009AF801009BF8010064
-:10E270009CF801009DF801009EF801009FF8010044
-:10E28000A0F80100A1F80100A2F80100A3F8010024
-:10E29000A4F80100A5F80100A6F80100A7F8010004
-:10E2A000A8F80100A9F80100AAF80100ABF80100E4
-:10E2B000ACF80100ADF80100AEF80100AFF80100C4
-:10E2C000B0F80100B1F80100B2F80100B3F80100A4
-:10E2D000B4F80100B5F80100B6F80100B7F8010084
-:10E2E000B8F80100B9F80100BAF80100BBF8010064
-:10E2F000BCF80100BDF80100BEF80100BFF8010044
-:10E30000C0F80100C1F80100C2F80100C3F8010023
-:10E31000C4F80100C5F80100C6F80100C7F8010003
-:10E32000C8F80100C9F80100CAF80100CBF80100E3
-:10E33000CCF80100CDF80100CEF80100CFF80100C3
-:10E34000D0F80100D1F80100D2F80100D3F80100A3
-:10E35000D4F80100D5F80100D6F80100D7F8010083
-:10E36000D8F80100D9F80100DAF80100DBF8010063
-:10E37000DCF80100DDF80100DEF80100DFF8010043
-:10E38000E0F80100E1F80100E2F80100E3F8010023
-:10E39000E4F80100E5F80100E6F80100E7F8010003
-:10E3A000E8F80100E9F80100EAF80100EBF80100E3
-:10E3B000ECF80100EDF80100EEF80100EFF80100C3
-:10E3C000F0F80100F1F80100F2F80100F3F80100A3
-:10E3D000F4F80100F5F80100F6F80100F7F8010083
-:10E3E000F8F80100F9F80100FAF80100FBF8010063
-:10E3F000FCF80100FDF80100FEF80100FFF8010043
-:10E4000000F9010001F9010002F9010003F901001E
-:10E4100004F9010005F9010006F9010007F90100FE
-:10E4200008F9010009F901000AF901000BF90100DE
-:10E430000CF901000DF901000EF901000FF90100BE
-:10E4400010F9010011F9010012F9010013F901009E
-:10E4500014F9010015F9010016F9010017F901007E
-:10E4600018F9010019F901001AF901001BF901005E
-:10E470001CF901001DF901001EF901001FF901003E
-:10E4800020F9010021F9010022F9010023F901001E
-:10E4900024F9010025F9010026F9010027F90100FE
-:10E4A00028F9010029F901002AF901002BF90100DE
-:10E4B0002CF901002DF901002EF901002FF90100BE
-:10E4C00030F9010031F9010032F9010033F901009E
-:10E4D00034F9010035F9010036F9010037F901007E
-:10E4E00038F9010039F901003AF901003BF901005E
-:10E4F0003CF901003DF901003EF901003FF901003E
-:10E5000040F9010041F9010042F9010043F901001D
-:10E5100044F9010045F9010046F9010047F90100FD
-:10E5200048F9010049F901004AF901004BF90100DD
-:10E530004CF901004DF901004EF901004FF90100BD
-:10E5400050F9010051F9010052F9010053F901009D
-:10E5500054F9010055F9010056F9010057F901007D
-:10E5600058F9010059F901005AF901005BF901005D
-:10E570005CF901005DF901005EF901005FF901003D
-:10E5800060F9010061F9010062F9010063F901001D
-:10E5900064F9010065F9010066F9010067F90100FD
-:10E5A00068F9010069F901006AF901006BF90100DD
-:10E5B0006CF901006DF901006EF901006FF90100BD
-:10E5C00070F9010071F9010072F9010073F901009D
-:10E5D00074F9010075F9010076F9010077F901007D
-:10E5E00078F9010079F901007AF901007BF901005D
-:10E5F0007CF901007DF901007EF901007FF901003D
-:10E6000080F9010081F9010082F9010083F901001C
-:10E6100084F9010085F9010086F9010087F90100FC
-:10E6200088F9010089F901008AF901008BF90100DC
-:10E630008CF901008DF901008EF901008FF90100BC
-:10E6400090F9010091F9010092F9010093F901009C
-:10E6500094F9010095F9010096F9010097F901007C
-:10E6600098F9010099F901009AF901009BF901005C
-:10E670009CF901009DF901009EF901009FF901003C
-:10E68000A0F90100A1F90100A2F90100A3F901001C
-:10E69000A4F90100A5F90100A6F90100A7F90100FC
-:10E6A000A8F90100A9F90100AAF90100ABF90100DC
-:10E6B000ACF90100ADF90100AEF90100AFF90100BC
-:10E6C000B0F90100B1F90100B2F90100B3F901009C
-:10E6D000B4F90100B5F90100B6F90100B7F901007C
-:10E6E000B8F90100B9F90100BAF90100BBF901005C
-:10E6F000BCF90100BDF90100BEF90100BFF901003C
-:10E70000C0F90100C1F90100C2F90100C3F901001B
-:10E71000C4F90100C5F90100C6F90100C7F90100FB
-:10E72000C8F90100C9F90100CAF90100CBF90100DB
-:10E73000CCF90100CDF90100CEF90100CFF90100BB
-:10E74000D0F90100D1F90100D2F90100D3F901009B
-:10E75000D4F90100D5F90100D6F90100D7F901007B
-:10E76000D8F90100D9F90100DAF90100DBF901005B
-:10E77000DCF90100DDF90100DEF90100DFF901003B
-:10E78000E0F90100E1F90100E2F90100E3F901001B
-:10E79000E4F90100E5F90100E6F90100E7F90100FB
-:10E7A000E8F90100E9F90100EAF90100EBF90100DB
-:10E7B000ECF90100EDF90100EEF90100EFF90100BB
-:10E7C000F0F90100F1F90100F2F90100F3F901009B
-:10E7D000F4F90100F5F90100F6F90100F7F901007B
-:10E7E000F8F90100F9F90100FAF90100FBF901005B
-:10E7F000FCF90100FDF90100FEF90100FFF901003B
-:10E8000000FA010001FA010002FA010003FA010016
-:10E8100004FA010005FA010006FA010007FA0100F6
-:10E8200008FA010009FA01000AFA01000BFA0100D6
-:10E830000CFA01000DFA01000EFA01000FFA0100B6
-:10E8400010FA010011FA010012FA010013FA010096
-:10E8500014FA010015FA010016FA010017FA010076
-:10E8600018FA010019FA01001AFA01001BFA010056
-:10E870001CFA01001DFA01001EFA01001FFA010036
-:10E8800020FA010021FA010022FA010023FA010016
-:10E8900024FA010025FA010026FA010027FA0100F6
-:10E8A00028FA010029FA01002AFA01002BFA0100D6
-:10E8B0002CFA01002DFA01002EFA01002FFA0100B6
-:10E8C00030FA010031FA010032FA010033FA010096
-:10E8D00034FA010035FA010036FA010037FA010076
-:10E8E00038FA010039FA01003AFA01003BFA010056
-:10E8F0003CFA01003DFA01003EFA01003FFA010036
-:10E9000040FA010041FA010042FA010043FA010015
-:10E9100044FA010045FA010046FA010047FA0100F5
-:10E9200048FA010049FA01004AFA01004BFA0100D5
-:10E930004CFA01004DFA01004EFA01004FFA0100B5
-:10E9400050FA010051FA010052FA010053FA010095
-:10E9500054FA010055FA010056FA010057FA010075
-:10E9600058FA010059FA01005AFA01005BFA010055
-:10E970005CFA01005DFA01005EFA01005FFA010035
-:10E9800060FA010061FA010062FA010063FA010015
-:10E9900064FA010065FA010066FA010067FA0100F5
-:10E9A00068FA010069FA01006AFA01006BFA0100D5
-:10E9B0006CFA01006DFA01006EFA01006FFA0100B5
-:10E9C00070FA010071FA010072FA010073FA010095
-:10E9D00074FA010075FA010076FA010077FA010075
-:10E9E00078FA010079FA01007AFA01007BFA010055
-:10E9F0007CFA01007DFA01007EFA01007FFA010035
-:10EA000080FA010081FA010082FA010083FA010014
-:10EA100084FA010085FA010086FA010087FA0100F4
-:10EA200088FA010089FA01008AFA01008BFA0100D4
-:10EA30008CFA01008DFA01008EFA01008FFA0100B4
-:10EA400090FA010091FA010092FA010093FA010094
-:10EA500094FA010095FA010096FA010097FA010074
-:10EA600098FA010099FA01009AFA01009BFA010054
-:10EA70009CFA01009DFA01009EFA01009FFA010034
-:10EA8000A0FA0100A1FA0100A2FA0100A3FA010014
-:10EA9000A4FA0100A5FA0100A6FA0100A7FA0100F4
-:10EAA000A8FA0100A9FA0100AAFA0100ABFA0100D4
-:10EAB000ACFA0100ADFA0100AEFA0100AFFA0100B4
-:10EAC000B0FA0100B1FA0100B2FA0100B3FA010094
-:10EAD000B4FA0100B5FA0100B6FA0100B7FA010074
-:10EAE000B8FA0100B9FA0100BAFA0100BBFA010054
-:10EAF000BCFA0100BDFA0100BEFA0100BFFA010034
-:10EB0000C0FA0100C1FA0100C2FA0100C3FA010013
-:10EB1000C4FA0100C5FA0100C6FA0100C7FA0100F3
-:10EB2000C8FA0100C9FA0100CAFA0100CBFA0100D3
-:10EB3000CCFA0100CDFA0100CEFA0100CFFA0100B3
-:10EB4000D0FA0100D1FA0100D2FA0100D3FA010093
-:10EB5000D4FA0100D5FA0100D6FA0100D7FA010073
-:10EB6000D8FA0100D9FA0100DAFA0100DBFA010053
-:10EB7000DCFA0100DDFA0100DEFA0100DFFA010033
-:10EB8000E0FA0100E1FA0100E2FA0100E3FA010013
-:10EB9000E4FA0100E5FA0100E6FA0100E7FA0100F3
-:10EBA000E8FA0100E9FA0100EAFA0100EBFA0100D3
-:10EBB000ECFA0100EDFA0100EEFA0100EFFA0100B3
-:10EBC000F0FA0100F1FA0100F2FA0100F3FA010093
-:10EBD000F4FA0100F5FA0100F6FA0100F7FA010073
-:10EBE000F8FA0100F9FA0100FAFA0100FBFA010053
-:10EBF000FCFA0100FDFA0100FEFA0100FFFA010033
-:10EC000000FB010001FB010002FB010003FB01000E
-:10EC100004FB010005FB010006FB010007FB0100EE
-:10EC200008FB010009FB01000AFB01000BFB0100CE
-:10EC30000CFB01000DFB01000EFB01000FFB0100AE
-:10EC400010FB010011FB010012FB010013FB01008E
-:10EC500014FB010015FB010016FB010017FB01006E
-:10EC600018FB010019FB01001AFB01001BFB01004E
-:10EC70001CFB01001DFB01001EFB01001FFB01002E
-:10EC800020FB010021FB010022FB010023FB01000E
-:10EC900024FB010025FB010026FB010027FB0100EE
-:10ECA00028FB010029FB01002AFB01002BFB0100CE
-:10ECB0002CFB01002DFB01002EFB01002FFB0100AE
-:10ECC00030FB010031FB010032FB010033FB01008E
-:10ECD00034FB010035FB010036FB010037FB01006E
-:10ECE00038FB010039FB01003AFB01003BFB01004E
-:10ECF0003CFB01003DFB01003EFB01003FFB01002E
-:10ED000040FB010041FB010042FB010043FB01000D
-:10ED100044FB010045FB010046FB010047FB0100ED
-:10ED200048FB010049FB01004AFB01004BFB0100CD
-:10ED30004CFB01004DFB01004EFB01004FFB0100AD
-:10ED400050FB010051FB010052FB010053FB01008D
-:10ED500054FB010055FB010056FB010057FB01006D
-:10ED600058FB010059FB01005AFB01005BFB01004D
-:10ED70005CFB01005DFB01005EFB01005FFB01002D
-:10ED800060FB010061FB010062FB010063FB01000D
-:10ED900064FB010065FB010066FB010067FB0100ED
-:10EDA00068FB010069FB01006AFB01006BFB0100CD
-:10EDB0006CFB01006DFB01006EFB01006FFB0100AD
-:10EDC00070FB010071FB010072FB010073FB01008D
-:10EDD00074FB010075FB010076FB010077FB01006D
-:10EDE00078FB010079FB01007AFB01007BFB01004D
-:10EDF0007CFB01007DFB01007EFB01007FFB01002D
-:10EE000080FB010081FB010082FB010083FB01000C
-:10EE100084FB010085FB010086FB010087FB0100EC
-:10EE200088FB010089FB01008AFB01008BFB0100CC
-:10EE30008CFB01008DFB01008EFB01008FFB0100AC
-:10EE400090FB010091FB010092FB010093FB01008C
-:10EE500094FB010095FB010096FB010097FB01006C
-:10EE600098FB010099FB01009AFB01009BFB01004C
-:10EE70009CFB01009DFB01009EFB01009FFB01002C
-:10EE8000A0FB0100A1FB0100A2FB0100A3FB01000C
-:10EE9000A4FB0100A5FB0100A6FB0100A7FB0100EC
-:10EEA000A8FB0100A9FB0100AAFB0100ABFB0100CC
-:10EEB000ACFB0100ADFB0100AEFB0100AFFB0100AC
-:10EEC000B0FB0100B1FB0100B2FB0100B3FB01008C
-:10EED000B4FB0100B5FB0100B6FB0100B7FB01006C
-:10EEE000B8FB0100B9FB0100BAFB0100BBFB01004C
-:10EEF000BCFB0100BDFB0100BEFB0100BFFB01002C
-:10EF0000C0FB0100C1FB0100C2FB0100C3FB01000B
-:10EF1000C4FB0100C5FB0100C6FB0100C7FB0100EB
-:10EF2000C8FB0100C9FB0100CAFB0100CBFB0100CB
-:10EF3000CCFB0100CDFB0100CEFB0100CFFB0100AB
-:10EF4000D0FB0100D1FB0100D2FB0100D3FB01008B
-:10EF5000D4FB0100D5FB0100D6FB0100D7FB01006B
-:10EF6000D8FB0100D9FB0100DAFB0100DBFB01004B
-:10EF7000DCFB0100DDFB0100DEFB0100DFFB01002B
-:10EF8000E0FB0100E1FB0100E2FB0100E3FB01000B
-:10EF9000E4FB0100E5FB0100E6FB0100E7FB0100EB
-:10EFA000E8FB0100E9FB0100EAFB0100EBFB0100CB
-:10EFB000ECFB0100EDFB0100EEFB0100EFFB0100AB
-:10EFC000F0FB0100F1FB0100F2FB0100F3FB01008B
-:10EFD000F4FB0100F5FB0100F6FB0100F7FB01006B
-:10EFE000F8FB0100F9FB0100FAFB0100FBFB01004B
-:10EFF000FCFB0100FDFB0100FEFB0100FFFB01002B
-:10F0000000FC010001FC010002FC010003FC010006
-:10F0100004FC010005FC010006FC010007FC0100E6
-:10F0200008FC010009FC01000AFC01000BFC0100C6
-:10F030000CFC01000DFC01000EFC01000FFC0100A6
-:10F0400010FC010011FC010012FC010013FC010086
-:10F0500014FC010015FC010016FC010017FC010066
-:10F0600018FC010019FC01001AFC01001BFC010046
-:10F070001CFC01001DFC01001EFC01001FFC010026
-:10F0800020FC010021FC010022FC010023FC010006
-:10F0900024FC010025FC010026FC010027FC0100E6
-:10F0A00028FC010029FC01002AFC01002BFC0100C6
-:10F0B0002CFC01002DFC01002EFC01002FFC0100A6
-:10F0C00030FC010031FC010032FC010033FC010086
-:10F0D00034FC010035FC010036FC010037FC010066
-:10F0E00038FC010039FC01003AFC01003BFC010046
-:10F0F0003CFC01003DFC01003EFC01003FFC010026
-:10F1000040FC010041FC010042FC010043FC010005
-:10F1100044FC010045FC010046FC010047FC0100E5
-:10F1200048FC010049FC01004AFC01004BFC0100C5
-:10F130004CFC01004DFC01004EFC01004FFC0100A5
-:10F1400050FC010051FC010052FC010053FC010085
-:10F1500054FC010055FC010056FC010057FC010065
-:10F1600058FC010059FC01005AFC01005BFC010045
-:10F170005CFC01005DFC01005EFC01005FFC010025
-:10F1800060FC010061FC010062FC010063FC010005
-:10F1900064FC010065FC010066FC010067FC0100E5
-:10F1A00068FC010069FC01006AFC01006BFC0100C5
-:10F1B0006CFC01006DFC01006EFC01006FFC0100A5
-:10F1C00070FC010071FC010072FC010073FC010085
-:10F1D00074FC010075FC010076FC010077FC010065
-:10F1E00078FC010079FC01007AFC01007BFC010045
-:10F1F0007CFC01007DFC01007EFC01007FFC010025
-:10F2000080FC010081FC010082FC010083FC010004
-:10F2100084FC010085FC010086FC010087FC0100E4
-:10F2200088FC010089FC01008AFC01008BFC0100C4
-:10F230008CFC01008DFC01008EFC01008FFC0100A4
-:10F2400090FC010091FC010092FC010093FC010084
-:10F2500094FC010095FC010096FC010097FC010064
-:10F2600098FC010099FC01009AFC01009BFC010044
-:10F270009CFC01009DFC01009EFC01009FFC010024
-:10F28000A0FC0100A1FC0100A2FC0100A3FC010004
-:10F29000A4FC0100A5FC0100A6FC0100A7FC0100E4
-:10F2A000A8FC0100A9FC0100AAFC0100ABFC0100C4
-:10F2B000ACFC0100ADFC0100AEFC0100AFFC0100A4
-:10F2C000B0FC0100B1FC0100B2FC0100B3FC010084
-:10F2D000B4FC0100B5FC0100B6FC0100B7FC010064
-:10F2E000B8FC0100B9FC0100BAFC0100BBFC010044
-:10F2F000BCFC0100BDFC0100BEFC0100BFFC010024
-:10F30000C0FC0100C1FC0100C2FC0100C3FC010003
-:10F31000C4FC0100C5FC0100C6FC0100C7FC0100E3
-:10F32000C8FC0100C9FC0100CAFC0100CBFC0100C3
-:10F33000CCFC0100CDFC0100CEFC0100CFFC0100A3
-:10F34000D0FC0100D1FC0100D2FC0100D3FC010083
-:10F35000D4FC0100D5FC0100D6FC0100D7FC010063
-:10F36000D8FC0100D9FC0100DAFC0100DBFC010043
-:10F37000DCFC0100DDFC0100DEFC0100DFFC010023
-:10F38000E0FC0100E1FC0100E2FC0100E3FC010003
-:10F39000E4FC0100E5FC0100E6FC0100E7FC0100E3
-:10F3A000E8FC0100E9FC0100EAFC0100EBFC0100C3
-:10F3B000ECFC0100EDFC0100EEFC0100EFFC0100A3
-:10F3C000F0FC0100F1FC0100F2FC0100F3FC010083
-:10F3D000F4FC0100F5FC0100F6FC0100F7FC010063
-:10F3E000F8FC0100F9FC0100FAFC0100FBFC010043
-:10F3F000FCFC0100FDFC0100FEFC0100FFFC010023
-:10F4000000FD010001FD010002FD010003FD0100FE
-:10F4100004FD010005FD010006FD010007FD0100DE
-:10F4200008FD010009FD01000AFD01000BFD0100BE
-:10F430000CFD01000DFD01000EFD01000FFD01009E
-:10F4400010FD010011FD010012FD010013FD01007E
-:10F4500014FD010015FD010016FD010017FD01005E
-:10F4600018FD010019FD01001AFD01001BFD01003E
-:10F470001CFD01001DFD01001EFD01001FFD01001E
-:10F4800020FD010021FD010022FD010023FD0100FE
-:10F4900024FD010025FD010026FD010027FD0100DE
-:10F4A00028FD010029FD01002AFD01002BFD0100BE
-:10F4B0002CFD01002DFD01002EFD01002FFD01009E
-:10F4C00030FD010031FD010032FD010033FD01007E
-:10F4D00034FD010035FD010036FD010037FD01005E
-:10F4E00038FD010039FD01003AFD01003BFD01003E
-:10F4F0003CFD01003DFD01003EFD01003FFD01001E
-:10F5000040FD010041FD010042FD010043FD0100FD
-:10F5100044FD010045FD010046FD010047FD0100DD
-:10F5200048FD010049FD01004AFD01004BFD0100BD
-:10F530004CFD01004DFD01004EFD01004FFD01009D
-:10F5400050FD010051FD010052FD010053FD01007D
-:10F5500054FD010055FD010056FD010057FD01005D
-:10F5600058FD010059FD01005AFD01005BFD01003D
-:10F570005CFD01005DFD01005EFD01005FFD01001D
-:10F5800060FD010061FD010062FD010063FD0100FD
-:10F5900064FD010065FD010066FD010067FD0100DD
-:10F5A00068FD010069FD01006AFD01006BFD0100BD
-:10F5B0006CFD01006DFD01006EFD01006FFD01009D
-:10F5C00070FD010071FD010072FD010073FD01007D
-:10F5D00074FD010075FD010076FD010077FD01005D
-:10F5E00078FD010079FD01007AFD01007BFD01003D
-:10F5F0007CFD01007DFD01007EFD01007FFD01001D
-:10F6000080FD010081FD010082FD010083FD0100FC
-:10F6100084FD010085FD010086FD010087FD0100DC
-:10F6200088FD010089FD01008AFD01008BFD0100BC
-:10F630008CFD01008DFD01008EFD01008FFD01009C
-:10F6400090FD010091FD010092FD010093FD01007C
-:10F6500094FD010095FD010096FD010097FD01005C
-:10F6600098FD010099FD01009AFD01009BFD01003C
-:10F670009CFD01009DFD01009EFD01009FFD01001C
-:10F68000A0FD0100A1FD0100A2FD0100A3FD0100FC
-:10F69000A4FD0100A5FD0100A6FD0100A7FD0100DC
-:10F6A000A8FD0100A9FD0100AAFD0100ABFD0100BC
-:10F6B000ACFD0100ADFD0100AEFD0100AFFD01009C
-:10F6C000B0FD0100B1FD0100B2FD0100B3FD01007C
-:10F6D000B4FD0100B5FD0100B6FD0100B7FD01005C
-:10F6E000B8FD0100B9FD0100BAFD0100BBFD01003C
-:10F6F000BCFD0100BDFD0100BEFD0100BFFD01001C
-:10F70000C0FD0100C1FD0100C2FD0100C3FD0100FB
-:10F71000C4FD0100C5FD0100C6FD0100C7FD0100DB
-:10F72000C8FD0100C9FD0100CAFD0100CBFD0100BB
-:10F73000CCFD0100CDFD0100CEFD0100CFFD01009B
-:10F74000D0FD0100D1FD0100D2FD0100D3FD01007B
-:10F75000D4FD0100D5FD0100D6FD0100D7FD01005B
-:10F76000D8FD0100D9FD0100DAFD0100DBFD01003B
-:10F77000DCFD0100DDFD0100DEFD0100DFFD01001B
-:10F78000E0FD0100E1FD0100E2FD0100E3FD0100FB
-:10F79000E4FD0100E5FD0100E6FD0100E7FD0100DB
-:10F7A000E8FD0100E9FD0100EAFD0100EBFD0100BB
-:10F7B000ECFD0100EDFD0100EEFD0100EFFD01009B
-:10F7C000F0FD0100F1FD0100F2FD0100F3FD01007B
-:10F7D000F4FD0100F5FD0100F6FD0100F7FD01005B
-:10F7E000F8FD0100F9FD0100FAFD0100FBFD01003B
-:10F7F000FCFD0100FDFD0100FEFD0100FFFD01001B
-:10F8000000FE010001FE010002FE010003FE0100F6
-:10F8100004FE010005FE010006FE010007FE0100D6
-:10F8200008FE010009FE01000AFE01000BFE0100B6
-:10F830000CFE01000DFE01000EFE01000FFE010096
-:10F8400010FE010011FE010012FE010013FE010076
-:10F8500014FE010015FE010016FE010017FE010056
-:10F8600018FE010019FE01001AFE01001BFE010036
-:10F870001CFE01001DFE01001EFE01001FFE010016
-:10F8800020FE010021FE010022FE010023FE0100F6
-:10F8900024FE010025FE010026FE010027FE0100D6
-:10F8A00028FE010029FE01002AFE01002BFE0100B6
-:10F8B0002CFE01002DFE01002EFE01002FFE010096
-:10F8C00030FE010031FE010032FE010033FE010076
-:10F8D00034FE010035FE010036FE010037FE010056
-:10F8E00038FE010039FE01003AFE01003BFE010036
-:10F8F0003CFE01003DFE01003EFE01003FFE010016
-:10F9000040FE010041FE010042FE010043FE0100F5
-:10F9100044FE010045FE010046FE010047FE0100D5
-:10F9200048FE010049FE01004AFE01004BFE0100B5
-:10F930004CFE01004DFE01004EFE01004FFE010095
-:10F9400050FE010051FE010052FE010053FE010075
-:10F9500054FE010055FE010056FE010057FE010055
-:10F9600058FE010059FE01005AFE01005BFE010035
-:10F970005CFE01005DFE01005EFE01005FFE010015
-:10F9800060FE010061FE010062FE010063FE0100F5
-:10F9900064FE010065FE010066FE010067FE0100D5
-:10F9A00068FE010069FE01006AFE01006BFE0100B5
-:10F9B0006CFE01006DFE01006EFE01006FFE010095
-:10F9C00070FE010071FE010072FE010073FE010075
-:10F9D00074FE010075FE010076FE010077FE010055
-:10F9E00078FE010079FE01007AFE01007BFE010035
-:10F9F0007CFE01007DFE01007EFE01007FFE010015
-:10FA000080FE010081FE010082FE010083FE0100F4
-:10FA100084FE010085FE010086FE010087FE0100D4
-:10FA200088FE010089FE01008AFE01008BFE0100B4
-:10FA30008CFE01008DFE01008EFE01008FFE010094
-:10FA400090FE010091FE010092FE010093FE010074
-:10FA500094FE010095FE010096FE010097FE010054
-:10FA600098FE010099FE01009AFE01009BFE010034
-:10FA70009CFE01009DFE01009EFE01009FFE010014
-:10FA8000A0FE0100A1FE0100A2FE0100A3FE0100F4
-:10FA9000A4FE0100A5FE0100A6FE0100A7FE0100D4
-:10FAA000A8FE0100A9FE0100AAFE0100ABFE0100B4
-:10FAB000ACFE0100ADFE0100AEFE0100AFFE010094
-:10FAC000B0FE0100B1FE0100B2FE0100B3FE010074
-:10FAD000B4FE0100B5FE0100B6FE0100B7FE010054
-:10FAE000B8FE0100B9FE0100BAFE0100BBFE010034
-:10FAF000BCFE0100BDFE0100BEFE0100BFFE010014
-:10FB0000C0FE0100C1FE0100C2FE0100C3FE0100F3
-:10FB1000C4FE0100C5FE0100C6FE0100C7FE0100D3
-:10FB2000C8FE0100C9FE0100CAFE0100CBFE0100B3
-:10FB3000CCFE0100CDFE0100CEFE0100CFFE010093
-:10FB4000D0FE0100D1FE0100D2FE0100D3FE010073
-:10FB5000D4FE0100D5FE0100D6FE0100D7FE010053
-:10FB6000D8FE0100D9FE0100DAFE0100DBFE010033
-:10FB7000DCFE0100DDFE0100DEFE0100DFFE010013
-:10FB8000E0FE0100E1FE0100E2FE0100E3FE0100F3
-:10FB9000E4FE0100E5FE0100E6FE0100E7FE0100D3
-:10FBA000E8FE0100E9FE0100EAFE0100EBFE0100B3
-:10FBB000ECFE0100EDFE0100EEFE0100EFFE010093
-:10FBC000F0FE0100F1FE0100F2FE0100F3FE010073
-:10FBD000F4FE0100F5FE0100F6FE0100F7FE010053
-:10FBE000F8FE0100F9FE0100FAFE0100FBFE010033
-:10FBF000FCFE0100FDFE0100FEFE0100FFFE010013
-:10FC000000FF010001FF010002FF010003FF0100EE
-:10FC100004FF010005FF010006FF010007FF0100CE
-:10FC200008FF010009FF01000AFF01000BFF0100AE
-:10FC30000CFF01000DFF01000EFF01000FFF01008E
-:10FC400010FF010011FF010012FF010013FF01006E
-:10FC500014FF010015FF010016FF010017FF01004E
-:10FC600018FF010019FF01001AFF01001BFF01002E
-:10FC70001CFF01001DFF01001EFF01001FFF01000E
-:10FC800020FF010021FF010022FF010023FF0100EE
-:10FC900024FF010025FF010026FF010027FF0100CE
-:10FCA00028FF010029FF01002AFF01002BFF0100AE
-:10FCB0002CFF01002DFF01002EFF01002FFF01008E
-:10FCC00030FF010031FF010032FF010033FF01006E
-:10FCD00034FF010035FF010036FF010037FF01004E
-:10FCE00038FF010039FF01003AFF01003BFF01002E
-:10FCF0003CFF01003DFF01003EFF01003FFF01000E
-:10FD000040FF010041FF010042FF010043FF0100ED
-:10FD100044FF010045FF010046FF010047FF0100CD
-:10FD200048FF010049FF01004AFF01004BFF0100AD
-:10FD30004CFF01004DFF01004EFF01004FFF01008D
-:10FD400050FF010051FF010052FF010053FF01006D
-:10FD500054FF010055FF010056FF010057FF01004D
-:10FD600058FF010059FF01005AFF01005BFF01002D
-:10FD70005CFF01005DFF01005EFF01005FFF01000D
-:10FD800060FF010061FF010062FF010063FF0100ED
-:10FD900064FF010065FF010066FF010067FF0100CD
-:10FDA00068FF010069FF01006AFF01006BFF0100AD
-:10FDB0006CFF01006DFF01006EFF01006FFF01008D
-:10FDC00070FF010071FF010072FF010073FF01006D
-:10FDD00074FF010075FF010076FF010077FF01004D
-:10FDE00078FF010079FF01007AFF01007BFF01002D
-:10FDF0007CFF01007DFF01007EFF01007FFF01000D
-:10FE000080FF010081FF010082FF010083FF0100EC
-:10FE100084FF010085FF010086FF010087FF0100CC
-:10FE200088FF010089FF01008AFF01008BFF0100AC
-:10FE30008CFF01008DFF01008EFF01008FFF01008C
-:10FE400090FF010091FF010092FF010093FF01006C
-:10FE500094FF010095FF010096FF010097FF01004C
-:10FE600098FF010099FF01009AFF01009BFF01002C
-:10FE70009CFF01009DFF01009EFF01009FFF01000C
-:10FE8000A0FF0100A1FF0100A2FF0100A3FF0100EC
-:10FE9000A4FF0100A5FF0100A6FF0100A7FF0100CC
-:10FEA000A8FF0100A9FF0100AAFF0100ABFF0100AC
-:10FEB000ACFF0100ADFF0100AEFF0100AFFF01008C
-:10FEC000B0FF0100B1FF0100B2FF0100B3FF01006C
-:10FED000B4FF0100B5FF0100B6FF0100B7FF01004C
-:10FEE000B8FF0100B9FF0100BAFF0100BBFF01002C
-:10FEF000BCFF0100BDFF0100BEFF0100BFFF01000C
-:10FF0000C0FF0100C1FF0100C2FF0100C3FF0100EB
-:10FF1000C4FF0100C5FF0100C6FF0100C7FF0100CB
-:10FF2000C8FF0100C9FF0100CAFF0100CBFF0100AB
-:10FF3000CCFF0100CDFF0100CEFF0100CFFF01008B
-:10FF4000D0FF0100D1FF0100D2FF0100D3FF01006B
-:10FF5000D4FF0100D5FF0100D6FF0100D7FF01004B
-:10FF6000D8FF0100D9FF0100DAFF0100DBFF01002B
-:10FF7000DCFF0100DDFF0100DEFF0100DFFF01000B
-:10FF8000E0FF0100E1FF0100E2FF0100E3FF0100EB
-:10FF9000E4FF0100E5FF0100E6FF0100E7FF0100CB
-:10FFA000E8FF0100E9FF0100EAFF0100EBFF0100AB
-:10FFB000ECFF0100EDFF0100EEFF0100EFFF01008B
-:10FFC000F0FF0100F1FF0100F2FF0100F3FF01006B
-:10FFD000F4FF0100F5FF0100F6FF0100F7FF01004B
-:10FFE000F8FF0100F9FF0100FAFF0100FBFF01002B
-:10FFF000FCFF0100FDFF0100FEFF0100FFFF01000B
-:0400000500100000E7
-:00000001FF

+ 11 - 0
fw/testdata.pl

@@ -0,0 +1,11 @@
+#!/usr/bin/perl
+
+use bigint;
+use strict;
+
+my $x = 0x00001111;
+for (my $i = 0; $i < 128*1024; $i++) {
+    print pack("V", $x);
+    $x = (($x * 0x89abcdef) + (($x * 0x89abcdef) >> 32) + ($i * 0x76543210))
+	& 0xffffffff;
+}

Niektoré súbory nie sú zobrazené, pretože je v týchto rozdielových dátach zmenené mnoho súborov