Przeglądaj źródła

sdram: rewrite state machine with an operations loop counter

The previous state machine might violate tRAS for port 0 read. As the
necessary position of PRECHARGE versus READ is undefined, change the
state machine around to have a cycle counter for the entire
transaction combined with only one state per transaction. This
actually ends up making the logic slightly smaller, too, and means the
parameterization is cleaner.
H. Peter Anvin 3 lat temu
rodzic
commit
9363f018e1

+ 6 - 0
max80.qsf

@@ -154,4 +154,10 @@ set_global_assignment -name SOURCE_FILE max80.pins
 set_global_assignment -name TCL_SCRIPT_FILE scripts/pins.tcl
 set_global_assignment -name SOURCE_TCL_SCRIPT_FILE scripts/pins.tcl
 
+set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT"
+set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION ON
+set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION ON
+set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON
+set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON
+set_global_assignment -name QII_AUTO_PACKED_REGISTERS "SPARSE AUTO"
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

+ 1 - 1
max80.sv

@@ -133,7 +133,7 @@ module max80 (
 	    .c0 ( sdram_clk ),		// SDRAM clock  (168 MHz)
 	    .c1 ( clk ),		// System clock (168 MHz)
 	    .c2 ( vid_clk ),		// Video pixel clock (48 MHz)
-	    .locked ( pll_locked ),
+	    .locked ( pll_locked[0] ),
 	    .phasestep ( 1'b0 ),
 	    .phasecounterselect ( 3'b0 ),
 	    .phaseupdown ( 1'b1 ),

Plik diff jest za duży
+ 371 - 373
output_files/max80.fit.eqn


Plik diff jest za duży
+ 661 - 661
output_files/max80.jam


BIN
output_files/max80.jbc


BIN
output_files/max80.jic


+ 1 - 1
output_files/max80.map

@@ -10,7 +10,7 @@ Quad-Serial configuration device dummy clock cycle: 8
 
 Notes:
 
-- Data checksum for this conversion is 0xF75F1B00
+- Data checksum for this conversion is 0xF75F29AE
 
 - All the addresses in this file are byte addresses
 

+ 1786 - 1806
output_files/max80.map.eqn

@@ -16,16 +16,16 @@
 DB1_dataout[0] = DDIO_OUT(.DATAINHI(GND), .DATAINLO(VCC), , , , );
 
 
---F1_dram_a[0] is sdram:sdram|dram_a[0]
+--F1_dram_dqm[0] is sdram:sdram|dram_dqm[0]
 --register power-up is low
 
-F1_dram_a[0] = DFFEAS(F1L11, T1_wire_pll1_clk[0], rst_n,  ,  , abc_a[1],  , F1_WideOr0, F1L24);
+F1_dram_dqm[0] = DFFEAS(F1L41, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_state.st_p0_rd,  );
 
 
---F1_dram_a[1] is sdram:sdram|dram_a[1]
+--F1_dram_dqm[1] is sdram:sdram|dram_dqm[1]
 --register power-up is low
 
-F1_dram_a[1] = DFFEAS(F1L14, T1_wire_pll1_clk[0], rst_n,  ,  , abc_a[2],  , F1_WideOr0, F1L24);
+F1_dram_dqm[1] = DFFEAS(F1L40, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_state.st_p0_rd,  );
 
 
 --led_ctr[26] is led_ctr[26]
@@ -78,30 +78,28 @@ T1_wire_pll1_clk[1] = EQUATION NOT SUPPORTED;
 T1_wire_pll1_clk[2] = EQUATION NOT SUPPORTED;
 
 
---F1L11 is sdram:sdram|dram_a[0]~0
-F1L11 = (F1_state.st_idle & ((F1L3))) # (!F1_state.st_idle & (F1_state.st_init_mrd));
-
-
---F1L14 is sdram:sdram|dram_a[1]~1
-F1L14 = (F1_state.st_idle & ((F1L2))) # (!F1_state.st_idle & (F1_state.st_init_mrd));
-
-
 --F1_init_ctr[15] is sdram:sdram|init_ctr[15]
 --register power-up is low
 
-F1_init_ctr[15] = DFFEAS(F1L128, T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+F1_init_ctr[15] = DFFEAS(F1L141, T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
 --F1_rfsh_ctr[8] is sdram:sdram|rfsh_ctr[8]
 --register power-up is low
 
-F1_rfsh_ctr[8] = DFFEAS(F1L180, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[8] = DFFEAS(F1L190, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[9] is sdram:sdram|rfsh_ctr[9]
 --register power-up is low
 
-F1_rfsh_ctr[9] = DFFEAS(F1L183, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[9] = DFFEAS(F1L193, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+
+
+--F1_state.st_rfsh is sdram:sdram|state.st_rfsh
+--register power-up is low
+
+F1_state.st_rfsh = DFFEAS(F1L213, T1_wire_pll1_clk[0], rst_n,  , F1L211,  ,  , !F1_state.st_idle,  );
 
 
 --led_ctr[25] is led_ctr[25]
@@ -553,187 +551,193 @@ A1L22 = CARRY((rst_ctr[11] & !A1L20));
 A1L23 = A1L22;
 
 
+--F1_wack0_q is sdram:sdram|wack0_q
+--register power-up is low
+
+F1_wack0_q = DFFEAS(F1L8, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , !F1_state.st_p0_wr,  );
+
+
 --F1_init_ctr[14] is sdram:sdram|init_ctr[14]
 --register power-up is low
 
-F1_init_ctr[14] = DFFEAS(F1L125, T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+F1_init_ctr[14] = DFFEAS(F1L138, T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
 --F1_init_ctr[13] is sdram:sdram|init_ctr[13]
 --register power-up is low
 
-F1_init_ctr[13] = DFFEAS(F1L122, T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+F1_init_ctr[13] = DFFEAS(F1L135, T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
 --F1_init_ctr[12] is sdram:sdram|init_ctr[12]
 --register power-up is low
 
-F1_init_ctr[12] = DFFEAS(F1L119, T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+F1_init_ctr[12] = DFFEAS(F1L132, T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
 --F1_init_ctr[11] is sdram:sdram|init_ctr[11]
 --register power-up is low
 
-F1_init_ctr[11] = DFFEAS(F1L116, T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+F1_init_ctr[11] = DFFEAS(F1L129, T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
---F1L116 is sdram:sdram|init_ctr[11]~5
-F1L116 = (F1_init_ctr[10] & (F1_init_ctr[11] $ (VCC))) # (!F1_init_ctr[10] & (F1_init_ctr[11] & VCC));
+--F1L129 is sdram:sdram|init_ctr[11]~5
+F1L129 = (F1_init_ctr[10] & (F1_init_ctr[11] $ (VCC))) # (!F1_init_ctr[10] & (F1_init_ctr[11] & VCC));
 
---F1L117 is sdram:sdram|init_ctr[11]~6
-F1L117 = CARRY((F1_init_ctr[10] & F1_init_ctr[11]));
+--F1L130 is sdram:sdram|init_ctr[11]~6
+F1L130 = CARRY((F1_init_ctr[10] & F1_init_ctr[11]));
 
 
---F1L119 is sdram:sdram|init_ctr[12]~7
-F1L119 = (F1_init_ctr[12] & (!F1L117)) # (!F1_init_ctr[12] & ((F1L117) # (GND)));
+--F1L132 is sdram:sdram|init_ctr[12]~7
+F1L132 = (F1_init_ctr[12] & (!F1L130)) # (!F1_init_ctr[12] & ((F1L130) # (GND)));
 
---F1L120 is sdram:sdram|init_ctr[12]~8
-F1L120 = CARRY((!F1L117) # (!F1_init_ctr[12]));
+--F1L133 is sdram:sdram|init_ctr[12]~8
+F1L133 = CARRY((!F1L130) # (!F1_init_ctr[12]));
 
 
---F1L122 is sdram:sdram|init_ctr[13]~9
-F1L122 = (F1_init_ctr[13] & (F1L120 $ (GND))) # (!F1_init_ctr[13] & (!F1L120 & VCC));
+--F1L135 is sdram:sdram|init_ctr[13]~9
+F1L135 = (F1_init_ctr[13] & (F1L133 $ (GND))) # (!F1_init_ctr[13] & (!F1L133 & VCC));
 
---F1L123 is sdram:sdram|init_ctr[13]~10
-F1L123 = CARRY((F1_init_ctr[13] & !F1L120));
+--F1L136 is sdram:sdram|init_ctr[13]~10
+F1L136 = CARRY((F1_init_ctr[13] & !F1L133));
 
 
---F1L125 is sdram:sdram|init_ctr[14]~11
-F1L125 = (F1_init_ctr[14] & (!F1L123)) # (!F1_init_ctr[14] & ((F1L123) # (GND)));
+--F1L138 is sdram:sdram|init_ctr[14]~11
+F1L138 = (F1_init_ctr[14] & (!F1L136)) # (!F1_init_ctr[14] & ((F1L136) # (GND)));
 
---F1L126 is sdram:sdram|init_ctr[14]~12
-F1L126 = CARRY((!F1L123) # (!F1_init_ctr[14]));
+--F1L139 is sdram:sdram|init_ctr[14]~12
+F1L139 = CARRY((!F1L136) # (!F1_init_ctr[14]));
 
 
---F1L128 is sdram:sdram|init_ctr[15]~13
-F1L128 = F1_init_ctr[15] $ (!F1L126);
+--F1L141 is sdram:sdram|init_ctr[15]~13
+F1L141 = F1_init_ctr[15] $ (!F1L139);
 
 
 --F1_rfsh_ctr[7] is sdram:sdram|rfsh_ctr[7]
 --register power-up is low
 
-F1_rfsh_ctr[7] = DFFEAS(F1L177, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[7] = DFFEAS(F1L187, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[6] is sdram:sdram|rfsh_ctr[6]
 --register power-up is low
 
-F1_rfsh_ctr[6] = DFFEAS(F1L174, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[6] = DFFEAS(F1L184, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[5] is sdram:sdram|rfsh_ctr[5]
 --register power-up is low
 
-F1_rfsh_ctr[5] = DFFEAS(F1L171, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[5] = DFFEAS(F1L181, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[4] is sdram:sdram|rfsh_ctr[4]
 --register power-up is low
 
-F1_rfsh_ctr[4] = DFFEAS(F1L168, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[4] = DFFEAS(F1L178, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[3] is sdram:sdram|rfsh_ctr[3]
 --register power-up is low
 
-F1_rfsh_ctr[3] = DFFEAS(F1L165, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[3] = DFFEAS(F1L175, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[2] is sdram:sdram|rfsh_ctr[2]
 --register power-up is low
 
-F1_rfsh_ctr[2] = DFFEAS(F1L162, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[2] = DFFEAS(F1L172, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[1] is sdram:sdram|rfsh_ctr[1]
 --register power-up is low
 
-F1_rfsh_ctr[1] = DFFEAS(F1L159, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[1] = DFFEAS(F1L169, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
 --F1_rfsh_ctr[0] is sdram:sdram|rfsh_ctr[0]
 --register power-up is low
 
-F1_rfsh_ctr[0] = DFFEAS(F1L156, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
+F1_rfsh_ctr[0] = DFFEAS(F1L166, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  , F1_is_rfsh,  );
 
 
---F1L156 is sdram:sdram|rfsh_ctr[0]~10
-F1L156 = F1_rfsh_ctr[0] $ (VCC);
+--F1L166 is sdram:sdram|rfsh_ctr[0]~10
+F1L166 = F1_rfsh_ctr[0] $ (VCC);
 
---F1L157 is sdram:sdram|rfsh_ctr[0]~11
-F1L157 = CARRY(F1_rfsh_ctr[0]);
+--F1L167 is sdram:sdram|rfsh_ctr[0]~11
+F1L167 = CARRY(F1_rfsh_ctr[0]);
 
 
---F1L159 is sdram:sdram|rfsh_ctr[1]~12
-F1L159 = (F1_rfsh_ctr[1] & (!F1L157)) # (!F1_rfsh_ctr[1] & ((F1L157) # (GND)));
+--F1L169 is sdram:sdram|rfsh_ctr[1]~12
+F1L169 = (F1_rfsh_ctr[1] & (!F1L167)) # (!F1_rfsh_ctr[1] & ((F1L167) # (GND)));
 
---F1L160 is sdram:sdram|rfsh_ctr[1]~13
-F1L160 = CARRY((!F1L157) # (!F1_rfsh_ctr[1]));
+--F1L170 is sdram:sdram|rfsh_ctr[1]~13
+F1L170 = CARRY((!F1L167) # (!F1_rfsh_ctr[1]));
 
 
---F1L162 is sdram:sdram|rfsh_ctr[2]~14
-F1L162 = (F1_rfsh_ctr[2] & (F1L160 $ (GND))) # (!F1_rfsh_ctr[2] & (!F1L160 & VCC));
+--F1L172 is sdram:sdram|rfsh_ctr[2]~14
+F1L172 = (F1_rfsh_ctr[2] & (F1L170 $ (GND))) # (!F1_rfsh_ctr[2] & (!F1L170 & VCC));
 
---F1L163 is sdram:sdram|rfsh_ctr[2]~15
-F1L163 = CARRY((F1_rfsh_ctr[2] & !F1L160));
+--F1L173 is sdram:sdram|rfsh_ctr[2]~15
+F1L173 = CARRY((F1_rfsh_ctr[2] & !F1L170));
 
 
---F1L165 is sdram:sdram|rfsh_ctr[3]~16
-F1L165 = (F1_rfsh_ctr[3] & (!F1L163)) # (!F1_rfsh_ctr[3] & ((F1L163) # (GND)));
+--F1L175 is sdram:sdram|rfsh_ctr[3]~16
+F1L175 = (F1_rfsh_ctr[3] & (!F1L173)) # (!F1_rfsh_ctr[3] & ((F1L173) # (GND)));
 
---F1L166 is sdram:sdram|rfsh_ctr[3]~17
-F1L166 = CARRY((!F1L163) # (!F1_rfsh_ctr[3]));
+--F1L176 is sdram:sdram|rfsh_ctr[3]~17
+F1L176 = CARRY((!F1L173) # (!F1_rfsh_ctr[3]));
 
 
---F1L168 is sdram:sdram|rfsh_ctr[4]~18
-F1L168 = (F1_rfsh_ctr[4] & (F1L166 $ (GND))) # (!F1_rfsh_ctr[4] & (!F1L166 & VCC));
+--F1L178 is sdram:sdram|rfsh_ctr[4]~18
+F1L178 = (F1_rfsh_ctr[4] & (F1L176 $ (GND))) # (!F1_rfsh_ctr[4] & (!F1L176 & VCC));
 
---F1L169 is sdram:sdram|rfsh_ctr[4]~19
-F1L169 = CARRY((F1_rfsh_ctr[4] & !F1L166));
+--F1L179 is sdram:sdram|rfsh_ctr[4]~19
+F1L179 = CARRY((F1_rfsh_ctr[4] & !F1L176));
 
 
---F1L171 is sdram:sdram|rfsh_ctr[5]~20
-F1L171 = (F1_rfsh_ctr[5] & (!F1L169)) # (!F1_rfsh_ctr[5] & ((F1L169) # (GND)));
+--F1L181 is sdram:sdram|rfsh_ctr[5]~20
+F1L181 = (F1_rfsh_ctr[5] & (!F1L179)) # (!F1_rfsh_ctr[5] & ((F1L179) # (GND)));
 
---F1L172 is sdram:sdram|rfsh_ctr[5]~21
-F1L172 = CARRY((!F1L169) # (!F1_rfsh_ctr[5]));
+--F1L182 is sdram:sdram|rfsh_ctr[5]~21
+F1L182 = CARRY((!F1L179) # (!F1_rfsh_ctr[5]));
 
 
---F1L174 is sdram:sdram|rfsh_ctr[6]~22
-F1L174 = (F1_rfsh_ctr[6] & (F1L172 $ (GND))) # (!F1_rfsh_ctr[6] & (!F1L172 & VCC));
+--F1L184 is sdram:sdram|rfsh_ctr[6]~22
+F1L184 = (F1_rfsh_ctr[6] & (F1L182 $ (GND))) # (!F1_rfsh_ctr[6] & (!F1L182 & VCC));
 
---F1L175 is sdram:sdram|rfsh_ctr[6]~23
-F1L175 = CARRY((F1_rfsh_ctr[6] & !F1L172));
+--F1L185 is sdram:sdram|rfsh_ctr[6]~23
+F1L185 = CARRY((F1_rfsh_ctr[6] & !F1L182));
 
 
---F1L177 is sdram:sdram|rfsh_ctr[7]~24
-F1L177 = (F1_rfsh_ctr[7] & (!F1L175)) # (!F1_rfsh_ctr[7] & ((F1L175) # (GND)));
+--F1L187 is sdram:sdram|rfsh_ctr[7]~24
+F1L187 = (F1_rfsh_ctr[7] & (!F1L185)) # (!F1_rfsh_ctr[7] & ((F1L185) # (GND)));
 
---F1L178 is sdram:sdram|rfsh_ctr[7]~25
-F1L178 = CARRY((!F1L175) # (!F1_rfsh_ctr[7]));
+--F1L188 is sdram:sdram|rfsh_ctr[7]~25
+F1L188 = CARRY((!F1L185) # (!F1_rfsh_ctr[7]));
 
 
---F1L180 is sdram:sdram|rfsh_ctr[8]~26
-F1L180 = (F1_rfsh_ctr[8] & (F1L178 $ (GND))) # (!F1_rfsh_ctr[8] & (!F1L178 & VCC));
+--F1L190 is sdram:sdram|rfsh_ctr[8]~26
+F1L190 = (F1_rfsh_ctr[8] & (F1L188 $ (GND))) # (!F1_rfsh_ctr[8] & (!F1L188 & VCC));
 
---F1L181 is sdram:sdram|rfsh_ctr[8]~27
-F1L181 = CARRY((F1_rfsh_ctr[8] & !F1L178));
+--F1L191 is sdram:sdram|rfsh_ctr[8]~27
+F1L191 = CARRY((F1_rfsh_ctr[8] & !F1L188));
 
 
---F1L183 is sdram:sdram|rfsh_ctr[9]~28
-F1L183 = F1_rfsh_ctr[9] $ (F1L181);
+--F1L193 is sdram:sdram|rfsh_ctr[9]~28
+F1L193 = F1_rfsh_ctr[9] $ (F1L191);
 
 
 --B1_qreg[6] is tmdsenc:hdmitmds[0].enc|qreg[6]
 --register power-up is low
 
-B1_qreg[6] = DFFEAS(B1L58, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
+B1_qreg[6] = DFFEAS(B1L59, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
 
 
 --B2_qreg[0] is tmdsenc:hdmitmds[1].enc|qreg[0]
 --register power-up is low
 
-B2_qreg[0] = DFFEAS(B2L58, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B2_qreg[0] = DFFEAS(B2L57, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B3_qreg[0] is tmdsenc:hdmitmds[2].enc|qreg[0]
@@ -769,55 +773,55 @@ B3_disparity[2] = DFFEAS(B3L39, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_
 --B1_disparity[3] is tmdsenc:hdmitmds[0].enc|disparity[3]
 --register power-up is low
 
-B1_disparity[3] = DFFEAS(B1L43, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B1_disparity[3] = DFFEAS(B1L44, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B1_disparity[0] is tmdsenc:hdmitmds[0].enc|disparity[0]
 --register power-up is low
 
-B1_disparity[0] = DFFEAS(B1L34, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B1_disparity[0] = DFFEAS(B1L35, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B1_disparity[1] is tmdsenc:hdmitmds[0].enc|disparity[1]
 --register power-up is low
 
-B1_disparity[1] = DFFEAS(B1L37, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B1_disparity[1] = DFFEAS(B1L38, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B1_disparity[2] is tmdsenc:hdmitmds[0].enc|disparity[2]
 --register power-up is low
 
-B1_disparity[2] = DFFEAS(B1L40, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B1_disparity[2] = DFFEAS(B1L41, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B2_qreg[4] is tmdsenc:hdmitmds[1].enc|qreg[4]
 --register power-up is low
 
-B2_qreg[4] = DFFEAS(B2L61, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
+B2_qreg[4] = DFFEAS(B2L60, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
 
 
 --B2_disparity[3] is tmdsenc:hdmitmds[1].enc|disparity[3]
 --register power-up is low
 
-B2_disparity[3] = DFFEAS(B2L42, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B2_disparity[3] = DFFEAS(B2L41, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B2_disparity[0] is tmdsenc:hdmitmds[1].enc|disparity[0]
 --register power-up is low
 
-B2_disparity[0] = DFFEAS(B2L33, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B2_disparity[0] = DFFEAS(B2L32, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B2_disparity[1] is tmdsenc:hdmitmds[1].enc|disparity[1]
 --register power-up is low
 
-B2_disparity[1] = DFFEAS(B2L36, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B2_disparity[1] = DFFEAS(B2L35, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B2_disparity[2] is tmdsenc:hdmitmds[1].enc|disparity[2]
 --register power-up is low
 
-B2_disparity[2] = DFFEAS(B2L39, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B2_disparity[2] = DFFEAS(B2L38, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B3_qreg[4] is tmdsenc:hdmitmds[2].enc|qreg[4]
@@ -835,7 +839,7 @@ B3_qreg[1] = DFFEAS(B3L61, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denre
 --B1_qreg[0] is tmdsenc:hdmitmds[0].enc|qreg[0]
 --register power-up is low
 
-B1_qreg[0] = DFFEAS(B1L62, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B1_qreg[0] = DFFEAS(B1L63, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B3L32 is tmdsenc:hdmitmds[2].enc|disparity[0]~5
@@ -843,32 +847,29 @@ B3L32 = CARRY(B3L26);
 
 
 --B3L33 is tmdsenc:hdmitmds[2].enc|disparity[0]~6
-B3L33 = (B3L25 & ((B3_disparity[0] & (B3L32 & VCC)) # (!B3_disparity[0] & (!B3L32)))) # (!B3L25 & ((B3_disparity[0] & (!B3L32)) # (!B3_disparity[0] & ((B3L32) # (GND)))));
+B3L33 = (B3L23 & ((B3_disparity[0] & (B3L32 & VCC)) # (!B3_disparity[0] & (!B3L32)))) # (!B3L23 & ((B3_disparity[0] & (!B3L32)) # (!B3_disparity[0] & ((B3L32) # (GND)))));
 
 --B3L34 is tmdsenc:hdmitmds[2].enc|disparity[0]~7
-B3L34 = CARRY((B3L25 & (!B3_disparity[0] & !B3L32)) # (!B3L25 & ((!B3L32) # (!B3_disparity[0]))));
+B3L34 = CARRY((B3L23 & (!B3_disparity[0] & !B3L32)) # (!B3L23 & ((!B3L32) # (!B3_disparity[0]))));
 
 
 --B3L36 is tmdsenc:hdmitmds[2].enc|disparity[1]~8
-B3L36 = ((B3L24 $ (B3_disparity[1] $ (!B3L34)))) # (GND);
+B3L36 = ((B3L22 $ (B3_disparity[1] $ (!B3L34)))) # (GND);
 
 --B3L37 is tmdsenc:hdmitmds[2].enc|disparity[1]~9
-B3L37 = CARRY((B3L24 & ((B3_disparity[1]) # (!B3L34))) # (!B3L24 & (B3_disparity[1] & !B3L34)));
+B3L37 = CARRY((B3L22 & ((B3_disparity[1]) # (!B3L34))) # (!B3L22 & (B3_disparity[1] & !B3L34)));
 
 
 --B3L39 is tmdsenc:hdmitmds[2].enc|disparity[2]~10
-B3L39 = (B3L22 & ((B3_disparity[2] & (B3L37 & VCC)) # (!B3_disparity[2] & (!B3L37)))) # (!B3L22 & ((B3_disparity[2] & (!B3L37)) # (!B3_disparity[2] & ((B3L37) # (GND)))));
+B3L39 = (B3L20 & ((B3_disparity[2] & (B3L37 & VCC)) # (!B3_disparity[2] & (!B3L37)))) # (!B3L20 & ((B3_disparity[2] & (!B3L37)) # (!B3_disparity[2] & ((B3L37) # (GND)))));
 
 --B3L40 is tmdsenc:hdmitmds[2].enc|disparity[2]~11
-B3L40 = CARRY((B3L22 & (!B3_disparity[2] & !B3L37)) # (!B3L22 & ((!B3L37) # (!B3_disparity[2]))));
+B3L40 = CARRY((B3L20 & (!B3_disparity[2] & !B3L37)) # (!B3L20 & ((!B3L37) # (!B3_disparity[2]))));
 
 
 --B3L42 is tmdsenc:hdmitmds[2].enc|disparity[3]~12
-B3L42 = B3L20 $ (B3_disparity[3] $ (!B3L40));
-
+B3L42 = B3L19 $ (B3_disparity[3] $ (!B3L40));
 
---L2_wire_counter_comb_bita_0combout[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|wire_counter_comb_bita_0combout[0]
-L2_wire_counter_comb_bita_0combout[0] = L2_counter_reg_bit[0] $ (((VCC) # (!J1_sync_dffe12a)));
 
 --L2_wire_counter_comb_bita_0cout[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|wire_counter_comb_bita_0cout[0]
 L2_wire_counter_comb_bita_0cout[0] = CARRY(L2_counter_reg_bit[0] $ (!J1_sync_dffe12a));
@@ -892,85 +893,82 @@ L2_wire_counter_comb_bita_2cout[0] = CARRY((!L2_wire_counter_comb_bita_1cout[0]
 L2L24 = L2_wire_counter_comb_bita_2cout[0];
 
 
---B1L33 is tmdsenc:hdmitmds[0].enc|disparity[0]~5
-B1L33 = CARRY(B1L26);
+--B1L34 is tmdsenc:hdmitmds[0].enc|disparity[0]~5
+B1L34 = CARRY(B1L27);
 
 
---B1L34 is tmdsenc:hdmitmds[0].enc|disparity[0]~6
-B1L34 = (B1L25 & ((B1_disparity[0] & (B1L33 & VCC)) # (!B1_disparity[0] & (!B1L33)))) # (!B1L25 & ((B1_disparity[0] & (!B1L33)) # (!B1_disparity[0] & ((B1L33) # (GND)))));
+--B1L35 is tmdsenc:hdmitmds[0].enc|disparity[0]~6
+B1L35 = (B1L24 & ((B1_disparity[0] & (B1L34 & VCC)) # (!B1_disparity[0] & (!B1L34)))) # (!B1L24 & ((B1_disparity[0] & (!B1L34)) # (!B1_disparity[0] & ((B1L34) # (GND)))));
 
---B1L35 is tmdsenc:hdmitmds[0].enc|disparity[0]~7
-B1L35 = CARRY((B1L25 & (!B1_disparity[0] & !B1L33)) # (!B1L25 & ((!B1L33) # (!B1_disparity[0]))));
+--B1L36 is tmdsenc:hdmitmds[0].enc|disparity[0]~7
+B1L36 = CARRY((B1L24 & (!B1_disparity[0] & !B1L34)) # (!B1L24 & ((!B1L34) # (!B1_disparity[0]))));
 
 
---B1L37 is tmdsenc:hdmitmds[0].enc|disparity[1]~8
-B1L37 = ((B1L24 $ (B1_disparity[1] $ (!B1L35)))) # (GND);
+--B1L38 is tmdsenc:hdmitmds[0].enc|disparity[1]~8
+B1L38 = ((B1L22 $ (B1_disparity[1] $ (!B1L36)))) # (GND);
 
---B1L38 is tmdsenc:hdmitmds[0].enc|disparity[1]~9
-B1L38 = CARRY((B1L24 & ((B1_disparity[1]) # (!B1L35))) # (!B1L24 & (B1_disparity[1] & !B1L35)));
+--B1L39 is tmdsenc:hdmitmds[0].enc|disparity[1]~9
+B1L39 = CARRY((B1L22 & ((B1_disparity[1]) # (!B1L36))) # (!B1L22 & (B1_disparity[1] & !B1L36)));
 
 
---B1L40 is tmdsenc:hdmitmds[0].enc|disparity[2]~10
-B1L40 = (B1L22 & ((B1_disparity[2] & (B1L38 & VCC)) # (!B1_disparity[2] & (!B1L38)))) # (!B1L22 & ((B1_disparity[2] & (!B1L38)) # (!B1_disparity[2] & ((B1L38) # (GND)))));
+--B1L41 is tmdsenc:hdmitmds[0].enc|disparity[2]~10
+B1L41 = (B1L20 & ((B1_disparity[2] & (B1L39 & VCC)) # (!B1_disparity[2] & (!B1L39)))) # (!B1L20 & ((B1_disparity[2] & (!B1L39)) # (!B1_disparity[2] & ((B1L39) # (GND)))));
 
---B1L41 is tmdsenc:hdmitmds[0].enc|disparity[2]~11
-B1L41 = CARRY((B1L22 & (!B1_disparity[2] & !B1L38)) # (!B1L22 & ((!B1L38) # (!B1_disparity[2]))));
+--B1L42 is tmdsenc:hdmitmds[0].enc|disparity[2]~11
+B1L42 = CARRY((B1L20 & (!B1_disparity[2] & !B1L39)) # (!B1L20 & ((!B1L39) # (!B1_disparity[2]))));
 
 
---B1L43 is tmdsenc:hdmitmds[0].enc|disparity[3]~12
-B1L43 = B1L20 $ (B1_disparity[3] $ (!B1L41));
+--B1L44 is tmdsenc:hdmitmds[0].enc|disparity[3]~12
+B1L44 = B1L19 $ (B1_disparity[3] $ (!B1L42));
 
 
---B2L32 is tmdsenc:hdmitmds[1].enc|disparity[0]~5
-B2L32 = CARRY(B2L26);
+--B2L31 is tmdsenc:hdmitmds[1].enc|disparity[0]~5
+B2L31 = CARRY(B2L20);
 
 
---B2L33 is tmdsenc:hdmitmds[1].enc|disparity[0]~6
-B2L33 = (B2L25 & ((B2_disparity[0] & (B2L32 & VCC)) # (!B2_disparity[0] & (!B2L32)))) # (!B2L25 & ((B2_disparity[0] & (!B2L32)) # (!B2_disparity[0] & ((B2L32) # (GND)))));
+--B2L32 is tmdsenc:hdmitmds[1].enc|disparity[0]~6
+B2L32 = (B2L25 & ((B2_disparity[0] & (B2L31 & VCC)) # (!B2_disparity[0] & (!B2L31)))) # (!B2L25 & ((B2_disparity[0] & (!B2L31)) # (!B2_disparity[0] & ((B2L31) # (GND)))));
 
---B2L34 is tmdsenc:hdmitmds[1].enc|disparity[0]~7
-B2L34 = CARRY((B2L25 & (!B2_disparity[0] & !B2L32)) # (!B2L25 & ((!B2L32) # (!B2_disparity[0]))));
+--B2L33 is tmdsenc:hdmitmds[1].enc|disparity[0]~7
+B2L33 = CARRY((B2L25 & (!B2_disparity[0] & !B2L31)) # (!B2L25 & ((!B2L31) # (!B2_disparity[0]))));
 
 
---B2L36 is tmdsenc:hdmitmds[1].enc|disparity[1]~8
-B2L36 = ((B2L24 $ (B2_disparity[1] $ (!B2L34)))) # (GND);
+--B2L35 is tmdsenc:hdmitmds[1].enc|disparity[1]~8
+B2L35 = ((B2L24 $ (B2_disparity[1] $ (!B2L33)))) # (GND);
 
---B2L37 is tmdsenc:hdmitmds[1].enc|disparity[1]~9
-B2L37 = CARRY((B2L24 & ((B2_disparity[1]) # (!B2L34))) # (!B2L24 & (B2_disparity[1] & !B2L34)));
+--B2L36 is tmdsenc:hdmitmds[1].enc|disparity[1]~9
+B2L36 = CARRY((B2L24 & ((B2_disparity[1]) # (!B2L33))) # (!B2L24 & (B2_disparity[1] & !B2L33)));
 
 
---B2L39 is tmdsenc:hdmitmds[1].enc|disparity[2]~10
-B2L39 = (B2L22 & ((B2_disparity[2] & (B2L37 & VCC)) # (!B2_disparity[2] & (!B2L37)))) # (!B2L22 & ((B2_disparity[2] & (!B2L37)) # (!B2_disparity[2] & ((B2L37) # (GND)))));
+--B2L38 is tmdsenc:hdmitmds[1].enc|disparity[2]~10
+B2L38 = (B2L22 & ((B2_disparity[2] & (B2L36 & VCC)) # (!B2_disparity[2] & (!B2L36)))) # (!B2L22 & ((B2_disparity[2] & (!B2L36)) # (!B2_disparity[2] & ((B2L36) # (GND)))));
 
---B2L40 is tmdsenc:hdmitmds[1].enc|disparity[2]~11
-B2L40 = CARRY((B2L22 & (!B2_disparity[2] & !B2L37)) # (!B2L22 & ((!B2L37) # (!B2_disparity[2]))));
+--B2L39 is tmdsenc:hdmitmds[1].enc|disparity[2]~11
+B2L39 = CARRY((B2L22 & (!B2_disparity[2] & !B2L36)) # (!B2L22 & ((!B2L36) # (!B2_disparity[2]))));
 
 
---B2L42 is tmdsenc:hdmitmds[1].enc|disparity[3]~12
-B2L42 = B2L20 $ (B2_disparity[3] $ (!B2L40));
+--B2L41 is tmdsenc:hdmitmds[1].enc|disparity[3]~12
+B2L41 = B2L19 $ (B2_disparity[3] $ (!B2L39));
 
 
 --B1_qreg[4] is tmdsenc:hdmitmds[0].enc|qreg[4]
 --register power-up is low
 
-B1_qreg[4] = DFFEAS(B1L63, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
+B1_qreg[4] = DFFEAS(B1L64, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
 
 
 --B1_qreg[1] is tmdsenc:hdmitmds[0].enc|qreg[1]
 --register power-up is low
 
-B1_qreg[1] = DFFEAS(B1L64, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B1_qreg[1] = DFFEAS(B1L65, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
 --B2_qreg[1] is tmdsenc:hdmitmds[1].enc|qreg[1]
 --register power-up is low
 
-B2_qreg[1] = DFFEAS(B2L63, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
+B2_qreg[1] = DFFEAS(B2L62, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  , !B1_denreg,  );
 
 
---L1_wire_counter_comb_bita_0combout[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|wire_counter_comb_bita_0combout[0]
-L1_wire_counter_comb_bita_0combout[0] = L1_counter_reg_bit[0] $ (((VCC) # (!J1_sync_dffe12a)));
-
 --L1_wire_counter_comb_bita_0cout[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|wire_counter_comb_bita_0cout[0]
 L1_wire_counter_comb_bita_0cout[0] = CARRY(L1_counter_reg_bit[0] $ (!J1_sync_dffe12a));
 
@@ -996,7 +994,7 @@ L1L24 = L1_wire_counter_comb_bita_2cout[0];
 --B2_qreg[2] is tmdsenc:hdmitmds[1].enc|qreg[2]
 --register power-up is low
 
-B2_qreg[2] = DFFEAS(B2L66, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
+B2_qreg[2] = DFFEAS(B2L65, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
 
 
 --B3_qreg[2] is tmdsenc:hdmitmds[2].enc|qreg[2]
@@ -1008,7 +1006,7 @@ B3_qreg[2] = DFFEAS(B3L66, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_
 --B2_qreg[6] is tmdsenc:hdmitmds[1].enc|qreg[6]
 --register power-up is low
 
-B2_qreg[6] = DFFEAS(B2L68, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
+B2_qreg[6] = DFFEAS(B2L67, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
 
 
 --B3_qreg[6] is tmdsenc:hdmitmds[2].enc|qreg[6]
@@ -1020,3508 +1018,3490 @@ B3_qreg[6] = DFFEAS(B3L67, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_
 --B1_qreg[2] is tmdsenc:hdmitmds[0].enc|qreg[2]
 --register power-up is low
 
-B1_qreg[2] = DFFEAS(B1L69, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
+B1_qreg[2] = DFFEAS(B1L70, T1_wire_pll1_clk[2], vid_rst_n,  ,  , VCC,  ,  , !B1_denreg);
 
 
---abc_clk is abc_clk
-abc_clk = INPUT();
+--A1L92 is abc_rdy_x~output
+A1L92 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_d_oe is abc_d_oe
-abc_d_oe = OUTPUT(A1L107);
+--A1L94 is abc_resin_x~output
+A1L94 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_rst_n is abc_rst_n
-abc_rst_n = INPUT();
+--A1L79 is abc_int80_x~output
+A1L79 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_cs_n is abc_cs_n
-abc_cs_n = INPUT();
+--A1L81 is abc_int800_x~output
+A1L81 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_out_n[0] is abc_out_n[0]
-abc_out_n[0] = INPUT();
+--A1L84 is abc_nmi_x~output
+A1L84 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_out_n[1] is abc_out_n[1]
-abc_out_n[1] = INPUT();
+--A1L102 is abc_xm_x~output
+A1L102 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_out_n[2] is abc_out_n[2]
-abc_out_n[2] = INPUT();
+--A1L194 is hdmi_sda~output
+A1L194 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_out_n[3] is abc_out_n[3]
-abc_out_n[3] = INPUT();
+--A1L48 is abc_d[0]~output
+A1L48 = OUTPUT_BUFFER.O(.I(abc_do[0]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---abc_out_n[4] is abc_out_n[4]
-abc_out_n[4] = INPUT();
+--A1L50 is abc_d[1]~output
+A1L50 = OUTPUT_BUFFER.O(.I(abc_do[1]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---abc_inp_n[0] is abc_inp_n[0]
-abc_inp_n[0] = INPUT();
+--A1L52 is abc_d[2]~output
+A1L52 = OUTPUT_BUFFER.O(.I(abc_do[2]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---abc_inp_n[1] is abc_inp_n[1]
-abc_inp_n[1] = INPUT();
+--A1L54 is abc_d[3]~output
+A1L54 = OUTPUT_BUFFER.O(.I(abc_do[3]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---abc_rdy_x is abc_rdy_x
-abc_rdy_x = OUTPUT(A1L92);
+--A1L56 is abc_d[4]~output
+A1L56 = OUTPUT_BUFFER.O(.I(abc_do[4]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---A1L92 is abc_rdy_x~output
-A1L92 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--A1L58 is abc_d[5]~output
+A1L58 = OUTPUT_BUFFER.O(.I(abc_do[5]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---abc_resin_x is abc_resin_x
-abc_resin_x = OUTPUT(A1L94);
+--A1L60 is abc_d[6]~output
+A1L60 = OUTPUT_BUFFER.O(.I(abc_do[6]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---A1L94 is abc_resin_x~output
-A1L94 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--A1L62 is abc_d[7]~output
+A1L62 = OUTPUT_BUFFER.O(.I(abc_do[7]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
 
---abc_int80_x is abc_int80_x
-abc_int80_x = OUTPUT(A1L79);
+--A1L154 is exth_ha~output
+A1L154 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---A1L79 is abc_int80_x~output
-A1L79 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--A1L156 is exth_hb~output
+A1L156 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_int800_x is abc_int800_x
-abc_int800_x = OUTPUT(A1L81);
+--A1L159 is exth_hd~output
+A1L159 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---A1L81 is abc_int800_x~output
-A1L81 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--A1L161 is exth_he~output
+A1L161 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_nmi_x is abc_nmi_x
-abc_nmi_x = OUTPUT(A1L84);
+--A1L163 is exth_hf~output
+A1L163 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---A1L84 is abc_nmi_x~output
-A1L84 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--A1L165 is exth_hg~output
+A1L165 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---abc_xm_x is abc_xm_x
-abc_xm_x = OUTPUT(A1L102);
+--A1L352 is sr_dq[0]~output
+A1L352 = OUTPUT_BUFFER.O(.I(F1_dram_d[0]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---A1L102 is abc_xm_x~output
-A1L102 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--A1L354 is sr_dq[1]~output
+A1L354 = OUTPUT_BUFFER.O(.I(F1_dram_d[1]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---abc_master is abc_master
-abc_master = OUTPUT(A1L394);
+--A1L356 is sr_dq[2]~output
+A1L356 = OUTPUT_BUFFER.O(.I(F1_dram_d[2]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---abc_a_oe is abc_a_oe
-abc_a_oe = OUTPUT(A1L394);
+--A1L358 is sr_dq[3]~output
+A1L358 = OUTPUT_BUFFER.O(.I(F1_dram_d[3]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---abc_d_ce_n is abc_d_ce_n
-abc_d_ce_n = OUTPUT(A1L394);
+--A1L360 is sr_dq[4]~output
+A1L360 = OUTPUT_BUFFER.O(.I(F1_dram_d[4]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---exth_hc is exth_hc
-exth_hc = INPUT();
+--A1L362 is sr_dq[5]~output
+A1L362 = OUTPUT_BUFFER.O(.I(F1_dram_d[5]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---exth_hh is exth_hh
-exth_hh = INPUT();
+--A1L364 is sr_dq[6]~output
+A1L364 = OUTPUT_BUFFER.O(.I(F1_dram_d[6]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_clk is sr_clk
-sr_clk = OUTPUT(DB1_dataout[0]);
+--A1L366 is sr_dq[7]~output
+A1L366 = OUTPUT_BUFFER.O(.I(F1_dram_d[7]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_cke is sr_cke
-sr_cke = OUTPUT(F1_dram_cke);
+--A1L368 is sr_dq[8]~output
+A1L368 = OUTPUT_BUFFER.O(.I(F1_dram_d[8]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_ba[0] is sr_ba[0]
-sr_ba[0] = OUTPUT(F1_dram_ba[0]);
+--A1L370 is sr_dq[9]~output
+A1L370 = OUTPUT_BUFFER.O(.I(F1_dram_d[9]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_ba[1] is sr_ba[1]
-sr_ba[1] = OUTPUT(F1_dram_ba[1]);
+--A1L372 is sr_dq[10]~output
+A1L372 = OUTPUT_BUFFER.O(.I(F1_dram_d[10]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_a[0] is sr_a[0]
-sr_a[0] = OUTPUT(F1_dram_a[0]);
+--A1L374 is sr_dq[11]~output
+A1L374 = OUTPUT_BUFFER.O(.I(F1_dram_d[11]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_a[1] is sr_a[1]
-sr_a[1] = OUTPUT(F1_dram_a[1]);
+--A1L376 is sr_dq[12]~output
+A1L376 = OUTPUT_BUFFER.O(.I(F1_dram_d[12]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_a[2] is sr_a[2]
-sr_a[2] = OUTPUT(F1_dram_a[2]);
+--A1L378 is sr_dq[13]~output
+A1L378 = OUTPUT_BUFFER.O(.I(F1_dram_d[13]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_a[3] is sr_a[3]
-sr_a[3] = OUTPUT(F1_dram_a[3]);
+--A1L380 is sr_dq[14]~output
+A1L380 = OUTPUT_BUFFER.O(.I(F1_dram_d[14]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_a[4] is sr_a[4]
-sr_a[4] = OUTPUT(F1_dram_a[4]);
+--A1L382 is sr_dq[15]~output
+A1L382 = OUTPUT_BUFFER.O(.I(F1_dram_d[15]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
 
---sr_a[5] is sr_a[5]
-sr_a[5] = OUTPUT(F1_dram_a[5]);
+--A1L312 is sd_dat[0]~output
+A1L312 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[6] is sr_a[6]
-sr_a[6] = OUTPUT(F1_dram_a[6]);
+--A1L314 is sd_dat[1]~output
+A1L314 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[7] is sr_a[7]
-sr_a[7] = OUTPUT(F1_dram_a[7]);
+--A1L316 is sd_dat[2]~output
+A1L316 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[8] is sr_a[8]
-sr_a[8] = OUTPUT(F1_dram_a[8]);
+--A1L318 is sd_dat[3]~output
+A1L318 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[9] is sr_a[9]
-sr_a[9] = OUTPUT(A1L394);
+--A1L320 is spi_clk~output
+A1L320 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[10] is sr_a[10]
-sr_a[10] = OUTPUT(F1_dram_a[10]);
+--A1L326 is spi_miso~output
+A1L326 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[11] is sr_a[11]
-sr_a[11] = OUTPUT(A1L394);
+--A1L328 is spi_mosi~output
+A1L328 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_a[12] is sr_a[12]
-sr_a[12] = OUTPUT(A1L394);
+--A1L322 is spi_cs_esp_n~output
+A1L322 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_dqm[0] is sr_dqm[0]
-sr_dqm[0] = OUTPUT(F1_dram_dqm[0]);
+--A1L324 is spi_cs_flash_n~output
+A1L324 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_dqm[1] is sr_dqm[1]
-sr_dqm[1] = OUTPUT(F1_dram_dqm[1]);
+--A1L152 is esp_io0~output
+A1L152 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_cs_n is sr_cs_n
-sr_cs_n = OUTPUT(F1L50);
+--A1L150 is esp_int~output
+A1L150 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_we_n is sr_we_n
-sr_we_n = OUTPUT(F1L44);
+--A1L196 is i2c_scl~output
+A1L196 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_cas_n is sr_cas_n
-sr_cas_n = OUTPUT(F1L46);
+--A1L198 is i2c_sda~output
+A1L198 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sr_ras_n is sr_ras_n
-sr_ras_n = OUTPUT(F1L48);
+--A1L173 is gpio[0]~output
+A1L173 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sd_clk is sd_clk
-sd_clk = OUTPUT(A1L395);
+--A1L175 is gpio[1]~output
+A1L175 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---sd_cmd is sd_cmd
-sd_cmd = OUTPUT(A1L395);
+--A1L177 is gpio[2]~output
+A1L177 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---tty_txd is tty_txd
-tty_txd = INPUT();
+--A1L179 is gpio[3]~output
+A1L179 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---tty_rxd is tty_rxd
-tty_rxd = OUTPUT(A1L395);
+--A1L181 is gpio[4]~output
+A1L181 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---tty_rts is tty_rts
-tty_rts = INPUT();
+--A1L183 is gpio[5]~output
+A1L183 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---tty_cts is tty_cts
-tty_cts = OUTPUT(A1L395);
+--A1L192 is hdmi_scl~output
+A1L192 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---tty_dtr is tty_dtr
-tty_dtr = INPUT();
+--A1L190 is hdmi_hpd~output
+A1L190 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
 
---flash_cs_n is flash_cs_n
-flash_cs_n = OUTPUT(A1L394);
+--F1_dram_cke is sdram:sdram|dram_cke
+--register power-up is low
 
+F1_dram_cke = DFFEAS(VCC, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---flash_clk is flash_clk
-flash_clk = OUTPUT(A1L394);
 
+--F1_dram_ba[0] is sdram:sdram|dram_ba[0]
+--register power-up is low
 
---flash_mosi is flash_mosi
-flash_mosi = OUTPUT(A1L394);
+F1_dram_ba[0] = DFFEAS(F1L39, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---flash_miso is flash_miso
-flash_miso = INPUT();
+--F1_dram_ba[1] is sdram:sdram|dram_ba[1]
+--register power-up is low
 
+F1_dram_ba[1] = DFFEAS(F1L38, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---rtc_32khz is rtc_32khz
-rtc_32khz = INPUT();
 
+--F1_dram_a[0] is sdram:sdram|dram_a[0]
+--register power-up is low
 
---rtc_int_n is rtc_int_n
-rtc_int_n = INPUT();
+F1_dram_a[0] = DFFEAS(F1L34, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---led[1] is led[1]
-led[1] = OUTPUT(led_ctr[26]);
+--F1_dram_a[1] is sdram:sdram|dram_a[1]
+--register power-up is low
 
+F1_dram_a[1] = DFFEAS(F1L33, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---led[2] is led[2]
-led[2] = OUTPUT(led_ctr[27]);
 
+--F1_dram_a[2] is sdram:sdram|dram_a[2]
+--register power-up is low
 
---led[3] is led[3]
-led[3] = OUTPUT(led_ctr[28]);
+F1_dram_a[2] = DFFEAS(F1L32, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---hdmi_d[0] is hdmi_d[0]
-hdmi_d[0] = OUTPUT(M1_wire_ddio_outa_dataout[0]);
+--F1_dram_a[3] is sdram:sdram|dram_a[3]
+--register power-up is low
 
+F1_dram_a[3] = DFFEAS(F1L31, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---hdmi_d[1] is hdmi_d[1]
-hdmi_d[1] = OUTPUT(M1_wire_ddio_outa_dataout[1]);
 
+--F1_dram_a[4] is sdram:sdram|dram_a[4]
+--register power-up is low
 
---hdmi_d[2] is hdmi_d[2]
-hdmi_d[2] = OUTPUT(M1_wire_ddio_outa_dataout[2]);
+F1_dram_a[4] = DFFEAS(F1L30, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---hdmi_clk is hdmi_clk
-hdmi_clk = OUTPUT(P1_wire_ddio_outa_dataout[0]);
+--F1_dram_a[5] is sdram:sdram|dram_a[5]
+--register power-up is low
 
+F1_dram_a[5] = DFFEAS(F1L29, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---hdmi_sda is hdmi_sda
-hdmi_sda = BIDIR(A1L194);
 
+--F1_dram_a[6] is sdram:sdram|dram_a[6]
+--register power-up is low
 
---A1L194 is hdmi_sda~output
-A1L194 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+F1_dram_a[6] = DFFEAS(F1L28, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---abc_d[0] is abc_d[0]
-abc_d[0] = BIDIR(A1L48);
+--F1_dram_a[7] is sdram:sdram|dram_a[7]
+--register power-up is low
 
+F1_dram_a[7] = DFFEAS(F1L27, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---A1L48 is abc_d[0]~output
-A1L48 = OUTPUT_BUFFER.O(.I(abc_do[0]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
+--F1_dram_a[8] is sdram:sdram|dram_a[8]
+--register power-up is low
 
---abc_d[1] is abc_d[1]
-abc_d[1] = BIDIR(A1L50);
+F1_dram_a[8] = DFFEAS(F1L26, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---A1L50 is abc_d[1]~output
-A1L50 = OUTPUT_BUFFER.O(.I(abc_do[1]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
+--F1_dram_a[10] is sdram:sdram|dram_a[10]
+--register power-up is low
 
+F1_dram_a[10] = DFFEAS(F1L25, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---abc_d[2] is abc_d[2]
-abc_d[2] = BIDIR(A1L52);
 
+--F1_dram_cmd[3] is sdram:sdram|dram_cmd[3]
+--register power-up is low
 
---A1L52 is abc_d[2]~output
-A1L52 = OUTPUT_BUFFER.O(.I(abc_do[2]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
+F1_dram_cmd[3] = DFFEAS(F1_dram_cmd[3]_OTERM9, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---abc_d[3] is abc_d[3]
-abc_d[3] = BIDIR(A1L54);
+--F1_dram_cmd[0] is sdram:sdram|dram_cmd[0]
+--register power-up is low
 
+F1_dram_cmd[0] = DFFEAS(F1L24, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---A1L54 is abc_d[3]~output
-A1L54 = OUTPUT_BUFFER.O(.I(abc_do[3]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
+--F1_dram_cmd[1] is sdram:sdram|dram_cmd[1]
+--register power-up is low
 
---abc_d[4] is abc_d[4]
-abc_d[4] = BIDIR(A1L56);
+F1_dram_cmd[1] = DFFEAS(F1L20, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---A1L56 is abc_d[4]~output
-A1L56 = OUTPUT_BUFFER.O(.I(abc_do[4]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
+--F1_dram_cmd[2] is sdram:sdram|dram_cmd[2]
+--register power-up is low
 
+F1_dram_cmd[2] = DFFEAS(F1_dram_cmd[2]_OTERM7, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---abc_d[5] is abc_d[5]
-abc_d[5] = BIDIR(A1L58);
 
+--rst_n is rst_n
+--register power-up is low
 
---A1L58 is abc_d[5]~output
-A1L58 = OUTPUT_BUFFER.O(.I(abc_do[5]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
+rst_n = DFFEAS(A1L305, T1_wire_pll1_clk[1], !A1L25,  ,  ,  ,  ,  ,  );
 
 
---abc_d[6] is abc_d[6]
-abc_d[6] = BIDIR(A1L60);
+--abc_rrq is abc_rrq
+--register power-up is low
 
+abc_rrq = DFFEAS(A1L96, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---A1L60 is abc_d[6]~output
-A1L60 = OUTPUT_BUFFER.O(.I(abc_do[6]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
 
+--abc_wrq is abc_wrq
+--register power-up is low
 
---abc_d[7] is abc_d[7]
-abc_d[7] = BIDIR(A1L62);
+abc_wrq = DFFEAS(A1L99, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---A1L62 is abc_d[7]~output
-A1L62 = OUTPUT_BUFFER.O(.I(abc_do[7]), .OE(!abc_xmemfl_n), , , , , , , , , , , , , , , , );
+--F1L52 is sdram:sdram|always1~2
+F1L52 = (abc_rrq) # (abc_wrq);
 
 
---exth_ha is exth_ha
-exth_ha = BIDIR(A1L154);
+--F1_state.st_idle is sdram:sdram|state.st_idle
+--register power-up is low
 
+F1_state.st_idle = DFFEAS(F1L206, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---A1L154 is exth_ha~output
-A1L154 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--F1_state.st_p0_rd is sdram:sdram|state.st_p0_rd
+--register power-up is low
 
---exth_hb is exth_hb
-exth_hb = BIDIR(A1L156);
+F1_state.st_p0_rd = DFFEAS(F1L209, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---A1L156 is exth_hb~output
-A1L156 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--F1_state.st_p0_wr is sdram:sdram|state.st_p0_wr
+--register power-up is low
 
+F1_state.st_p0_wr = DFFEAS(F1L210, T1_wire_pll1_clk[0], rst_n,  , F1L211,  ,  ,  ,  );
 
---exth_hd is exth_hd
-exth_hd = BIDIR(A1L159);
 
+--F1L200 is sdram:sdram|state.st_reset~0
+F1L200 = (!F1_state.st_p0_rd & !F1_state.st_p0_wr);
 
---A1L159 is exth_hd~output
-A1L159 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--F1L39 is sdram:sdram|Selector38~0
+F1L39 = (abc_a[10] & (((F1L52 & F1_state.st_idle)) # (!F1L200)));
 
---exth_he is exth_he
-exth_he = BIDIR(A1L161);
 
+--F1L38 is sdram:sdram|Selector37~0
+F1L38 = (abc_a[11] & (((F1L52 & F1_state.st_idle)) # (!F1L200)));
 
---A1L161 is exth_he~output
-A1L161 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--F1L34 is sdram:sdram|Selector27~4
+F1L34 = (abc_a[12] & ((F1L35) # ((abc_a[1] & !F1L200)))) # (!abc_a[12] & (((abc_a[1] & !F1L200))));
 
---exth_hf is exth_hf
-exth_hf = BIDIR(A1L163);
 
+--F1L33 is sdram:sdram|Selector26~0
+F1L33 = (F1L35 & ((abc_a[13]) # ((abc_a[2] & !F1L200)))) # (!F1L35 & (((abc_a[2] & !F1L200))));
 
---A1L163 is exth_hf~output
-A1L163 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--F1L32 is sdram:sdram|Selector25~0
+F1L32 = (F1L35 & ((abc_a[14]) # ((abc_a[3] & !F1L200)))) # (!F1L35 & (((abc_a[3] & !F1L200))));
 
---exth_hg is exth_hg
-exth_hg = BIDIR(A1L165);
 
+--F1L31 is sdram:sdram|Selector24~0
+F1L31 = (F1L35 & ((abc_a[15]) # ((abc_a[4] & !F1L200)))) # (!F1L35 & (((abc_a[4] & !F1L200))));
 
---A1L165 is exth_hg~output
-A1L165 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--F1L30 is sdram:sdram|Selector23~0
+F1L30 = (abc_a[5] & ((F1_state.st_p0_rd) # (F1_state.st_p0_wr)));
 
---sr_dq[0] is sr_dq[0]
-sr_dq[0] = BIDIR(A1L352);
 
+--F1L29 is sdram:sdram|Selector22~0
+F1L29 = (abc_a[6] & ((F1_state.st_p0_rd) # (F1_state.st_p0_wr)));
 
---A1L352 is sr_dq[0]~output
-A1L352 = OUTPUT_BUFFER.O(.I(F1_dram_d[0]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L28 is sdram:sdram|Selector21~0
+F1L28 = (abc_a[7] & ((F1_state.st_p0_rd) # (F1_state.st_p0_wr)));
 
---sr_dq[1] is sr_dq[1]
-sr_dq[1] = BIDIR(A1L354);
 
+--F1L27 is sdram:sdram|Selector20~0
+F1L27 = (abc_a[8] & ((F1_state.st_p0_rd) # (F1_state.st_p0_wr)));
 
---A1L354 is sr_dq[1]~output
-A1L354 = OUTPUT_BUFFER.O(.I(F1_dram_d[1]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L26 is sdram:sdram|Selector19~0
+F1L26 = (abc_a[9] & ((F1_state.st_p0_rd) # (F1_state.st_p0_wr)));
 
---sr_dq[2] is sr_dq[2]
-sr_dq[2] = BIDIR(A1L356);
 
+--F1_state.st_reset is sdram:sdram|state.st_reset
+--register power-up is low
 
---A1L356 is sr_dq[2]~output
-A1L356 = OUTPUT_BUFFER.O(.I(F1_dram_d[2]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+F1_state.st_reset = DFFEAS(F1L212, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---sr_dq[3] is sr_dq[3]
-sr_dq[3] = BIDIR(A1L358);
+--F1L25 is sdram:sdram|Selector18~0
+F1L25 = (F1_init_ctr[15] & !F1_state.st_reset);
 
 
---A1L358 is sr_dq[3]~output
-A1L358 = OUTPUT_BUFFER.O(.I(F1_dram_d[3]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+--F1_op_cycle[3] is sdram:sdram|op_cycle[3]
+--register power-up is low
 
+F1_op_cycle[3] = DFFEAS(F1L153, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---sr_dq[4] is sr_dq[4]
-sr_dq[4] = BIDIR(A1L360);
 
+--F1_op_cycle[1] is sdram:sdram|op_cycle[1]
+--register power-up is low
 
---A1L360 is sr_dq[4]~output
-A1L360 = OUTPUT_BUFFER.O(.I(F1_dram_d[4]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+F1_op_cycle[1] = DFFEAS(F1L150, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---sr_dq[5] is sr_dq[5]
-sr_dq[5] = BIDIR(A1L362);
+--F1_op_cycle[2] is sdram:sdram|op_cycle[2]
+--register power-up is low
 
+F1_op_cycle[2] = DFFEAS(F1L151, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---A1L362 is sr_dq[5]~output
-A1L362 = OUTPUT_BUFFER.O(.I(F1_dram_d[5]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1_op_cycle[0] is sdram:sdram|op_cycle[0]
+--register power-up is low
 
---sr_dq[6] is sr_dq[6]
-sr_dq[6] = BIDIR(A1L364);
+F1_op_cycle[0] = DFFEAS(F1L152, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---A1L364 is sr_dq[6]~output
-A1L364 = OUTPUT_BUFFER.O(.I(F1_dram_d[6]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+--F1L5 is sdram:sdram|Equal2~0
+F1L5 = (F1L153 & (F1L150 & (!F1L151 & !F1L152)));
 
 
---sr_dq[7] is sr_dq[7]
-sr_dq[7] = BIDIR(A1L366);
+--F1L41 is sdram:sdram|Selector40~0
+F1L41 = (abc_a[0]) # ((!F1L7Q) # (!F1_state.st_p0_wr));
 
 
---A1L366 is sr_dq[7]~output
-A1L366 = OUTPUT_BUFFER.O(.I(F1_dram_d[7]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+--F1L40 is sdram:sdram|Selector39~0
+F1L40 = ((!abc_a[0]) # (!F1L7Q)) # (!F1_state.st_p0_wr);
 
 
---sr_dq[8] is sr_dq[8]
-sr_dq[8] = BIDIR(A1L368);
+--F1L21 is sdram:sdram|Selector17~0
+F1L21 = (F1_state.st_idle) # ((!F1_state.st_reset & !F1_init_ctr[15]));
 
 
---A1L368 is sr_dq[8]~output
-A1L368 = OUTPUT_BUFFER.O(.I(F1_dram_d[8]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+--F1L12 is sdram:sdram|Selector14~2
+F1L12 = (F1_state.st_idle & ((F1L52) # ((F1_rfsh_ctr[8]) # (F1_rfsh_ctr[9]))));
 
 
---sr_dq[9] is sr_dq[9]
-sr_dq[9] = BIDIR(A1L370);
+--F1L1 is sdram:sdram|Add2~0
+F1L1 = (F1L151 & (F1L150 & F1L152));
 
 
---A1L370 is sr_dq[9]~output
-A1L370 = OUTPUT_BUFFER.O(.I(F1_dram_d[9]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
+--F1L81 is sdram:sdram|dram_cmd[3]~0
+F1L81 = (!F1L200 & (!F1L7Q & ((F1_op_cycle[3]) # (!F1L3Q))));
 
 
---sr_dq[10] is sr_dq[10]
-sr_dq[10] = BIDIR(A1L372);
+--F1_state.st_init is sdram:sdram|state.st_init
+--register power-up is low
 
+F1_state.st_init = DFFEAS(F1L215, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---A1L372 is sr_dq[10]~output
-A1L372 = OUTPUT_BUFFER.O(.I(F1_dram_d[10]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L53 is sdram:sdram|always1~3
+F1L53 = (F1_op_cycle[3] & (!F1_op_cycle[1] & (!F1_op_cycle[0] & F1_op_cycle[2]))) # (!F1_op_cycle[3] & (F1_op_cycle[1] & (F1_op_cycle[0] & !F1_op_cycle[2])));
 
---sr_dq[11] is sr_dq[11]
-sr_dq[11] = BIDIR(A1L374);
 
+--F1L82 is sdram:sdram|dram_cmd[3]~1
+F1L82 = (!F1L81 & (!F1_state.st_rfsh & ((F1L53) # (!F1_state.st_init))));
 
---A1L374 is sr_dq[11]~output
-A1L374 = OUTPUT_BUFFER.O(.I(F1_dram_d[11]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L22 is sdram:sdram|Selector17~1
+F1L22 = (F1L21) # ((F1_state.st_init & ((F1L53) # (!F1_dram_cmd[0]))));
 
---sr_dq[12] is sr_dq[12]
-sr_dq[12] = BIDIR(A1L376);
 
+--F1L83 is sdram:sdram|dram_cmd[3]~2
+F1L83 = (F1_op_cycle[3] & ((F1_state.st_p0_rd) # ((F1_state.st_p0_wr)))) # (!F1_op_cycle[3] & (!F1L3Q & ((F1_state.st_p0_rd) # (F1_state.st_p0_wr))));
 
---A1L376 is sr_dq[12]~output
-A1L376 = OUTPUT_BUFFER.O(.I(F1_dram_d[12]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L23 is sdram:sdram|Selector17~2
+F1L23 = (F1L7Q & (((F1_state.st_p0_rd)))) # (!F1L7Q & (F1L83 & ((!F1_dram_cmd[0]))));
 
---sr_dq[13] is sr_dq[13]
-sr_dq[13] = BIDIR(A1L378);
 
+--F1L24 is sdram:sdram|Selector17~3
+F1L24 = (!F1L22 & (!F1L23 & ((F1_dram_cmd[0]) # (!F1_state.st_rfsh))));
 
---A1L378 is sr_dq[13]~output
-A1L378 = OUTPUT_BUFFER.O(.I(F1_dram_d[13]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L17 is sdram:sdram|Selector16~0
+F1L17 = (!F1_dram_cmd[1] & ((F1_state.st_rfsh) # ((F1_state.st_init & !F1L53))));
 
---sr_dq[14] is sr_dq[14]
-sr_dq[14] = BIDIR(A1L380);
 
+--F1L50 is sdram:sdram|WideOr5~0
+F1L50 = (abc_rrq) # ((abc_wrq) # ((!F1_rfsh_ctr[8] & !F1_rfsh_ctr[9])));
 
---A1L380 is sr_dq[14]~output
-A1L380 = OUTPUT_BUFFER.O(.I(F1_dram_d[14]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L18 is sdram:sdram|Selector16~1
+F1L18 = ((F1_state.st_idle & F1L50)) # (!F1_state.st_reset);
 
---sr_dq[15] is sr_dq[15]
-sr_dq[15] = BIDIR(A1L382);
 
+--F1L19 is sdram:sdram|Selector16~2
+F1L19 = (F1L3Q & (((!F1_dram_cmd[1] & !F1L7Q)) # (!F1_op_cycle[3]))) # (!F1L3Q & (!F1_dram_cmd[1] & (!F1L7Q)));
 
---A1L382 is sr_dq[15]~output
-A1L382 = OUTPUT_BUFFER.O(.I(F1_dram_d[15]), .OE(!F1_dram_d_en), , , , , , , , , , , , , , , , );
 
+--F1L20 is sdram:sdram|Selector16~3
+F1L20 = (!F1L17 & (!F1L18 & ((F1L200) # (!F1L19))));
 
---sd_dat[0] is sd_dat[0]
-sd_dat[0] = BIDIR(A1L312);
 
+--F1L15 is sdram:sdram|Selector15~0
+F1L15 = (F1_state.st_reset & !F1_state.st_init);
 
---A1L312 is sd_dat[0]~output
-A1L312 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--F1L16 is sdram:sdram|Selector15~1
+F1L16 = (F1L12) # ((!F1L21 & ((!F1L15) # (!F1L83))));
 
---sd_dat[1] is sd_dat[1]
-sd_dat[1] = BIDIR(A1L314);
 
+--led_ctr[0] is led_ctr[0]
+--register power-up is low
 
---A1L314 is sd_dat[1]~output
-A1L314 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+led_ctr[0] = DFFEAS(A1L205, T1_wire_pll1_clk[1], rst_n,  ,  ,  ,  ,  ,  );
 
 
---sd_dat[2] is sd_dat[2]
-sd_dat[2] = BIDIR(A1L316);
+--Q2_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0]
+--register power-up is low
 
+Q2_shift_reg[0] = DFFEAS(Q2L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---A1L316 is sd_dat[2]~output
-A1L316 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--Q1_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0]
+--register power-up is low
 
---sd_dat[3] is sd_dat[3]
-sd_dat[3] = BIDIR(A1L318);
+Q1_shift_reg[0] = DFFEAS(Q1L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---A1L318 is sd_dat[3]~output
-A1L318 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--Q4_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0]
+--register power-up is low
 
+Q4_shift_reg[0] = DFFEAS(Q4L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---spi_clk is spi_clk
-spi_clk = BIDIR(A1L320);
 
+--Q3_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0]
+--register power-up is low
 
---A1L320 is spi_clk~output
-A1L320 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+Q3_shift_reg[0] = DFFEAS(Q3L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---spi_miso is spi_miso
-spi_miso = BIDIR(A1L326);
+--Q6_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0]
+--register power-up is low
 
+Q6_shift_reg[0] = DFFEAS(Q6L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---A1L326 is spi_miso~output
-A1L326 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--Q5_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0]
+--register power-up is low
 
---spi_mosi is spi_mosi
-spi_mosi = BIDIR(A1L328);
+Q5_shift_reg[0] = DFFEAS(Q5L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---A1L328 is spi_mosi~output
-A1L328 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--N2_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0]
+--register power-up is low
 
+N2_shift_reg[0] = DFFEAS(N2L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---spi_cs_esp_n is spi_cs_esp_n
-spi_cs_esp_n = BIDIR(A1L322);
 
+--N1_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0]
+--register power-up is low
 
---A1L322 is spi_cs_esp_n~output
-A1L322 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+N1_shift_reg[0] = DFFEAS(N1L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---spi_cs_flash_n is spi_cs_flash_n
-spi_cs_flash_n = BIDIR(A1L324);
+--rst_ctr[11] is rst_ctr[11]
+--register power-up is low
 
+rst_ctr[11] = DFFEAS(A1L21, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
---A1L324 is spi_cs_flash_n~output
-A1L324 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--rst_ctr[10] is rst_ctr[10]
+--register power-up is low
 
---esp_io0 is esp_io0
-esp_io0 = BIDIR(A1L152);
+rst_ctr[10] = DFFEAS(A1L19, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
 
---A1L152 is esp_io0~output
-A1L152 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--rst_ctr[9] is rst_ctr[9]
+--register power-up is low
 
+rst_ctr[9] = DFFEAS(A1L17, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
---esp_int is esp_int
-esp_int = BIDIR(A1L150);
 
+--rst_ctr[8] is rst_ctr[8]
+--register power-up is low
 
---A1L150 is esp_int~output
-A1L150 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+rst_ctr[8] = DFFEAS(A1L15, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
 
---i2c_scl is i2c_scl
-i2c_scl = BIDIR(A1L196);
+--rst_ctr[7] is rst_ctr[7]
+--register power-up is low
 
+rst_ctr[7] = DFFEAS(A1L13, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
---A1L196 is i2c_scl~output
-A1L196 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--rst_ctr[6] is rst_ctr[6]
+--register power-up is low
 
---i2c_sda is i2c_sda
-i2c_sda = BIDIR(A1L198);
+rst_ctr[6] = DFFEAS(A1L11, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
 
---A1L198 is i2c_sda~output
-A1L198 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--rst_ctr[5] is rst_ctr[5]
+--register power-up is low
 
+rst_ctr[5] = DFFEAS(A1L9, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
---gpio[0] is gpio[0]
-gpio[0] = BIDIR(A1L173);
 
+--rst_ctr[4] is rst_ctr[4]
+--register power-up is low
 
---A1L173 is gpio[0]~output
-A1L173 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+rst_ctr[4] = DFFEAS(A1L7, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
 
---gpio[1] is gpio[1]
-gpio[1] = BIDIR(A1L175);
+--rst_ctr[3] is rst_ctr[3]
+--register power-up is low
 
+rst_ctr[3] = DFFEAS(A1L5, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
---A1L175 is gpio[1]~output
-A1L175 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--rst_ctr[2] is rst_ctr[2]
+--register power-up is low
 
---gpio[2] is gpio[2]
-gpio[2] = BIDIR(A1L177);
+rst_ctr[2] = DFFEAS(A1L3, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
 
---A1L177 is gpio[2]~output
-A1L177 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--rst_ctr[0] is rst_ctr[0]
+--register power-up is low
 
+rst_ctr[0] = DFFEAS(A1L292, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
---gpio[3] is gpio[3]
-gpio[3] = BIDIR(A1L179);
 
+--rst_ctr[1] is rst_ctr[1]
+--register power-up is low
 
---A1L179 is gpio[3]~output
-A1L179 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+rst_ctr[1] = DFFEAS(A1L1, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
 
---gpio[4] is gpio[4]
-gpio[4] = BIDIR(A1L181);
+--A1L305 is rst_n~0
+A1L305 = (rst_n) # (A1L23);
 
 
---A1L181 is gpio[4]~output
-A1L181 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--J1_pll_lock_sync is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|pll_lock_sync
+--register power-up is low
 
+J1_pll_lock_sync = DFFEAS(VCC, J1_wire_lvds_tx_pll_locked, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---gpio[5] is gpio[5]
-gpio[5] = BIDIR(A1L183);
 
+--A1L25 is WideAnd0~0
+A1L25 = ((!J1_pll_lock_sync) # (!J1_wire_lvds_tx_pll_locked)) # (!T1_wire_pll1_locked);
 
---A1L183 is gpio[5]~output
-A1L183 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
 
+--abc_xmemrd_q is abc_xmemrd_q
+--register power-up is low
 
---hdmi_scl is hdmi_scl
-hdmi_scl = BIDIR(A1L192);
+abc_xmemrd_q = DFFEAS(A1L109, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---A1L192 is hdmi_scl~output
-A1L192 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+--abc_xmem_done is abc_xmem_done
+--register power-up is low
 
+abc_xmem_done = DFFEAS(A1L105, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---hdmi_hpd is hdmi_hpd
-hdmi_hpd = BIDIR(A1L190);
 
+--F1_rack0_q is sdram:sdram|rack0_q
+--register power-up is low
 
---A1L190 is hdmi_hpd~output
-A1L190 = OUTPUT_BUFFER.O(.I(VCC), , , , , , , , , , , , , , , , , );
+F1_rack0_q = DFFEAS(F1L155, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---abc_xmemfl_n is abc_xmemfl_n
-abc_xmemfl_n = INPUT();
+--A1L96 is abc_rrq~0
+A1L96 = (abc_xmemrd_q & (!abc_xmem_done & !F1_rack0_q));
 
 
---F1_dram_cke is sdram:sdram|dram_cke
+--abc_xmemwr_q is abc_xmemwr_q
 --register power-up is low
 
-F1_dram_cke = DFFEAS(VCC, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
-
-
---F1_dram_ba[0] is sdram:sdram|dram_ba[0]
---register power-up is low
+abc_xmemwr_q = DFFEAS(A1L113, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
-F1_dram_ba[0] = DFFEAS(F1L39, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--A1L99 is abc_wrq~0
+A1L99 = (abc_xmemwr_q & (!abc_xmem_done & !F1_wack0_q));
 
---F1_dram_ba[1] is sdram:sdram|dram_ba[1]
---register power-up is low
 
-F1_dram_ba[1] = DFFEAS(F1L40, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L201 is sdram:sdram|state.st_reset~1
+F1L201 = (F1_state.st_reset & (!F1_state.st_p0_rd & (!F1_state.st_p0_wr & !F1_state.st_rfsh)));
 
 
---F1_dram_a[2] is sdram:sdram|dram_a[2]
---register power-up is low
+--F1L202 is sdram:sdram|state.st_reset~2
+F1L202 = (F1_state.st_reset & ((F1_state.st_p0_rd & (!F1_state.st_p0_wr & !F1_state.st_rfsh)) # (!F1_state.st_p0_rd & (F1_state.st_p0_wr $ (F1_state.st_rfsh))))) # (!F1_state.st_reset & (!F1_state.st_p0_rd & (!F1_state.st_p0_wr & !F1_state.st_rfsh)));
 
-F1_dram_a[2] = DFFEAS(F1L26, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L203 is sdram:sdram|state.st_reset~3
+F1L203 = (F1_state.st_idle & (F1L201 & ((!F1_state.st_init)))) # (!F1_state.st_idle & ((F1_state.st_init & (F1L201)) # (!F1_state.st_init & ((F1L202)))));
 
---F1_dram_a[3] is sdram:sdram|dram_a[3]
---register power-up is low
 
-F1_dram_a[3] = DFFEAS(F1L28, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L13 is sdram:sdram|Selector14~3
+F1L13 = (F1_state.st_idle & (!F1L52 & (!F1_rfsh_ctr[8] & !F1_rfsh_ctr[9])));
 
 
---F1_dram_a[4] is sdram:sdram|dram_a[4]
---register power-up is low
+--F1L9 is sdram:sdram|LessThan1~0
+F1L9 = (F1L153 & ((F1L151) # ((F1L150) # (F1L152))));
 
-F1_dram_a[4] = DFFEAS(F1L29, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L36 is sdram:sdram|Selector35~0
+F1L36 = (F1L11Q & ((F1_state.st_p0_rd) # ((F1_state.st_p0_wr) # (F1_state.st_rfsh))));
 
---F1_dram_a[5] is sdram:sdram|dram_a[5]
---register power-up is low
 
-F1_dram_a[5] = DFFEAS(F1L30, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L205 is sdram:sdram|state~22
+F1L205 = (!F1_state.st_idle & ((F1_state.st_reset) # (!F1_init_ctr[15])));
 
 
---F1_dram_a[6] is sdram:sdram|dram_a[6]
---register power-up is low
+--F1L206 is sdram:sdram|state~23
+F1L206 = (F1L203 & ((F1L13) # ((F1L36 & F1L205))));
 
-F1_dram_a[6] = DFFEAS(F1L32, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L207 is sdram:sdram|state~24
+F1L207 = (F1_state.st_p0_rd & ((F1_state.st_init) # (!F1L11Q)));
 
---F1_dram_a[7] is sdram:sdram|dram_a[7]
---register power-up is low
 
-F1_dram_a[7] = DFFEAS(F1L33, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L208 is sdram:sdram|state~25
+F1L208 = (abc_rrq & (F1_state.st_idle & !abc_wrq));
 
 
---F1_dram_a[8] is sdram:sdram|dram_a[8]
---register power-up is low
+--F1L209 is sdram:sdram|state~26
+F1L209 = (F1L203 & ((F1L207) # (F1L208)));
 
-F1_dram_a[8] = DFFEAS(F1L34, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L210 is sdram:sdram|state~27
+F1L210 = (abc_wrq & (F1_state.st_idle & (F1L201 & !F1_state.st_init)));
 
---F1_dram_a[10] is sdram:sdram|dram_a[10]
---register power-up is low
 
-F1_dram_a[10] = DFFEAS(F1L31, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L211 is sdram:sdram|state~28
+F1L211 = (F1L36) # ((!F1L205) # (!F1L203));
 
 
---F1_dram_dqm[0] is sdram:sdram|dram_dqm[0]
+--F1_init_ctr[10] is sdram:sdram|init_ctr[10]
 --register power-up is low
 
-F1_dram_dqm[0] = DFFEAS(F1L81, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+F1_init_ctr[10] = DFFEAS(F1L127, T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
---F1_dram_dqm[1] is sdram:sdram|dram_dqm[1]
+--F1_init_ctr[9] is sdram:sdram|init_ctr[9]
 --register power-up is low
 
-F1_dram_dqm[1] = DFFEAS(F1L82, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+F1_init_ctr[9] = DFFEAS(F1_rfsh_ctr[9], T1_wire_pll1_clk[0], rst_n,  , F1L51,  ,  ,  ,  );
 
 
---F1_dram_cmd[3] is sdram:sdram|dram_cmd[3]
---register power-up is low
+--F1L51 is sdram:sdram|always0~0
+F1L51 = F1_rfsh_ctr[9] $ (F1_init_ctr[9]);
 
-F1_dram_cmd[3] = DFFEAS(F1L51, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L212 is sdram:sdram|state~29
+F1L212 = (F1L203 & ((F1_state.st_reset) # ((F1L36) # (!F1L205))));
 
---F1_dram_cmd[0] is sdram:sdram|dram_cmd[0]
---register power-up is low
 
-F1_dram_cmd[0] = DFFEAS(F1L53, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L149 is sdram:sdram|op_cycle~2
+F1L149 = (F1_state.st_reset & !F1_state.st_idle);
 
 
---F1_dram_cmd[1] is sdram:sdram|dram_cmd[1]
---register power-up is low
+--F1L150 is sdram:sdram|op_cycle~3
+F1L150 = (F1_state.st_reset & (!F1_state.st_idle & (F1_op_cycle[1] $ (F1_op_cycle[0]))));
 
-F1_dram_cmd[1] = DFFEAS(F1L56, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L151 is sdram:sdram|op_cycle~4
+F1L151 = (F1L149 & (F1_op_cycle[2] $ (((F1_op_cycle[1] & F1_op_cycle[0])))));
 
---F1_dram_cmd[2] is sdram:sdram|dram_cmd[2]
---register power-up is low
 
-F1_dram_cmd[2] = DFFEAS(F1L57, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L152 is sdram:sdram|op_cycle~5
+F1L152 = (F1_state.st_idle) # ((!F1_op_cycle[0]) # (!F1_state.st_reset));
 
 
---rst_n is rst_n
+--F1_is_rfsh is sdram:sdram|is_rfsh
 --register power-up is low
 
-rst_n = DFFEAS(A1L305, T1_wire_pll1_clk[1], !A1L25,  ,  ,  ,  ,  ,  );
+F1_is_rfsh = DFFEAS(F1L37, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---abc_a[10] is abc_a[10]
-abc_a[10] = INPUT();
+--F1L213 is sdram:sdram|state~30
+F1L213 = (F1L203 & !F1L50);
 
 
---F1_state.st_p0_wr_cmd is sdram:sdram|state.st_p0_wr_cmd
---register power-up is low
+--F1L214 is sdram:sdram|state~31
+F1L214 = (!F1_state.st_idle & ((F1L25) # ((F1_state.st_init & !F1L36))));
 
-F1_state.st_p0_wr_cmd = DFFEAS(F1L205, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L215 is sdram:sdram|state~32
+F1L215 = (F1L203 & F1L214);
 
---F1_state.st_idle is sdram:sdram|state.st_idle
+
+--J1_tx_reg[8] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8]
 --register power-up is low
 
-F1_state.st_idle = DFFEAS(F1L207, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_tx_reg[8] = DFFEAS(J1L79, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---abc_rrq is abc_rrq
+--Q2_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1]
 --register power-up is low
 
-abc_rrq = DFFEAS(A1L96, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+Q2_shift_reg[1] = DFFEAS(Q2L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---abc_wrq is abc_wrq
+--J1_dffe11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11
 --register power-up is low
 
-abc_wrq = DFFEAS(A1L99, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_dffe11 = DFFEAS(J1L30, J1_fast_clock,  ,  ,  ,  ,  ,  ,  );
 
 
---F1L38 is sdram:sdram|dram_ba~0
-F1L38 = (F1_state.st_p0_wr_cmd) # ((F1_state.st_idle & ((abc_rrq) # (abc_wrq))));
+--Q2L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~0
+Q2L7 = (J1_dffe11 & (J1_tx_reg[8])) # (!J1_dffe11 & ((Q2_shift_reg[1])));
 
 
---F1_state.st_p0_rd_cmd is sdram:sdram|state.st_p0_rd_cmd
+--J1_tx_reg[9] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9]
 --register power-up is low
 
-F1_state.st_p0_rd_cmd = DFFEAS(F1L209, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_tx_reg[9] = DFFEAS(B1_qreg[6], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_state.st_p0_rd_pre is sdram:sdram|state.st_p0_rd_pre
+--Q1_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1]
 --register power-up is low
 
-F1_state.st_p0_rd_pre = DFFEAS(F1L210, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+Q1_shift_reg[1] = DFFEAS(Q1L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L76 is sdram:sdram|dram_d_en~0
-F1L76 = (!F1_state.st_p0_rd_cmd & !F1_state.st_p0_rd_pre);
+--Q1L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~0
+Q1L7 = (J1_dffe11 & (J1_tx_reg[9])) # (!J1_dffe11 & ((Q1_shift_reg[1])));
 
 
---F1_nop_ctr[3] is sdram:sdram|nop_ctr[3]
+--J1_tx_reg[18] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]
 --register power-up is low
 
-F1_nop_ctr[3] = DFFEAS(F1L138, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_tx_reg[18] = DFFEAS(J1L93, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_nop_ctr[2] is sdram:sdram|nop_ctr[2]
+--Q4_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1]
 --register power-up is low
 
-F1_nop_ctr[2] = DFFEAS(F1L139, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
-
+Q4_shift_reg[1] = DFFEAS(Q4L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1_nop_ctr[1] is sdram:sdram|nop_ctr[1]
---register power-up is low
 
-F1_nop_ctr[1] = DFFEAS(F1L142, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--Q4L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~0
+Q4L7 = (J1_dffe11 & (J1_tx_reg[18])) # (!J1_dffe11 & ((Q4_shift_reg[1])));
 
 
---F1_nop_ctr[0] is sdram:sdram|nop_ctr[0]
+--J1_tx_reg[19] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]
 --register power-up is low
 
-F1_nop_ctr[0] = DFFEAS(F1L144, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_tx_reg[19] = DFFEAS(J1L95, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_WideOr0 is sdram:sdram|WideOr0
-F1_WideOr0 = (F1_nop_ctr[3]) # ((F1_nop_ctr[2]) # ((F1_nop_ctr[1]) # (F1_nop_ctr[0])));
+--Q3_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1]
+--register power-up is low
 
+Q3_shift_reg[1] = DFFEAS(Q3L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L39 is sdram:sdram|dram_ba~1
-F1L39 = (abc_a[10] & (!F1_WideOr0 & ((F1L38) # (!F1L76))));
 
+--Q3L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~0
+Q3L7 = (J1_dffe11 & (J1_tx_reg[19])) # (!J1_dffe11 & ((Q3_shift_reg[1])));
 
---abc_a[11] is abc_a[11]
-abc_a[11] = INPUT();
 
+--J1_tx_reg[28] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28]
+--register power-up is low
 
---F1L40 is sdram:sdram|dram_ba~2
-F1L40 = (abc_a[11] & (!F1_WideOr0 & ((F1L38) # (!F1L76))));
+J1_tx_reg[28] = DFFEAS(B2_qreg[0], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_state.st_init_mrd is sdram:sdram|state.st_init_mrd
+--Q6_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1]
 --register power-up is low
 
-F1_state.st_init_mrd = DFFEAS(F1L211, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+Q6_shift_reg[1] = DFFEAS(Q6L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---abc_a[12] is abc_a[12]
-abc_a[12] = INPUT();
+--Q6L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~0
+Q6L7 = (J1_dffe11 & (J1_tx_reg[28])) # (!J1_dffe11 & ((Q6_shift_reg[1])));
 
 
---F1L3 is sdram:sdram|Selector11~0
-F1L3 = (abc_a[12] & ((abc_rrq) # (abc_wrq)));
+--J1_tx_reg[29] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29]
+--register power-up is low
 
+J1_tx_reg[29] = DFFEAS(B3_qreg[0], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---abc_a[1] is abc_a[1]
-abc_a[1] = INPUT();
 
+--Q5_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1]
+--register power-up is low
 
---F1L24 is sdram:sdram|dram_a~8
-F1L24 = (F1_state.st_p0_rd_cmd) # (F1_state.st_p0_wr_cmd);
+Q5_shift_reg[1] = DFFEAS(Q5L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---abc_a[13] is abc_a[13]
-abc_a[13] = INPUT();
+--Q5L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~0
+Q5L7 = (J1_dffe11 & (J1_tx_reg[29])) # (!J1_dffe11 & ((Q5_shift_reg[1])));
 
 
---F1L2 is sdram:sdram|Selector10~0
-F1L2 = (abc_a[13] & ((abc_rrq) # (abc_wrq)));
+--J1_dffe22 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22
+--register power-up is low
 
+J1_dffe22 = DFFEAS(J1L45, J1_fast_clock,  ,  ,  ,  ,  ,  ,  );
 
---abc_a[2] is abc_a[2]
-abc_a[2] = INPUT();
 
+--N2_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1]
+--register power-up is low
 
---F1L8 is sdram:sdram|always1~0
-F1L8 = (abc_rrq) # (abc_wrq);
+N2_shift_reg[1] = DFFEAS(N2L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---abc_a[14] is abc_a[14]
-abc_a[14] = INPUT();
+--N2L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~0
+N2L8 = (J1_dffe22) # (N2_shift_reg[1]);
 
 
---F1L25 is sdram:sdram|dram_a~9
-F1L25 = (F1L8 & (F1_state.st_idle & (!F1L24 & abc_a[14])));
+--N1_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1]
+--register power-up is low
 
+N1_shift_reg[1] = DFFEAS(N1L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---abc_a[3] is abc_a[3]
-abc_a[3] = INPUT();
 
+--N1L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~0
+N1L9 = (J1_dffe22) # (N1_shift_reg[1]);
 
---F1L26 is sdram:sdram|dram_a~10
-F1L26 = (!F1_WideOr0 & ((F1L25) # ((abc_a[3] & F1L24))));
 
+--abc_do[0] is abc_do[0]
+--register power-up is low
 
---abc_a[15] is abc_a[15]
-abc_a[15] = INPUT();
+abc_do[0] = DFFEAS(F1L156, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
 
---F1L27 is sdram:sdram|dram_a~11
-F1L27 = (F1L8 & (F1_state.st_idle & (!F1L24 & abc_a[15])));
+--abc_do[1] is abc_do[1]
+--register power-up is low
 
+abc_do[1] = DFFEAS(F1L157, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
---abc_a[4] is abc_a[4]
-abc_a[4] = INPUT();
 
+--abc_do[2] is abc_do[2]
+--register power-up is low
 
---F1L28 is sdram:sdram|dram_a~12
-F1L28 = (!F1_WideOr0 & ((F1L27) # ((abc_a[4] & F1L24))));
+abc_do[2] = DFFEAS(F1L158, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
 
---abc_a[5] is abc_a[5]
-abc_a[5] = INPUT();
+--abc_do[3] is abc_do[3]
+--register power-up is low
 
+abc_do[3] = DFFEAS(F1L159, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
---F1L29 is sdram:sdram|dram_a~13
-F1L29 = (!F1_WideOr0 & ((F1L24 & ((abc_a[5]))) # (!F1L24 & (F1_state.st_init_mrd))));
 
+--abc_do[4] is abc_do[4]
+--register power-up is low
 
---abc_a[6] is abc_a[6]
-abc_a[6] = INPUT();
+abc_do[4] = DFFEAS(F1L160, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
 
---F1L30 is sdram:sdram|dram_a~14
-F1L30 = (!F1_WideOr0 & ((F1L24 & ((abc_a[6]))) # (!F1L24 & (F1_state.st_init_mrd))));
+--abc_do[5] is abc_do[5]
+--register power-up is low
 
+abc_do[5] = DFFEAS(F1L161, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
---abc_a[7] is abc_a[7]
-abc_a[7] = INPUT();
 
+--abc_do[6] is abc_do[6]
+--register power-up is low
 
---abc_a[8] is abc_a[8]
-abc_a[8] = INPUT();
-
-
---abc_a[9] is abc_a[9]
-abc_a[9] = INPUT();
+abc_do[6] = DFFEAS(F1L162, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
 
---F1_state.st_reset is sdram:sdram|state.st_reset
+--abc_do[7] is abc_do[7]
 --register power-up is low
 
-F1_state.st_reset = DFFEAS(F1L203, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+abc_do[7] = DFFEAS(F1L163, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
 
---F1L31 is sdram:sdram|dram_a~15
-F1L31 = (F1_init_ctr[15] & (!F1_WideOr0 & !F1_state.st_reset));
-
-
---F1_state.st_p0_wr_pre is sdram:sdram|state.st_p0_wr_pre
+--F1_dram_d[0] is sdram:sdram|dram_d[0]
 --register power-up is low
 
-F1_state.st_p0_wr_pre = DFFEAS(F1L212, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
-
+F1_dram_d[0] = DFFEAS(F1L49, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---abc_a[0] is abc_a[0]
-abc_a[0] = INPUT();
 
+--F1_dram_d_en is sdram:sdram|dram_d_en
+--register power-up is low
 
---F1L81 is sdram:sdram|dram_dqm~0
-F1L81 = (F1_state.st_p0_wr_cmd & ((F1_WideOr0 & (F1_state.st_p0_wr_pre)) # (!F1_WideOr0 & ((abc_a[0]))))) # (!F1_state.st_p0_wr_cmd & (F1_state.st_p0_wr_pre));
+F1_dram_d_en = DFFEAS(F1_state.st_p0_rd, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---F1L82 is sdram:sdram|dram_dqm~1
-F1L82 = (F1_WideOr0 & (F1_state.st_p0_wr_pre)) # (!F1_WideOr0 & ((F1_state.st_p0_wr_cmd & ((!abc_a[0]))) # (!F1_state.st_p0_wr_cmd & (F1_state.st_p0_wr_pre))));
+--F1_dram_d[1] is sdram:sdram|dram_d[1]
+--register power-up is low
 
+F1_dram_d[1] = DFFEAS(F1L48, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---F1L4 is sdram:sdram|Selector14~0
-F1L4 = (F1_state.st_idle & (!F1L8 & (!F1_rfsh_ctr[8] & !F1_rfsh_ctr[9])));
 
+--F1_dram_d[2] is sdram:sdram|dram_d[2]
+--register power-up is low
 
---F1L51 is sdram:sdram|dram_cmd~0
-F1L51 = (F1_WideOr0) # ((!F1L4 & ((F1_state.st_reset) # (F1_init_ctr[15]))));
+F1_dram_d[2] = DFFEAS(F1L47, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---F1L6 is sdram:sdram|WideOr12~0
-F1L6 = (F1_state.st_reset & (!F1_state.st_p0_wr_cmd & !F1_state.st_p0_wr_pre));
+--F1_dram_d[3] is sdram:sdram|dram_d[3]
+--register power-up is low
 
+F1_dram_d[3] = DFFEAS(F1L46, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---F1L52 is sdram:sdram|dram_cmd~1
-F1L52 = (!F1_WideOr0 & ((F1_state.st_reset) # (F1_init_ctr[15])));
 
+--F1_dram_d[4] is sdram:sdram|dram_d[4]
+--register power-up is low
 
---F1L53 is sdram:sdram|dram_cmd~2
-F1L53 = (F1L52 & (((F1_state.st_p0_rd_pre) # (F1_state.st_init_mrd)) # (!F1L6)));
+F1_dram_d[4] = DFFEAS(F1L45, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---F1_state.st_p0_rd_data is sdram:sdram|state.st_p0_rd_data
+--F1_dram_d[5] is sdram:sdram|dram_d[5]
 --register power-up is low
 
-F1_state.st_p0_rd_data = DFFEAS(F1L213, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
-
+F1_dram_d[5] = DFFEAS(F1L44, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---F1L54 is sdram:sdram|dram_cmd~3
-F1L54 = (F1_state.st_p0_rd_pre) # ((F1_state.st_p0_wr_pre) # ((F1_state.st_p0_rd_data) # (!F1_state.st_reset)));
 
+--F1_dram_d[6] is sdram:sdram|dram_d[6]
+--register power-up is low
 
---F1L55 is sdram:sdram|dram_cmd~4
-F1L55 = (abc_rrq) # ((abc_wrq) # ((!F1_rfsh_ctr[8] & !F1_rfsh_ctr[9])));
-
+F1_dram_d[6] = DFFEAS(F1L43, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---F1L56 is sdram:sdram|dram_cmd~5
-F1L56 = (!F1_WideOr0 & (!F1L54 & ((!F1L55) # (!F1_state.st_idle))));
 
+--F1_dram_d[7] is sdram:sdram|dram_d[7]
+--register power-up is low
 
---F1L57 is sdram:sdram|dram_cmd~6
-F1L57 = (!F1L4 & (!F1_state.st_p0_rd_data & (!F1L24 & F1L52)));
+F1_dram_d[7] = DFFEAS(F1L42, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---led_ctr[0] is led_ctr[0]
+--F1_dram_d[8] is sdram:sdram|dram_d[8]
 --register power-up is low
 
-led_ctr[0] = DFFEAS(A1L205, T1_wire_pll1_clk[1], rst_n,  ,  ,  ,  ,  ,  );
+F1_dram_d[8] = DFFEAS(F1L49, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q2_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0]
+--F1_dram_d[9] is sdram:sdram|dram_d[9]
 --register power-up is low
 
-Q2_shift_reg[0] = DFFEAS(Q2L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[9] = DFFEAS(F1L48, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q1_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0]
+--F1_dram_d[10] is sdram:sdram|dram_d[10]
 --register power-up is low
 
-Q1_shift_reg[0] = DFFEAS(Q1L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[10] = DFFEAS(F1L47, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q4_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0]
+--F1_dram_d[11] is sdram:sdram|dram_d[11]
 --register power-up is low
 
-Q4_shift_reg[0] = DFFEAS(Q4L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[11] = DFFEAS(F1L46, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q3_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0]
+--F1_dram_d[12] is sdram:sdram|dram_d[12]
 --register power-up is low
 
-Q3_shift_reg[0] = DFFEAS(Q3L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[12] = DFFEAS(F1L45, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q6_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0]
+--F1_dram_d[13] is sdram:sdram|dram_d[13]
 --register power-up is low
 
-Q6_shift_reg[0] = DFFEAS(Q6L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[13] = DFFEAS(F1L44, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q5_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0]
+--F1_dram_d[14] is sdram:sdram|dram_d[14]
 --register power-up is low
 
-Q5_shift_reg[0] = DFFEAS(Q5L7, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[14] = DFFEAS(F1L43, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---N2_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0]
+--F1_dram_d[15] is sdram:sdram|dram_d[15]
 --register power-up is low
 
-N2_shift_reg[0] = DFFEAS(N2L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_d[15] = DFFEAS(F1L42, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---N1_shift_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0]
---register power-up is low
+--A1L104 is abc_xmem_done~0
+A1L104 = (abc_xmemrd_q & ((abc_xmem_done) # (F1_rack0_q)));
 
-N1_shift_reg[0] = DFFEAS(N1L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--A1L105 is abc_xmem_done~1
+A1L105 = (A1L104) # ((abc_xmemwr_q & ((abc_xmem_done) # (F1_wack0_q))));
 
---clock_48 is clock_48
-clock_48 = INPUT();
 
+--F1L4 is sdram:sdram|Equal1~0
+F1L4 = (F1_op_cycle[3] & (F1_op_cycle[2] & !F1_op_cycle[0]));
 
---rst_ctr[11] is rst_ctr[11]
---register power-up is low
 
-rst_ctr[11] = DFFEAS(A1L21, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+--F1L155 is sdram:sdram|rack0_q~0
+F1L155 = (F1_state.st_p0_rd & (F1_op_cycle[1] & F1L4));
 
 
---rst_ctr[10] is rst_ctr[10]
---register power-up is low
+--A1L113 is abc_xmemwr~0
+A1L113 = (abc_xinpstb_n & (!abc_xmemw800_n)) # (!abc_xinpstb_n & ((abc_xoutpstb_n & (!abc_xmemw800_n)) # (!abc_xoutpstb_n & ((!abc_xmemw80_n)))));
 
-rst_ctr[10] = DFFEAS(A1L19, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
 
+--F1L8 is sdram:sdram|Equal5~0
+F1L8 = (F1_op_cycle[3] & (F1_op_cycle[0] & (!F1_op_cycle[2] & !F1_op_cycle[1])));
 
---rst_ctr[9] is rst_ctr[9]
---register power-up is low
 
-rst_ctr[9] = DFFEAS(A1L17, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+--F1L37 is sdram:sdram|Selector36~0
+F1L37 = (F1L53 & ((F1_state.st_init) # ((F1_state.st_idle & !F1L50)))) # (!F1L53 & (((F1_state.st_idle & !F1L50))));
 
 
---rst_ctr[8] is rst_ctr[8]
+--B3_qreg[7] is tmdsenc:hdmitmds[2].enc|qreg[7]
 --register power-up is low
 
-rst_ctr[8] = DFFEAS(A1L15, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+B3_qreg[7] = DFFEAS(B3L58, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---rst_ctr[7] is rst_ctr[7]
+--J1_tx_reg[6] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6]
 --register power-up is low
 
-rst_ctr[7] = DFFEAS(A1L13, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_tx_reg[6] = DFFEAS(J1L75, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---rst_ctr[6] is rst_ctr[6]
+--Q2_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2]
 --register power-up is low
 
-rst_ctr[6] = DFFEAS(A1L11, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+Q2_shift_reg[2] = DFFEAS(Q2L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---rst_ctr[5] is rst_ctr[5]
+--Q2L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~1
+Q2L8 = (J1_dffe11 & (J1_tx_reg[6])) # (!J1_dffe11 & ((Q2_shift_reg[2])));
+
+
+--J1_dffe7a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2]
 --register power-up is low
 
-rst_ctr[5] = DFFEAS(A1L9, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_dffe7a[2] = DFFEAS(J1_dffe5a[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---rst_ctr[4] is rst_ctr[4]
+--J1_dffe3a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0]
 --register power-up is low
 
-rst_ctr[4] = DFFEAS(A1L7, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_dffe3a[0] = DFFEAS(L2_counter_reg_bit[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---rst_ctr[3] is rst_ctr[3]
+--J1_dffe7a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0]
 --register power-up is low
 
-rst_ctr[3] = DFFEAS(A1L5, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_dffe7a[0] = DFFEAS(J1_dffe5a[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---rst_ctr[2] is rst_ctr[2]
+--J1_dffe3a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2]
 --register power-up is low
 
-rst_ctr[2] = DFFEAS(A1L3, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_dffe3a[2] = DFFEAS(L2_counter_reg_bit[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---rst_ctr[0] is rst_ctr[0]
+--J1L26 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~0
+J1L26 = (J1_dffe7a[2] & (J1_dffe3a[2] & (J1_dffe3a[0] $ (!J1_dffe7a[0])))) # (!J1_dffe7a[2] & (!J1_dffe3a[2] & (J1_dffe3a[0] $ (!J1_dffe7a[0]))));
+
+
+--J1_dffe8a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2]
 --register power-up is low
 
-rst_ctr[0] = DFFEAS(A1L292, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_dffe8a[2] = DFFEAS(J1_dffe6a[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---rst_ctr[1] is rst_ctr[1]
+--J1_dffe8a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0]
 --register power-up is low
 
-rst_ctr[1] = DFFEAS(A1L1, T1_wire_pll1_clk[1], !A1L25,  , !rst_n,  ,  ,  ,  );
+J1_dffe8a[0] = DFFEAS(J1_dffe6a[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---A1L305 is rst_n~0
-A1L305 = (rst_n) # (A1L23);
+--J1_dffe4a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0]
+--register power-up is low
+
+J1_dffe4a[0] = DFFEAS(L2_counter_reg_bit[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1_pll_lock_sync is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|pll_lock_sync
+--J1_dffe4a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2]
 --register power-up is low
 
-J1_pll_lock_sync = DFFEAS(VCC, J1_wire_lvds_tx_pll_locked, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+J1_dffe4a[2] = DFFEAS(L2_counter_reg_bit[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---A1L25 is WideAnd0~0
-A1L25 = ((!J1_pll_lock_sync) # (!J1_wire_lvds_tx_pll_locked)) # (!T1_wire_pll1_locked);
+--J1L27 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~1
+J1L27 = (J1_dffe8a[2] & (J1_dffe4a[2] & (J1_dffe8a[0] $ (!J1_dffe4a[0])))) # (!J1_dffe8a[2] & (!J1_dffe4a[2] & (J1_dffe8a[0] $ (!J1_dffe4a[0]))));
 
 
---F1_state.st_init_rfsh1 is sdram:sdram|state.st_init_rfsh1
+--J1_dffe8a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1]
 --register power-up is low
 
-F1_state.st_init_rfsh1 = DFFEAS(F1L188, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_dffe8a[1] = DFFEAS(J1_dffe6a[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1_state.st_init_rfsh2 is sdram:sdram|state.st_init_rfsh2
+--J1_dffe4a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1]
 --register power-up is low
 
-F1_state.st_init_rfsh2 = DFFEAS(F1L214, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_dffe4a[1] = DFFEAS(L2_counter_reg_bit[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+
 
+--J1_sync_dffe12a is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a
+--register power-up is low
 
---F1L196 is sdram:sdram|state.st_reset~2
-F1L196 = (F1_state.st_idle & (!F1_state.st_init_mrd & (!F1_state.st_init_rfsh1 & !F1_state.st_init_rfsh2))) # (!F1_state.st_idle & ((F1_state.st_init_mrd & (!F1_state.st_init_rfsh1 & !F1_state.st_init_rfsh2)) # (!F1_state.st_init_mrd & (F1_state.st_init_rfsh1 $ (F1_state.st_init_rfsh2)))));
+J1_sync_dffe12a = DFFEAS(J1L62, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L197 is sdram:sdram|state.st_reset~3
-F1L197 = (F1L76 & (F1L6 & (F1L196 & !F1_state.st_p0_rd_data)));
+--J1L28 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~2
+J1L28 = (!J1_sync_dffe12a & (J1_dffe8a[1] $ (!J1_dffe4a[1])));
 
 
---F1L198 is sdram:sdram|state.st_reset~4
-F1L198 = (!F1_state.st_init_mrd & (!F1_state.st_init_rfsh1 & !F1_state.st_init_rfsh2));
+--J1_dffe7a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1]
+--register power-up is low
 
+J1_dffe7a[1] = DFFEAS(J1_dffe5a[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
---F1L199 is sdram:sdram|state.st_reset~5
-F1L199 = (F1_state.st_reset & (!F1_state.st_p0_wr_cmd & (!F1_state.st_p0_wr_pre & !F1_state.st_p0_rd_data)));
 
+--J1_dffe3a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1]
+--register power-up is low
 
---F1L200 is sdram:sdram|state.st_reset~6
-F1L200 = (F1_state.st_reset & ((F1_state.st_p0_wr_cmd & (!F1_state.st_p0_wr_pre & !F1_state.st_p0_rd_data)) # (!F1_state.st_p0_wr_cmd & (F1_state.st_p0_wr_pre $ (F1_state.st_p0_rd_data))))) # (!F1_state.st_reset & (!F1_state.st_p0_wr_cmd & (!F1_state.st_p0_wr_pre & !F1_state.st_p0_rd_data)));
+J1_dffe3a[1] = DFFEAS(L2_counter_reg_bit[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1L201 is sdram:sdram|state.st_reset~7
-F1L201 = (F1_state.st_p0_rd_cmd & (F1L199 & ((!F1_state.st_p0_rd_pre)))) # (!F1_state.st_p0_rd_cmd & ((F1_state.st_p0_rd_pre & (F1L199)) # (!F1_state.st_p0_rd_pre & ((F1L200)))));
+--J1L29 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~3
+J1L29 = (J1_sync_dffe12a & (J1_dffe7a[1] $ (!J1_dffe3a[1])));
 
 
---F1L202 is sdram:sdram|state.st_reset~8
-F1L202 = (F1L197) # ((F1L198 & (F1L201 & !F1_state.st_idle)));
+--J1L30 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~4
+J1L30 = (J1L26 & ((J1L29) # ((J1L27 & J1L28)))) # (!J1L26 & (J1L27 & (J1L28)));
 
 
---F1L204 is sdram:sdram|state~38
-F1L204 = (F1_WideOr0 & (F1_state.st_p0_wr_cmd)) # (!F1_WideOr0 & (((abc_wrq & F1_state.st_idle))));
+--J1_tx_reg[7] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7]
+--register power-up is low
 
+J1_tx_reg[7] = DFFEAS(J1L77, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L205 is sdram:sdram|state~39
-F1L205 = (F1L202 & F1L204);
 
+--Q1_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2]
+--register power-up is low
 
---F1L206 is sdram:sdram|state~40
-F1L206 = (F1_state.st_init_mrd) # ((F1_state.st_p0_wr_pre) # (F1_state.st_p0_rd_data));
+Q1_shift_reg[2] = DFFEAS(Q1L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L207 is sdram:sdram|state~41
-F1L207 = (F1L202 & ((F1L215) # ((F1L206 & !F1_WideOr0))));
+--Q1L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~1
+Q1L8 = (J1_dffe11 & (J1_tx_reg[7])) # (!J1_dffe11 & ((Q1_shift_reg[2])));
 
 
---abc_xmemrd_q is abc_xmemrd_q
+--B1_qreg[3] is tmdsenc:hdmitmds[0].enc|qreg[3]
 --register power-up is low
 
-abc_xmemrd_q = DFFEAS(A1L109, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+B1_qreg[3] = DFFEAS(B1L60, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---abc_xmem_done is abc_xmem_done
+--J1_tx_reg[16] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16]
 --register power-up is low
 
-abc_xmem_done = DFFEAS(A1L105, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_tx_reg[16] = DFFEAS(B2_qreg[4], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_rack0_q is sdram:sdram|rack0_q
+--Q4_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2]
 --register power-up is low
 
-F1_rack0_q = DFFEAS(F1L94, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+Q4_shift_reg[2] = DFFEAS(Q4L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---A1L96 is abc_rrq~0
-A1L96 = (abc_xmemrd_q & (!abc_xmem_done & !F1_rack0_q));
+--Q4L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~1
+Q4L8 = (J1_dffe11 & (J1_tx_reg[16])) # (!J1_dffe11 & ((Q4_shift_reg[2])));
 
 
---abc_xmemwr_q is abc_xmemwr_q
+--B2_qreg[3] is tmdsenc:hdmitmds[1].enc|qreg[3]
 --register power-up is low
 
-abc_xmemwr_q = DFFEAS(A1L113, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+B2_qreg[3] = DFFEAS(B2L56, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---F1_wack0_q is sdram:sdram|wack0_q
+--J1_tx_reg[17] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17]
 --register power-up is low
 
-F1_wack0_q = DFFEAS(F1L83, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
-
+J1_tx_reg[17] = DFFEAS(B3_qreg[4], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---A1L99 is abc_wrq~0
-A1L99 = (abc_xmemwr_q & (!abc_xmem_done & !F1_wack0_q));
 
+--Q3_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2]
+--register power-up is low
 
---F1L208 is sdram:sdram|state~42
-F1L208 = (abc_rrq & (F1_state.st_idle & (!F1_WideOr0 & !abc_wrq)));
+Q3_shift_reg[2] = DFFEAS(Q3L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L209 is sdram:sdram|state~43
-F1L209 = (F1L202 & ((F1L208) # ((F1_WideOr0 & F1_state.st_p0_rd_cmd))));
+--Q3L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~1
+Q3L8 = (J1_dffe11 & (J1_tx_reg[17])) # (!J1_dffe11 & ((Q3_shift_reg[2])));
 
 
---F1L210 is sdram:sdram|state~44
-F1L210 = (F1L202 & ((F1_WideOr0 & (F1_state.st_p0_rd_pre)) # (!F1_WideOr0 & ((F1_state.st_p0_rd_cmd)))));
+--J1_tx_reg[26] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26]
+--register power-up is low
 
+J1_tx_reg[26] = DFFEAS(B3_qreg[1], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L137 is sdram:sdram|nop_ctr~0
-F1L137 = (F1_state.st_init_rfsh1) # ((F1_state.st_init_rfsh2) # ((F1_state.st_idle & !F1L55)));
 
+--Q6_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2]
+--register power-up is low
 
---F1L1 is sdram:sdram|Add2~0
-F1L1 = (!F1_nop_ctr[1] & !F1_nop_ctr[0]);
+Q6_shift_reg[2] = DFFEAS(Q6L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L138 is sdram:sdram|nop_ctr~1
-F1L138 = (F1_nop_ctr[3] & ((F1_nop_ctr[2]) # ((!F1L1)))) # (!F1_nop_ctr[3] & (!F1_nop_ctr[2] & (F1L137 & F1L1)));
+--Q6L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~1
+Q6L8 = (J1_dffe11 & (J1_tx_reg[26])) # (!J1_dffe11 & ((Q6_shift_reg[2])));
 
 
---F1L139 is sdram:sdram|nop_ctr~2
-F1L139 = (F1_WideOr0 & ((F1_nop_ctr[2] $ (F1L1)))) # (!F1_WideOr0 & (F1_state.st_p0_wr_pre));
+--J1_tx_reg[27] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27]
+--register power-up is low
 
+J1_tx_reg[27] = DFFEAS(B1_qreg[0], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L140 is sdram:sdram|nop_ctr~3
-F1L140 = (F1_state.st_p0_rd_pre) # ((F1_state.st_p0_rd_data) # ((F1_init_ctr[15] & !F1_state.st_reset)));
 
+--Q5_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2]
+--register power-up is low
 
---F1L141 is sdram:sdram|nop_ctr~4
-F1L141 = (!F1_WideOr0 & ((F1L140) # ((F1L8 & F1_state.st_idle))));
+Q5_shift_reg[2] = DFFEAS(Q5L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L142 is sdram:sdram|nop_ctr~5
-F1L142 = (F1L141) # ((F1_WideOr0 & (F1_nop_ctr[1] $ (!F1_nop_ctr[0]))));
+--Q5L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~1
+Q5L8 = (J1_dffe11 & (J1_tx_reg[27])) # (!J1_dffe11 & ((Q5_shift_reg[2])));
 
 
---F1L143 is sdram:sdram|nop_ctr~6
-F1L143 = (F1_state.st_p0_wr_cmd) # (((F1_state.st_idle & !F1L55)) # (!F1L198));
+--J1_dffe18a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2]
+--register power-up is low
 
+J1_dffe18a[2] = DFFEAS(J1_dffe16a[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
---F1L144 is sdram:sdram|nop_ctr~7
-F1L144 = (F1_WideOr0 & ((!F1_nop_ctr[0]))) # (!F1_WideOr0 & (F1L143));
 
+--J1_dffe14a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0]
+--register power-up is low
 
---F1L211 is sdram:sdram|state~45
-F1L211 = (F1L202 & ((F1_WideOr0 & (F1_state.st_init_mrd)) # (!F1_WideOr0 & ((F1_state.st_init_rfsh2)))));
+J1_dffe14a[0] = DFFEAS(L1_counter_reg_bit[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1_init_ctr[10] is sdram:sdram|init_ctr[10]
+--J1_dffe18a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0]
 --register power-up is low
 
-F1_init_ctr[10] = DFFEAS(F1L114, T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+J1_dffe18a[0] = DFFEAS(J1_dffe16a[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1_init_ctr[9] is sdram:sdram|init_ctr[9]
+--J1_dffe14a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2]
 --register power-up is low
 
-F1_init_ctr[9] = DFFEAS(F1_rfsh_ctr[9], T1_wire_pll1_clk[0], rst_n,  , F1L7,  ,  ,  ,  );
+J1_dffe14a[2] = DFFEAS(L1_counter_reg_bit[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1L7 is sdram:sdram|always0~0
-F1L7 = F1_rfsh_ctr[9] $ (F1_init_ctr[9]);
+--J1L44 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22~0
+J1L44 = (J1_dffe18a[2] & (J1_dffe14a[2] & (J1_dffe14a[0] $ (!J1_dffe18a[0])))) # (!J1_dffe18a[2] & (!J1_dffe14a[2] & (J1_dffe14a[0] $ (!J1_dffe18a[0]))));
 
 
---F1L212 is sdram:sdram|state~46
-F1L212 = (F1L202 & ((F1_WideOr0 & (F1_state.st_p0_wr_pre)) # (!F1_WideOr0 & ((F1_state.st_p0_wr_cmd)))));
+--J1_dffe18a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1]
+--register power-up is low
 
+J1_dffe18a[1] = DFFEAS(J1_dffe16a[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
---F1_is_rfsh is sdram:sdram|is_rfsh
+
+--J1_dffe14a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1]
 --register power-up is low
 
-F1_is_rfsh = DFFEAS(F1L131, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+J1_dffe14a[1] = DFFEAS(L1_counter_reg_bit[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1L213 is sdram:sdram|state~47
-F1L213 = (F1L202 & ((F1_WideOr0 & (F1_state.st_p0_rd_data)) # (!F1_WideOr0 & ((F1_state.st_p0_rd_pre)))));
+--J1L45 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22~1
+J1L45 = (J1_sync_dffe12a & (J1L44 & (J1_dffe18a[1] $ (!J1_dffe14a[1]))));
 
 
---J1_tx_reg[8] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8]
+--N2_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2]
 --register power-up is low
 
-J1_tx_reg[8] = DFFEAS(J1L79, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
-
+N2_shift_reg[2] = DFFEAS(N2L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---Q2_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1]
---register power-up is low
 
-Q2_shift_reg[1] = DFFEAS(Q2L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--N2L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~1
+N2L9 = (J1_dffe22) # (N2_shift_reg[2]);
 
 
---J1_dffe11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11
+--N1_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2]
 --register power-up is low
 
-J1_dffe11 = DFFEAS(J1L30, J1_fast_clock,  ,  ,  ,  ,  ,  ,  );
+N1_shift_reg[2] = DFFEAS(N1L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---Q2L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~0
-Q2L7 = (J1_dffe11 & (J1_tx_reg[8])) # (!J1_dffe11 & ((Q2_shift_reg[1])));
+--N1L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~1
+N1L10 = (J1_dffe22) # (N1_shift_reg[2]);
 
 
---J1_tx_reg[9] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9]
+--F1_dram_q[8] is sdram:sdram|dram_q[8]
 --register power-up is low
 
-J1_tx_reg[9] = DFFEAS(B1_qreg[6], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[8] = DFFEAS(sr_dq[8], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q1_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1]
+--F1_dram_q[0] is sdram:sdram|dram_q[0]
 --register power-up is low
 
-Q1_shift_reg[1] = DFFEAS(Q1L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[0] = DFFEAS(sr_dq[0], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q1L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~0
-Q1L7 = (J1_dffe11 & (J1_tx_reg[9])) # (!J1_dffe11 & ((Q1_shift_reg[1])));
+--F1L156 is sdram:sdram|rd0[0]~0
+F1L156 = (abc_a[0] & (F1_dram_q[8])) # (!abc_a[0] & ((F1_dram_q[0])));
 
 
---J1_tx_reg[18] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]
+--A1L67 is abc_do[0]~0
+A1L67 = (rst_n & F1_rack0_q);
+
+
+--F1_dram_q[9] is sdram:sdram|dram_q[9]
 --register power-up is low
 
-J1_tx_reg[18] = DFFEAS(J1L93, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[9] = DFFEAS(sr_dq[9], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q4_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1]
+--F1_dram_q[1] is sdram:sdram|dram_q[1]
 --register power-up is low
 
-Q4_shift_reg[1] = DFFEAS(Q4L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[1] = DFFEAS(sr_dq[1], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q4L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~0
-Q4L7 = (J1_dffe11 & (J1_tx_reg[18])) # (!J1_dffe11 & ((Q4_shift_reg[1])));
+--F1L157 is sdram:sdram|rd0[1]~1
+F1L157 = (abc_a[0] & (F1_dram_q[9])) # (!abc_a[0] & ((F1_dram_q[1])));
 
 
---J1_tx_reg[19] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]
+--F1_dram_q[10] is sdram:sdram|dram_q[10]
 --register power-up is low
 
-J1_tx_reg[19] = DFFEAS(J1L95, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[10] = DFFEAS(sr_dq[10], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q3_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1]
+--F1_dram_q[2] is sdram:sdram|dram_q[2]
 --register power-up is low
 
-Q3_shift_reg[1] = DFFEAS(Q3L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[2] = DFFEAS(sr_dq[2], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q3L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~0
-Q3L7 = (J1_dffe11 & (J1_tx_reg[19])) # (!J1_dffe11 & ((Q3_shift_reg[1])));
+--F1L158 is sdram:sdram|rd0[2]~2
+F1L158 = (abc_a[0] & (F1_dram_q[10])) # (!abc_a[0] & ((F1_dram_q[2])));
 
 
---J1_tx_reg[28] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28]
+--F1_dram_q[11] is sdram:sdram|dram_q[11]
 --register power-up is low
 
-J1_tx_reg[28] = DFFEAS(B2_qreg[0], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[11] = DFFEAS(sr_dq[11], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q6_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1]
+--F1_dram_q[3] is sdram:sdram|dram_q[3]
 --register power-up is low
 
-Q6_shift_reg[1] = DFFEAS(Q6L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[3] = DFFEAS(sr_dq[3], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q6L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~0
-Q6L7 = (J1_dffe11 & (J1_tx_reg[28])) # (!J1_dffe11 & ((Q6_shift_reg[1])));
+--F1L159 is sdram:sdram|rd0[3]~3
+F1L159 = (abc_a[0] & (F1_dram_q[11])) # (!abc_a[0] & ((F1_dram_q[3])));
 
 
---J1_tx_reg[29] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29]
+--F1_dram_q[12] is sdram:sdram|dram_q[12]
 --register power-up is low
 
-J1_tx_reg[29] = DFFEAS(B3_qreg[0], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[12] = DFFEAS(sr_dq[12], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q5_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1]
+--F1_dram_q[4] is sdram:sdram|dram_q[4]
 --register power-up is low
 
-Q5_shift_reg[1] = DFFEAS(Q5L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[4] = DFFEAS(sr_dq[4], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---Q5L7 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~0
-Q5L7 = (J1_dffe11 & (J1_tx_reg[29])) # (!J1_dffe11 & ((Q5_shift_reg[1])));
+--F1L160 is sdram:sdram|rd0[4]~4
+F1L160 = (abc_a[0] & (F1_dram_q[12])) # (!abc_a[0] & ((F1_dram_q[4])));
 
 
---J1_dffe22 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22
+--F1_dram_q[13] is sdram:sdram|dram_q[13]
 --register power-up is low
 
-J1_dffe22 = DFFEAS(J1L45, J1_fast_clock,  ,  ,  ,  ,  ,  ,  );
+F1_dram_q[13] = DFFEAS(sr_dq[13], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---N2_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1]
+--F1_dram_q[5] is sdram:sdram|dram_q[5]
 --register power-up is low
 
-N2_shift_reg[1] = DFFEAS(N2L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1_dram_q[5] = DFFEAS(sr_dq[5], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---N2L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~0
-N2L8 = (J1_dffe22) # (N2_shift_reg[1]);
+--F1L161 is sdram:sdram|rd0[5]~5
+F1L161 = (abc_a[0] & (F1_dram_q[13])) # (!abc_a[0] & ((F1_dram_q[5])));
 
 
---N1_shift_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1]
+--F1_dram_q[14] is sdram:sdram|dram_q[14]
 --register power-up is low
 
-N1_shift_reg[1] = DFFEAS(N1L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
-
-
---N1L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~0
-N1L9 = (J1_dffe22) # (N1_shift_reg[1]);
+F1_dram_q[14] = DFFEAS(sr_dq[14], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---abc_do[0] is abc_do[0]
+--F1_dram_q[6] is sdram:sdram|dram_q[6]
 --register power-up is low
 
-abc_do[0] = DFFEAS(F1L146, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
+F1_dram_q[6] = DFFEAS(sr_dq[6], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---abc_do[1] is abc_do[1]
---register power-up is low
+--F1L162 is sdram:sdram|rd0[6]~6
+F1L162 = (abc_a[0] & (F1_dram_q[14])) # (!abc_a[0] & ((F1_dram_q[6])));
 
-abc_do[1] = DFFEAS(F1L147, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
-
---abc_do[2] is abc_do[2]
+--F1_dram_q[15] is sdram:sdram|dram_q[15]
 --register power-up is low
 
-abc_do[2] = DFFEAS(F1L148, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
+F1_dram_q[15] = DFFEAS(sr_dq[15], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---abc_do[3] is abc_do[3]
+--F1_dram_q[7] is sdram:sdram|dram_q[7]
 --register power-up is low
 
-abc_do[3] = DFFEAS(F1L149, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
+F1_dram_q[7] = DFFEAS(sr_dq[7], T1_wire_pll1_clk[0],  ,  , F1L108,  ,  ,  ,  );
 
 
---abc_do[4] is abc_do[4]
---register power-up is low
+--F1L163 is sdram:sdram|rd0[7]~7
+F1L163 = (abc_a[0] & (F1_dram_q[15])) # (!abc_a[0] & ((F1_dram_q[7])));
 
-abc_do[4] = DFFEAS(F1L150, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
+--F1L49 is sdram:sdram|Selector72~0
+F1L49 = (abc_d[0] & F1_state.st_p0_wr);
 
---abc_do[5] is abc_do[5]
---register power-up is low
 
-abc_do[5] = DFFEAS(F1L151, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
+--F1L48 is sdram:sdram|Selector71~0
+F1L48 = (abc_d[1] & F1_state.st_p0_wr);
 
 
---abc_do[6] is abc_do[6]
---register power-up is low
+--F1L47 is sdram:sdram|Selector70~0
+F1L47 = (abc_d[2] & F1_state.st_p0_wr);
 
-abc_do[6] = DFFEAS(F1L152, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
 
+--F1L46 is sdram:sdram|Selector69~0
+F1L46 = (abc_d[3] & F1_state.st_p0_wr);
 
---abc_do[7] is abc_do[7]
---register power-up is low
 
-abc_do[7] = DFFEAS(F1L153, T1_wire_pll1_clk[0],  ,  , A1L67,  ,  ,  ,  );
+--F1L45 is sdram:sdram|Selector68~0
+F1L45 = (abc_d[4] & F1_state.st_p0_wr);
 
 
---F1_dram_d[0] is sdram:sdram|dram_d[0]
---register power-up is low
+--F1L44 is sdram:sdram|Selector67~0
+F1L44 = (abc_d[5] & F1_state.st_p0_wr);
 
-F1_dram_d[0] = DFFEAS(F1L84, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--F1L43 is sdram:sdram|Selector66~0
+F1L43 = (abc_d[6] & F1_state.st_p0_wr);
 
---F1_dram_d_en is sdram:sdram|dram_d_en
---register power-up is low
 
-F1_dram_d_en = DFFEAS(F1L77, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--F1L42 is sdram:sdram|Selector65~0
+F1L42 = (abc_d[7] & F1_state.st_p0_wr);
 
 
---F1_dram_d[1] is sdram:sdram|dram_d[1]
+--B1_denreg is tmdsenc:hdmitmds[0].enc|denreg
 --register power-up is low
 
-F1_dram_d[1] = DFFEAS(F1L85, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+B1_denreg = DFFEAS(VCC, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[2] is sdram:sdram|dram_d[2]
+--dummydata[0] is dummydata[0]
 --register power-up is low
 
-F1_dram_d[2] = DFFEAS(F1L86, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[0] = DFFEAS(dummydata[23], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[3] is sdram:sdram|dram_d[3]
+--dummydata[23] is dummydata[23]
 --register power-up is low
 
-F1_dram_d[3] = DFFEAS(F1L87, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[23] = DFFEAS(dummydata[22], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[4] is sdram:sdram|dram_d[4]
+--dummydata[21] is dummydata[21]
 --register power-up is low
 
-F1_dram_d[4] = DFFEAS(F1L88, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[21] = DFFEAS(dummydata[20], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[5] is sdram:sdram|dram_d[5]
+--dummydata[22] is dummydata[22]
 --register power-up is low
 
-F1_dram_d[5] = DFFEAS(F1L89, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[22] = DFFEAS(A1L147, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[6] is sdram:sdram|dram_d[6]
+--dummydata[19] is dummydata[19]
 --register power-up is low
 
-F1_dram_d[6] = DFFEAS(F1L90, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[19] = DFFEAS(A1L142, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[7] is sdram:sdram|dram_d[7]
+--dummydata[20] is dummydata[20]
 --register power-up is low
 
-F1_dram_d[7] = DFFEAS(F1L91, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[20] = DFFEAS(A1L144, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[8] is sdram:sdram|dram_d[8]
+--dummydata[17] is dummydata[17]
 --register power-up is low
 
-F1_dram_d[8] = DFFEAS(F1L84, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+dummydata[17] = DFFEAS(dummydata[16], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_d[9] is sdram:sdram|dram_d[9]
+--dummydata[18] is dummydata[18]
 --register power-up is low
 
-F1_dram_d[9] = DFFEAS(F1L85, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
-
+dummydata[18] = DFFEAS(dummydata[17], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
---F1_dram_d[10] is sdram:sdram|dram_d[10]
---register power-up is low
 
-F1_dram_d[10] = DFFEAS(F1L86, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--B3L4 is tmdsenc:hdmitmds[2].enc|Add4~2
+B3L4 = dummydata[19] $ (dummydata[20] $ (dummydata[17] $ (!dummydata[18])));
 
 
---F1_dram_d[11] is sdram:sdram|dram_d[11]
---register power-up is low
+--B3L5 is tmdsenc:hdmitmds[2].enc|Add4~3
+B3L5 = dummydata[23] $ (dummydata[21] $ (dummydata[22] $ (B3L4)));
 
-F1_dram_d[11] = DFFEAS(F1L87, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--B3L27 is tmdsenc:hdmitmds[2].enc|Equal0~0
+B3L27 = (!B3_disparity[3] & (!B3_disparity[0] & (!B3_disparity[1] & !B3_disparity[2])));
 
---F1_dram_d[12] is sdram:sdram|dram_d[12]
---register power-up is low
 
-F1_dram_d[12] = DFFEAS(F1L88, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--B3L10 is tmdsenc:hdmitmds[2].enc|Add5~0
+B3L10 = (dummydata[19] & ((dummydata[20] & ((dummydata[17]) # (dummydata[18]))) # (!dummydata[20] & (dummydata[17] & dummydata[18])))) # (!dummydata[19] & ((dummydata[20] & ((!dummydata[18]) # (!dummydata[17]))) # (!dummydata[20] & ((dummydata[17]) # (dummydata[18])))));
 
 
---F1_dram_d[13] is sdram:sdram|dram_d[13]
---register power-up is low
+--B3L1 is tmdsenc:hdmitmds[2].enc|Add2~0
+B3L1 = dummydata[0] $ (dummydata[23] $ (dummydata[21] $ (!dummydata[22])));
 
-F1_dram_d[13] = DFFEAS(F1L89, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--B3L6 is tmdsenc:hdmitmds[2].enc|Add4~4
+B3L6 = dummydata[17] $ (dummydata[18]);
 
---F1_dram_d[14] is sdram:sdram|dram_d[14]
---register power-up is low
 
-F1_dram_d[14] = DFFEAS(F1L90, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
+--B3L12 is tmdsenc:hdmitmds[2].enc|Add6~0
+B3L12 = (B3L1 & (dummydata[19] $ (dummydata[20] $ (!B3L6))));
 
 
---F1_dram_d[15] is sdram:sdram|dram_d[15]
---register power-up is low
+--B3L2 is tmdsenc:hdmitmds[2].enc|Add2~1
+B3L2 = (dummydata[21] & ((dummydata[0] & ((!dummydata[22]) # (!dummydata[23]))) # (!dummydata[0] & ((dummydata[23]) # (dummydata[22]))))) # (!dummydata[21] & ((dummydata[0] & (!dummydata[23] & !dummydata[22])) # (!dummydata[0] & ((!dummydata[22]) # (!dummydata[23])))));
 
-F1_dram_d[15] = DFFEAS(F1L91, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
+--B3L11 is tmdsenc:hdmitmds[2].enc|Add5~1
+B3L11 = (dummydata[20] & (dummydata[17] & (dummydata[18] & !dummydata[19])));
 
---F1L188 is sdram:sdram|state.st_init_rfsh1~0
-F1L188 = (F1L202 & ((F1L52 & ((!F1_state.st_reset))) # (!F1L52 & (F1_state.st_init_rfsh1))));
 
+--B3L3 is tmdsenc:hdmitmds[2].enc|Add2~2
+B3L3 = (dummydata[21] & (!dummydata[0] & (!dummydata[23] & !dummydata[22])));
 
---F1L214 is sdram:sdram|state~48
-F1L214 = (F1L202 & ((F1_WideOr0 & (F1_state.st_init_rfsh2)) # (!F1_WideOr0 & ((F1_state.st_init_rfsh1)))));
 
+--B3L13 is tmdsenc:hdmitmds[2].enc|Add6~1
+B3L13 = B3L11 $ (B3L3);
 
---A1L104 is abc_xmem_done~0
-A1L104 = (abc_xmemrd_q & ((abc_xmem_done) # (F1_rack0_q)));
 
+--B3L14 is tmdsenc:hdmitmds[2].enc|Add6~2
+B3L14 = B3L13 $ (((B3L10 & ((B3L12) # (B3L2))) # (!B3L10 & (B3L12 & B3L2))));
 
---A1L105 is abc_xmem_done~1
-A1L105 = (A1L104) # ((abc_xmemwr_q & ((abc_xmem_done) # (F1_wack0_q))));
 
+--B3L15 is tmdsenc:hdmitmds[2].enc|Add6~3
+B3L15 = dummydata[19] $ (dummydata[20] $ (B3L6 $ (!B3L1)));
 
---F1L94 is sdram:sdram|dram_q[0]~0
-F1L94 = (F1_state.st_p0_rd_data & !F1_WideOr0);
 
+--B3L16 is tmdsenc:hdmitmds[2].enc|Add6~4
+B3L16 = B3L10 $ (B3L12 $ (B3L2));
 
---abc_xmemw800_n is abc_xmemw800_n
-abc_xmemw800_n = INPUT();
 
+--B3L28 is tmdsenc:hdmitmds[2].enc|always1~0
+B3L28 = (B3L27) # ((B3L14 & (!B3L15 & !B3L16)));
 
---abc_xmemw80_n is abc_xmemw80_n
-abc_xmemw80_n = INPUT();
 
+--B3L44 is tmdsenc:hdmitmds[2].enc|dx[8]~0
+B3L44 = (B3L14 & ((B3L15) # ((B3L16) # (!dummydata[17])))) # (!B3L14 & (!dummydata[17] & ((!B3L16) # (!B3L15))));
 
---abc_xinpstb_n is abc_xinpstb_n
-abc_xinpstb_n = INPUT();
 
+--B3L7 is tmdsenc:hdmitmds[2].enc|Add4~5
+B3L7 = B3L14 $ (B3_disparity[3]);
 
---abc_xoutpstb_n is abc_xoutpstb_n
-abc_xoutpstb_n = INPUT();
 
+--B3L57 is tmdsenc:hdmitmds[2].enc|qreg~0
+B3L57 = B3L5 $ (((!B3L28 & (B3L44 $ (!B3L7)))));
 
---A1L113 is abc_xmemwr~0
-A1L113 = (abc_xinpstb_n & (!abc_xmemw800_n)) # (!abc_xinpstb_n & ((abc_xoutpstb_n & (!abc_xmemw800_n)) # (!abc_xoutpstb_n & ((!abc_xmemw80_n)))));
 
+--B3L58 is tmdsenc:hdmitmds[2].enc|qreg~1
+B3L58 = (dummydata[0] $ (B3L57)) # (!B1_denreg);
 
---F1L83 is sdram:sdram|dram_d~0
-F1L83 = (F1_state.st_p0_wr_cmd & !F1_WideOr0);
 
+--vid_rst_n is vid_rst_n
+--register power-up is low
 
---F1L131 is sdram:sdram|is_rfsh~0
-F1L131 = (F1_state.st_idle & (!F1_WideOr0 & !F1L55));
+vid_rst_n = DFFEAS(rst_n, T1_wire_pll1_clk[2], !A1L25,  ,  ,  ,  ,  ,  );
 
 
---B3_qreg[7] is tmdsenc:hdmitmds[2].enc|qreg[7]
+--B1_qreg[7] is tmdsenc:hdmitmds[0].enc|qreg[7]
 --register power-up is low
 
-B3_qreg[7] = DFFEAS(B3L58, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+B1_qreg[7] = DFFEAS(B1L62, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---J1_tx_reg[6] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6]
+--J1_tx_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4]
 --register power-up is low
 
-J1_tx_reg[6] = DFFEAS(J1L75, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+J1_tx_reg[4] = DFFEAS(B2_qreg[8], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---Q2_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2]
+--Q2_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3]
 --register power-up is low
 
-Q2_shift_reg[2] = DFFEAS(Q2L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+Q2_shift_reg[3] = DFFEAS(Q2L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---Q2L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~1
-Q2L8 = (J1_dffe11 & (J1_tx_reg[6])) # (!J1_dffe11 & ((Q2_shift_reg[2])));
+--Q2L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~2
+Q2L9 = (J1_dffe11 & (J1_tx_reg[4])) # (!J1_dffe11 & ((Q2_shift_reg[3])));
 
 
---J1_dffe7a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2]
+--J1_dffe5a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2]
 --register power-up is low
 
-J1_dffe7a[2] = DFFEAS(J1_dffe5a[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+J1_dffe5a[2] = DFFEAS(J1_dffe3a[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1_dffe3a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0]
+--L2_counter_reg_bit[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0]
 --register power-up is low
 
-J1_dffe3a[0] = DFFEAS(L2_counter_reg_bit[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+L2_counter_reg_bit[0] = DFFEAS(L2L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe7a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0]
+--J1_dffe5a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0]
 --register power-up is low
 
-J1_dffe7a[0] = DFFEAS(J1_dffe5a[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+J1_dffe5a[0] = DFFEAS(J1_dffe3a[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1_dffe3a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2]
+--L2_counter_reg_bit[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]
 --register power-up is low
 
-J1_dffe3a[2] = DFFEAS(L2_counter_reg_bit[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+L2_counter_reg_bit[2] = DFFEAS(L2L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1L26 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~0
-J1L26 = (J1_dffe7a[2] & (J1_dffe3a[2] & (J1_dffe3a[0] $ (!J1_dffe7a[0])))) # (!J1_dffe7a[2] & (!J1_dffe3a[2] & (J1_dffe3a[0] $ (!J1_dffe7a[0]))));
+--J1_dffe6a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2]
+--register power-up is low
+
+J1_dffe6a[2] = DFFEAS(J1_dffe4a[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1_dffe8a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2]
+--J1_dffe6a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0]
 --register power-up is low
 
-J1_dffe8a[2] = DFFEAS(J1_dffe6a[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+J1_dffe6a[0] = DFFEAS(J1_dffe4a[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1_dffe8a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0]
+--J1_dffe6a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1]
 --register power-up is low
 
-J1_dffe8a[0] = DFFEAS(J1_dffe6a[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+J1_dffe6a[1] = DFFEAS(J1_dffe4a[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1_dffe4a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0]
+--L2_counter_reg_bit[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1]
 --register power-up is low
 
-J1_dffe4a[0] = DFFEAS(L2_counter_reg_bit[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+L2_counter_reg_bit[1] = DFFEAS(L2L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe4a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2]
+--J1_dffe5a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1]
 --register power-up is low
 
-J1_dffe4a[2] = DFFEAS(L2_counter_reg_bit[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+J1_dffe5a[1] = DFFEAS(J1_dffe3a[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---J1L27 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~1
-J1L27 = (J1_dffe8a[2] & (J1_dffe4a[2] & (J1_dffe8a[0] $ (!J1_dffe4a[0])))) # (!J1_dffe8a[2] & (!J1_dffe4a[2] & (J1_dffe8a[0] $ (!J1_dffe4a[0]))));
+--dummydata[3] is dummydata[3]
+--register power-up is low
+
+dummydata[3] = DFFEAS(A1L121, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe8a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1]
+--dummydata[4] is dummydata[4]
 --register power-up is low
 
-J1_dffe8a[1] = DFFEAS(J1_dffe6a[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+dummydata[4] = DFFEAS(dummydata[3], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe4a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1]
+--dummydata[1] is dummydata[1]
 --register power-up is low
 
-J1_dffe4a[1] = DFFEAS(L2_counter_reg_bit[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+dummydata[1] = DFFEAS(dummydata[0], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1_sync_dffe12a is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a
+--dummydata[2] is dummydata[2]
 --register power-up is low
 
-J1_sync_dffe12a = DFFEAS(J1L62, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+dummydata[2] = DFFEAS(dummydata[1], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1L28 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~2
-J1L28 = (!J1_sync_dffe12a & (J1_dffe8a[1] $ (!J1_dffe4a[1])));
+--B1L10 is tmdsenc:hdmitmds[0].enc|Add5~0
+B1L10 = (dummydata[3] & ((dummydata[4] & ((dummydata[1]) # (dummydata[2]))) # (!dummydata[4] & ((!dummydata[2]) # (!dummydata[1]))))) # (!dummydata[3] & ((dummydata[4] & ((!dummydata[2]) # (!dummydata[1]))) # (!dummydata[4] & (!dummydata[1] & !dummydata[2]))));
 
 
---J1_dffe7a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1]
+--dummydata[7] is dummydata[7]
 --register power-up is low
 
-J1_dffe7a[1] = DFFEAS(J1_dffe5a[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+dummydata[7] = DFFEAS(A1L126, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe3a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1]
+--dummydata[8] is dummydata[8]
 --register power-up is low
 
-J1_dffe3a[1] = DFFEAS(L2_counter_reg_bit[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+dummydata[8] = DFFEAS(dummydata[7], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1L29 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~3
-J1L29 = (J1_sync_dffe12a & (J1_dffe7a[1] $ (!J1_dffe3a[1])));
+--dummydata[5] is dummydata[5]
+--register power-up is low
 
+dummydata[5] = DFFEAS(dummydata[4], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
---J1L30 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11~4
-J1L30 = (J1L26 & ((J1L29) # ((J1L27 & J1L28)))) # (!J1L26 & (J1L27 & (J1L28)));
 
+--dummydata[6] is dummydata[6]
+--register power-up is low
 
---J1_tx_reg[7] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7]
---register power-up is low
+dummydata[6] = DFFEAS(dummydata[5], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
-J1_tx_reg[7] = DFFEAS(J1L77, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B1L1 is tmdsenc:hdmitmds[0].enc|Add2~0
+B1L1 = dummydata[7] $ (dummydata[8] $ (dummydata[5] $ (dummydata[6])));
 
---Q1_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2]
---register power-up is low
 
-Q1_shift_reg[2] = DFFEAS(Q1L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L4 is tmdsenc:hdmitmds[0].enc|Add4~2
+B1L4 = dummydata[1] $ (dummydata[2]);
 
 
---Q1L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~1
-Q1L8 = (J1_dffe11 & (J1_tx_reg[7])) # (!J1_dffe11 & ((Q1_shift_reg[2])));
+--B1L12 is tmdsenc:hdmitmds[0].enc|Add6~0
+B1L12 = (B1L1 & (dummydata[3] $ (dummydata[4] $ (B1L4))));
 
 
---B1_qreg[3] is tmdsenc:hdmitmds[0].enc|qreg[3]
---register power-up is low
+--B1L2 is tmdsenc:hdmitmds[0].enc|Add2~1
+B1L2 = (dummydata[5] & ((dummydata[6] & ((dummydata[7]) # (dummydata[8]))) # (!dummydata[6] & ((!dummydata[8]) # (!dummydata[7]))))) # (!dummydata[5] & ((dummydata[6] & ((!dummydata[8]) # (!dummydata[7]))) # (!dummydata[6] & (!dummydata[7] & !dummydata[8]))));
 
-B1_qreg[3] = DFFEAS(B1L59, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--B1L11 is tmdsenc:hdmitmds[0].enc|Add5~1
+B1L11 = (dummydata[3] & (dummydata[4] & (!dummydata[1] & !dummydata[2])));
 
---J1_tx_reg[16] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16]
---register power-up is low
 
-J1_tx_reg[16] = DFFEAS(B2_qreg[4], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L3 is tmdsenc:hdmitmds[0].enc|Add2~2
+B1L3 = (dummydata[5] & (dummydata[6] & (!dummydata[7] & !dummydata[8])));
 
 
---Q4_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2]
---register power-up is low
+--B1L13 is tmdsenc:hdmitmds[0].enc|Add6~1
+B1L13 = B1L11 $ (B1L3);
 
-Q4_shift_reg[2] = DFFEAS(Q4L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B1L14 is tmdsenc:hdmitmds[0].enc|Add6~2
+B1L14 = B1L13 $ (((B1L10 & ((B1L12) # (B1L2))) # (!B1L10 & (B1L12 & B1L2))));
 
---Q4L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~1
-Q4L8 = (J1_dffe11 & (J1_tx_reg[16])) # (!J1_dffe11 & ((Q4_shift_reg[2])));
 
+--B1L15 is tmdsenc:hdmitmds[0].enc|Add6~3
+B1L15 = dummydata[3] $ (dummydata[4] $ (B1L4 $ (B1L1)));
 
---B2_qreg[3] is tmdsenc:hdmitmds[1].enc|qreg[3]
---register power-up is low
 
-B2_qreg[3] = DFFEAS(B2L57, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+--B1L16 is tmdsenc:hdmitmds[0].enc|Add6~4
+B1L16 = B1L10 $ (B1L12 $ (B1L2));
 
 
---J1_tx_reg[17] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17]
---register power-up is low
+--B1L46 is tmdsenc:hdmitmds[0].enc|dx[8]~0
+B1L46 = (B1L14 & ((dummydata[1]) # ((B1L15) # (B1L16)))) # (!B1L14 & (dummydata[1] & ((!B1L16) # (!B1L15))));
 
-J1_tx_reg[17] = DFFEAS(B3_qreg[4], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B1L28 is tmdsenc:hdmitmds[0].enc|Equal0~0
+B1L28 = (!B1_disparity[3] & (!B1_disparity[0] & (!B1_disparity[1] & !B1_disparity[2])));
 
---Q3_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2]
---register power-up is low
 
-Q3_shift_reg[2] = DFFEAS(Q3L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L29 is tmdsenc:hdmitmds[0].enc|always1~0
+B1L29 = (B1L28) # ((B1L14 & (!B1L15 & !B1L16)));
 
 
---Q3L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~1
-Q3L8 = (J1_dffe11 & (J1_tx_reg[17])) # (!J1_dffe11 & ((Q3_shift_reg[2])));
+--B1L5 is tmdsenc:hdmitmds[0].enc|Add4~3
+B1L5 = dummydata[3] $ (dummydata[4] $ (dummydata[1] $ (dummydata[2])));
 
 
---J1_tx_reg[26] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26]
---register power-up is low
+--B1L6 is tmdsenc:hdmitmds[0].enc|Add4~4
+B1L6 = dummydata[7] $ (dummydata[5] $ (dummydata[6] $ (!B1L5)));
 
-J1_tx_reg[26] = DFFEAS(B3_qreg[1], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B1L7 is tmdsenc:hdmitmds[0].enc|Add4~5
+B1L7 = B1L14 $ (B1_disparity[3]);
 
---Q6_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2]
---register power-up is low
 
-Q6_shift_reg[2] = DFFEAS(Q6L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L59 is tmdsenc:hdmitmds[0].enc|qreg~0
+B1L59 = B1L6 $ (((B1L29 & (!B1L46)) # (!B1L29 & ((B1L7)))));
 
 
---Q6L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~1
-Q6L8 = (J1_dffe11 & (J1_tx_reg[26])) # (!J1_dffe11 & ((Q6_shift_reg[2])));
+--B2_qreg[7] is tmdsenc:hdmitmds[1].enc|qreg[7]
+--register power-up is low
 
+B2_qreg[7] = DFFEAS(B2L59, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
---J1_tx_reg[27] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27]
+
+--J1_tx_reg[5] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5]
 --register power-up is low
 
-J1_tx_reg[27] = DFFEAS(B1_qreg[0], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+J1_tx_reg[5] = DFFEAS(B3_qreg[8], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---Q5_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2]
+--Q1_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3]
 --register power-up is low
 
-Q5_shift_reg[2] = DFFEAS(Q5L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
-
+Q1_shift_reg[3] = DFFEAS(Q1L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---Q5L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~1
-Q5L8 = (J1_dffe11 & (J1_tx_reg[27])) # (!J1_dffe11 & ((Q5_shift_reg[2])));
 
+--Q1L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~2
+Q1L9 = (J1_dffe11 & (J1_tx_reg[5])) # (!J1_dffe11 & ((Q1_shift_reg[3])));
 
---J1_dffe18a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2]
---register power-up is low
 
-J1_dffe18a[2] = DFFEAS(J1_dffe16a[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+--B1L60 is tmdsenc:hdmitmds[0].enc|qreg~1
+B1L60 = (B1L5 $ (((B1L29) # (B1L9)))) # (!B1_denreg);
 
 
---J1_dffe14a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0]
+--J1_tx_reg[14] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]
 --register power-up is low
 
-J1_dffe14a[0] = DFFEAS(L1_counter_reg_bit[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+J1_tx_reg[14] = DFFEAS(J1L88, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe18a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0]
+--Q4_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3]
 --register power-up is low
 
-J1_dffe18a[0] = DFFEAS(J1_dffe16a[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+Q4_shift_reg[3] = DFFEAS(Q4L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe14a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2]
---register power-up is low
+--Q4L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~2
+Q4L9 = (J1_dffe11 & (J1_tx_reg[14])) # (!J1_dffe11 & ((Q4_shift_reg[3])));
 
-J1_dffe14a[2] = DFFEAS(L1_counter_reg_bit[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
+--dummydata[11] is dummydata[11]
+--register power-up is low
 
---J1L44 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22~0
-J1L44 = (J1_dffe18a[2] & (J1_dffe14a[2] & (J1_dffe14a[0] $ (!J1_dffe18a[0])))) # (!J1_dffe18a[2] & (!J1_dffe14a[2] & (J1_dffe14a[0] $ (!J1_dffe18a[0]))));
+dummydata[11] = DFFEAS(A1L132, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe18a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1]
+--dummydata[12] is dummydata[12]
 --register power-up is low
 
-J1_dffe18a[1] = DFFEAS(J1_dffe16a[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+dummydata[12] = DFFEAS(dummydata[11], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe14a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1]
+--dummydata[9] is dummydata[9]
 --register power-up is low
 
-J1_dffe14a[1] = DFFEAS(L1_counter_reg_bit[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+dummydata[9] = DFFEAS(dummydata[8], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---J1L45 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22~1
-J1L45 = (J1_sync_dffe12a & (J1L44 & (J1_dffe18a[1] $ (!J1_dffe14a[1]))));
+--dummydata[10] is dummydata[10]
+--register power-up is low
 
+dummydata[10] = DFFEAS(A1L130, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
---N2_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2]
---register power-up is low
 
-N2_shift_reg[2] = DFFEAS(N2L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B2L4 is tmdsenc:hdmitmds[1].enc|Add4~2
+B2L4 = dummydata[11] $ (dummydata[12] $ (dummydata[9] $ (!dummydata[10])));
 
 
---N2L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~1
-N2L9 = (J1_dffe22) # (N2_shift_reg[2]);
+--B2L26 is tmdsenc:hdmitmds[1].enc|Equal0~0
+B2L26 = (!B2_disparity[3] & (!B2_disparity[0] & (!B2_disparity[1] & !B2_disparity[2])));
 
 
---N1_shift_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2]
+--B2L10 is tmdsenc:hdmitmds[1].enc|Add5~0
+B2L10 = (dummydata[10] & ((dummydata[11] & ((!dummydata[9]) # (!dummydata[12]))) # (!dummydata[11] & ((dummydata[12]) # (dummydata[9]))))) # (!dummydata[10] & ((dummydata[11] & (!dummydata[12] & !dummydata[9])) # (!dummydata[11] & ((!dummydata[9]) # (!dummydata[12])))));
+
+
+--dummydata[15] is dummydata[15]
 --register power-up is low
 
-N1_shift_reg[2] = DFFEAS(N1L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+dummydata[15] = DFFEAS(dummydata[14], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---N1L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~1
-N1L10 = (J1_dffe22) # (N1_shift_reg[2]);
+--dummydata[16] is dummydata[16]
+--register power-up is low
 
+dummydata[16] = DFFEAS(A1L138, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
---F1_dram_q[8] is sdram:sdram|dram_q[8]
+
+--dummydata[13] is dummydata[13]
 --register power-up is low
 
-F1_dram_q[8] = DFFEAS(sr_dq[8], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+dummydata[13] = DFFEAS(dummydata[12], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_q[0] is sdram:sdram|dram_q[0]
+--dummydata[14] is dummydata[14]
 --register power-up is low
 
-F1_dram_q[0] = DFFEAS(sr_dq[0], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+dummydata[14] = DFFEAS(dummydata[13], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
 
---F1L146 is sdram:sdram|rd0[0]~0
-F1L146 = (abc_a[0] & (F1_dram_q[8])) # (!abc_a[0] & ((F1_dram_q[0])));
+--B2L1 is tmdsenc:hdmitmds[1].enc|Add2~0
+B2L1 = dummydata[15] $ (dummydata[16] $ (dummydata[13] $ (!dummydata[14])));
 
 
---A1L67 is abc_do[0]~0
-A1L67 = (rst_n & F1_rack0_q);
+--B2L5 is tmdsenc:hdmitmds[1].enc|Add4~3
+B2L5 = dummydata[9] $ (!dummydata[10]);
 
 
---F1_dram_q[9] is sdram:sdram|dram_q[9]
---register power-up is low
+--B2L12 is tmdsenc:hdmitmds[1].enc|Add6~0
+B2L12 = (B2L1 & (dummydata[11] $ (dummydata[12] $ (B2L5))));
 
-F1_dram_q[9] = DFFEAS(sr_dq[9], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
 
+--B2L2 is tmdsenc:hdmitmds[1].enc|Add2~1
+B2L2 = (dummydata[16] & ((dummydata[15] & ((!dummydata[14]) # (!dummydata[13]))) # (!dummydata[15] & ((dummydata[13]) # (dummydata[14]))))) # (!dummydata[16] & ((dummydata[15] & (!dummydata[13] & !dummydata[14])) # (!dummydata[15] & ((!dummydata[14]) # (!dummydata[13])))));
 
---F1_dram_q[1] is sdram:sdram|dram_q[1]
---register power-up is low
 
-F1_dram_q[1] = DFFEAS(sr_dq[1], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+--B2L11 is tmdsenc:hdmitmds[1].enc|Add5~1
+B2L11 = (dummydata[10] & (!dummydata[11] & (!dummydata[12] & !dummydata[9])));
 
 
---F1L147 is sdram:sdram|rd0[1]~1
-F1L147 = (abc_a[0] & (F1_dram_q[9])) # (!abc_a[0] & ((F1_dram_q[1])));
+--B2L3 is tmdsenc:hdmitmds[1].enc|Add2~2
+B2L3 = (dummydata[16] & (!dummydata[15] & (!dummydata[13] & !dummydata[14])));
 
 
---F1_dram_q[10] is sdram:sdram|dram_q[10]
---register power-up is low
+--B2L13 is tmdsenc:hdmitmds[1].enc|Add6~1
+B2L13 = B2L11 $ (B2L3);
 
-F1_dram_q[10] = DFFEAS(sr_dq[10], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
 
+--B2L14 is tmdsenc:hdmitmds[1].enc|Add6~2
+B2L14 = B2L13 $ (((B2L10 & ((B2L12) # (B2L2))) # (!B2L10 & (B2L12 & B2L2))));
 
---F1_dram_q[2] is sdram:sdram|dram_q[2]
---register power-up is low
 
-F1_dram_q[2] = DFFEAS(sr_dq[2], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+--B2L15 is tmdsenc:hdmitmds[1].enc|Add6~3
+B2L15 = dummydata[11] $ (dummydata[12] $ (B2L5 $ (B2L1)));
 
 
---F1L148 is sdram:sdram|rd0[2]~2
-F1L148 = (abc_a[0] & (F1_dram_q[10])) # (!abc_a[0] & ((F1_dram_q[2])));
+--B2L16 is tmdsenc:hdmitmds[1].enc|Add6~4
+B2L16 = B2L10 $ (B2L12 $ (B2L2));
 
 
---F1_dram_q[11] is sdram:sdram|dram_q[11]
---register power-up is low
+--B2L27 is tmdsenc:hdmitmds[1].enc|always1~0
+B2L27 = (B2L26) # ((B2L14 & (!B2L15 & !B2L16)));
 
-F1_dram_q[11] = DFFEAS(sr_dq[11], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
 
+--B2L43 is tmdsenc:hdmitmds[1].enc|dx[8]~0
+B2L43 = (B2L14 & ((dummydata[9]) # ((B2L15) # (B2L16)))) # (!B2L14 & (dummydata[9] & ((!B2L16) # (!B2L15))));
 
---F1_dram_q[3] is sdram:sdram|dram_q[3]
---register power-up is low
 
-F1_dram_q[3] = DFFEAS(sr_dq[3], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+--B2L6 is tmdsenc:hdmitmds[1].enc|Add4~4
+B2L6 = B2L14 $ (B2_disparity[3]);
 
 
---F1L149 is sdram:sdram|rd0[3]~3
-F1L149 = (abc_a[0] & (F1_dram_q[11])) # (!abc_a[0] & ((F1_dram_q[3])));
+--B2L56 is tmdsenc:hdmitmds[1].enc|qreg~0
+B2L56 = (B2L4 $ (((B2L27) # (B2L9)))) # (!B1_denreg);
 
 
---F1_dram_q[12] is sdram:sdram|dram_q[12]
+--J1_tx_reg[15] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15]
 --register power-up is low
 
-F1_dram_q[12] = DFFEAS(sr_dq[12], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+J1_tx_reg[15] = DFFEAS(B1_qreg[4], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_q[4] is sdram:sdram|dram_q[4]
+--Q3_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3]
 --register power-up is low
 
-F1_dram_q[4] = DFFEAS(sr_dq[4], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+Q3_shift_reg[3] = DFFEAS(Q3L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+
+
+--Q3L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~2
+Q3L9 = (J1_dffe11 & (J1_tx_reg[15])) # (!J1_dffe11 & ((Q3_shift_reg[3])));
 
 
---F1L150 is sdram:sdram|rd0[4]~4
-F1L150 = (abc_a[0] & (F1_dram_q[12])) # (!abc_a[0] & ((F1_dram_q[4])));
+--B2L57 is tmdsenc:hdmitmds[1].enc|qreg~1
+B2L57 = dummydata[9] $ (((B2L27 & ((B2L43))) # (!B2L27 & (!B2L6))));
 
 
---F1_dram_q[13] is sdram:sdram|dram_q[13]
+--J1_tx_reg[24] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24]
 --register power-up is low
 
-F1_dram_q[13] = DFFEAS(sr_dq[13], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+J1_tx_reg[24] = DFFEAS(B1_qreg[1], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_q[5] is sdram:sdram|dram_q[5]
+--Q6_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3]
 --register power-up is low
 
-F1_dram_q[5] = DFFEAS(sr_dq[5], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+Q6_shift_reg[3] = DFFEAS(Q6L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L151 is sdram:sdram|rd0[5]~5
-F1L151 = (abc_a[0] & (F1_dram_q[13])) # (!abc_a[0] & ((F1_dram_q[5])));
+--Q6L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~2
+Q6L9 = (J1_dffe11 & (J1_tx_reg[24])) # (!J1_dffe11 & ((Q6_shift_reg[3])));
 
 
---F1_dram_q[14] is sdram:sdram|dram_q[14]
+--B3L59 is tmdsenc:hdmitmds[2].enc|qreg~2
+B3L59 = dummydata[17] $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
+
+
+--J1_tx_reg[25] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25]
 --register power-up is low
 
-F1_dram_q[14] = DFFEAS(sr_dq[14], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+J1_tx_reg[25] = DFFEAS(B2_qreg[1], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1_dram_q[6] is sdram:sdram|dram_q[6]
+--Q5_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3]
 --register power-up is low
 
-F1_dram_q[6] = DFFEAS(sr_dq[6], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+Q5_shift_reg[3] = DFFEAS(Q5L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L152 is sdram:sdram|rd0[6]~6
-F1L152 = (abc_a[0] & (F1_dram_q[14])) # (!abc_a[0] & ((F1_dram_q[6])));
+--Q5L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~2
+Q5L9 = (J1_dffe11 & (J1_tx_reg[25])) # (!J1_dffe11 & ((Q5_shift_reg[3])));
 
 
---F1_dram_q[15] is sdram:sdram|dram_q[15]
+--J1_dffe16a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2]
 --register power-up is low
 
-F1_dram_q[15] = DFFEAS(sr_dq[15], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+J1_dffe16a[2] = DFFEAS(J1_dffe14a[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1_dram_q[7] is sdram:sdram|dram_q[7]
+--L1_counter_reg_bit[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0]
 --register power-up is low
 
-F1_dram_q[7] = DFFEAS(sr_dq[7], T1_wire_pll1_clk[0],  ,  , F1L95,  ,  ,  ,  );
+L1_counter_reg_bit[0] = DFFEAS(L1L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+
 
+--J1_dffe16a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0]
+--register power-up is low
 
---F1L153 is sdram:sdram|rd0[7]~7
-F1L153 = (abc_a[0] & (F1_dram_q[15])) # (!abc_a[0] & ((F1_dram_q[7])));
+J1_dffe16a[0] = DFFEAS(J1_dffe14a[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1L84 is sdram:sdram|dram_d~1
-F1L84 = (abc_d[0] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
+--L1_counter_reg_bit[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]
+--register power-up is low
 
+L1_counter_reg_bit[2] = DFFEAS(L1L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L77 is sdram:sdram|dram_d_en~1
-F1L77 = (F1_WideOr0 & (((F1_dram_d_en)))) # (!F1_WideOr0 & (((F1_state.st_p0_rd_data)) # (!F1L76)));
 
+--J1_dffe16a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1]
+--register power-up is low
 
---F1L85 is sdram:sdram|dram_d~2
-F1L85 = (abc_d[1] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
+J1_dffe16a[1] = DFFEAS(J1_dffe14a[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
 
---F1L86 is sdram:sdram|dram_d~3
-F1L86 = (abc_d[2] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
+--L1_counter_reg_bit[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1]
+--register power-up is low
 
+L1_counter_reg_bit[1] = DFFEAS(L1L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L87 is sdram:sdram|dram_d~4
-F1L87 = (abc_d[3] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
 
+--N2_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3]
+--register power-up is low
 
---F1L88 is sdram:sdram|dram_d~5
-F1L88 = (abc_d[4] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
+N2_shift_reg[3] = DFFEAS(N2L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---F1L89 is sdram:sdram|dram_d~6
-F1L89 = (abc_d[5] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
+--N2L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~2
+N2L10 = (N2_shift_reg[3] & !J1_dffe22);
 
 
---F1L90 is sdram:sdram|dram_d~7
-F1L90 = (abc_d[6] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
+--N1_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3]
+--register power-up is low
 
+N1_shift_reg[3] = DFFEAS(N1L12, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---F1L91 is sdram:sdram|dram_d~8
-F1L91 = (abc_d[7] & (F1_state.st_p0_wr_cmd & !F1_WideOr0));
 
+--N1L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~2
+N1L11 = (J1_dffe22) # (N1_shift_reg[3]);
 
---B1_denreg is tmdsenc:hdmitmds[0].enc|denreg
---register power-up is low
 
-B1_denreg = DFFEAS(VCC, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+--F1L108 is sdram:sdram|dram_q[0]~0
+F1L108 = (rst_n & (F1_state.st_p0_rd & (F1_op_cycle[1] & F1L4)));
 
 
---dummydata[0] is dummydata[0]
---register power-up is low
+--B3L17 is tmdsenc:hdmitmds[2].enc|Add8~6
+B3L17 = (B3L15 & (!B3L16)) # (!B3L15 & ((dummydata[17])));
 
-dummydata[0] = DFFEAS(dummydata[23], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B3L18 is tmdsenc:hdmitmds[2].enc|Add8~7
+B3L18 = (B3L17 & (B3L14)) # (!B3L17 & (B3L16 & ((B3L14) # (!B3L27))));
 
---dummydata[23] is dummydata[23]
---register power-up is low
 
-dummydata[23] = DFFEAS(dummydata[22], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+--B3L19 is tmdsenc:hdmitmds[2].enc|Add8~8
+B3L19 = (B3L14 & ((B3L28 & ((!B3L24))) # (!B3L28 & (B3L18 & B3L24)))) # (!B3L14 & ((B3L18) # ((B3L24))));
 
 
---dummydata[21] is dummydata[21]
---register power-up is low
+--B3L20 is tmdsenc:hdmitmds[2].enc|Add8~9
+B3L20 = B3L14 $ (((B3L28 & (B3L44)) # (!B3L28 & ((!B3L25)))));
 
-dummydata[21] = DFFEAS(dummydata[20], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B3L21 is tmdsenc:hdmitmds[2].enc|Add8~10
+B3L21 = (B3L28) # ((!B3L15 & (B3L14 $ (B3_disparity[3]))));
 
---dummydata[22] is dummydata[22]
---register power-up is low
 
-dummydata[22] = DFFEAS(A1L147, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+--B3L22 is tmdsenc:hdmitmds[2].enc|Add8~11
+B3L22 = B3L16 $ (((B3L44 & ((!B3L21))) # (!B3L44 & ((B3L15) # (B3L21)))));
 
 
---dummydata[19] is dummydata[19]
---register power-up is low
+--B3L23 is tmdsenc:hdmitmds[2].enc|Add8~12
+B3L23 = (B3L15 & ((B3L14) # ((!dummydata[17] & !B3L16)))) # (!B3L15 & (dummydata[17] & ((!B3L16) # (!B3L14))));
 
-dummydata[19] = DFFEAS(A1L142, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B1L61 is tmdsenc:hdmitmds[0].enc|qreg~2
+B1L61 = B1L6 $ (((!B1L29 & (B1L46 $ (!B1L7)))));
 
---dummydata[20] is dummydata[20]
---register power-up is low
 
-dummydata[20] = DFFEAS(A1L144, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+--B1L62 is tmdsenc:hdmitmds[0].enc|qreg~3
+B1L62 = (dummydata[8] $ (B1L61)) # (!B1_denreg);
 
 
---dummydata[17] is dummydata[17]
+--B2_qreg[8] is tmdsenc:hdmitmds[1].enc|qreg[8]
 --register power-up is low
 
-dummydata[17] = DFFEAS(dummydata[16], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+B2_qreg[8] = DFFEAS(B2L61, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---dummydata[18] is dummydata[18]
+--J1_tx_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2]
 --register power-up is low
 
-dummydata[18] = DFFEAS(dummydata[17], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
-
-
---B3L4 is tmdsenc:hdmitmds[2].enc|Add4~2
-B3L4 = dummydata[19] $ (dummydata[20] $ (dummydata[17] $ (!dummydata[18])));
-
+J1_tx_reg[2] = DFFEAS(J1L70, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---B3L5 is tmdsenc:hdmitmds[2].enc|Add4~3
-B3L5 = dummydata[23] $ (dummydata[21] $ (dummydata[22] $ (B3L4)));
 
+--Q2_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4]
+--register power-up is low
 
---B3L27 is tmdsenc:hdmitmds[2].enc|Equal0~0
-B3L27 = (!B3_disparity[3] & (!B3_disparity[0] & (!B3_disparity[1] & !B3_disparity[2])));
+Q2_shift_reg[4] = DFFEAS(Q2L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---B3L1 is tmdsenc:hdmitmds[2].enc|Add2~0
-B3L1 = dummydata[0] $ (dummydata[23] $ (dummydata[21] $ (!dummydata[22])));
+--Q2L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~3
+Q2L10 = (J1_dffe11 & (J1_tx_reg[2])) # (!J1_dffe11 & ((Q2_shift_reg[4])));
 
 
---B3L6 is tmdsenc:hdmitmds[2].enc|Add4~4
-B3L6 = dummydata[17] $ (dummydata[18]);
+--L2L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|cout_actual~0
+L2L11 = (J1_sync_dffe12a & (L2_counter_reg_bit[2] & (!L2_counter_reg_bit[0] & !L2_counter_reg_bit[1])));
 
 
---B3L12 is tmdsenc:hdmitmds[2].enc|Add6~0
-B3L12 = (B3L1 & (dummydata[19] $ (dummydata[20] $ (!B3L6))));
+--L2L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit~0
+L2L8 = (!L2_counter_reg_bit[0] & (!L2L24 & !L2L11));
 
 
---B3L10 is tmdsenc:hdmitmds[2].enc|Add5~0
-B3L10 = (dummydata[19] & ((dummydata[20] & ((dummydata[17]) # (dummydata[18]))) # (!dummydata[20] & (dummydata[17] & dummydata[18])))) # (!dummydata[19] & ((dummydata[20] & ((!dummydata[18]) # (!dummydata[17]))) # (!dummydata[20] & ((dummydata[17]) # (dummydata[18])))));
+--L2L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit~1
+L2L9 = (L2L24 & (((!J1_sync_dffe12a)))) # (!L2L24 & (L2_wire_counter_comb_bita_2combout[0] & (!L2L11)));
 
 
---B3L2 is tmdsenc:hdmitmds[2].enc|Add2~1
-B3L2 = (dummydata[21] & ((dummydata[0] & ((!dummydata[22]) # (!dummydata[23]))) # (!dummydata[0] & ((dummydata[23]) # (dummydata[22]))))) # (!dummydata[21] & ((dummydata[0] & (!dummydata[23] & !dummydata[22])) # (!dummydata[0] & ((!dummydata[22]) # (!dummydata[23])))));
+--L2L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit~2
+L2L10 = (L2_wire_counter_comb_bita_1combout[0] & (!L2L24 & !L2L11));
 
 
---B3L11 is tmdsenc:hdmitmds[2].enc|Add5~1
-B3L11 = (dummydata[20] & (dummydata[17] & (dummydata[18] & !dummydata[19])));
+--B1L17 is tmdsenc:hdmitmds[0].enc|Add8~6
+B1L17 = (B1L15 & (B1L16)) # (!B1L15 & ((dummydata[1])));
 
 
---B3L3 is tmdsenc:hdmitmds[2].enc|Add2~2
-B3L3 = (dummydata[21] & (!dummydata[0] & (!dummydata[23] & !dummydata[22])));
+--B1L18 is tmdsenc:hdmitmds[0].enc|Add8~7
+B1L18 = (B1L17 & ((B1L14 & ((!B1L16))) # (!B1L14 & (!B1L28 & B1L16))));
 
 
---B3L13 is tmdsenc:hdmitmds[2].enc|Add6~1
-B3L13 = B3L11 $ (B3L3);
+--B1L19 is tmdsenc:hdmitmds[0].enc|Add8~8
+B1L19 = (B1L14 & ((B1L29 & ((!B1L25))) # (!B1L29 & (!B1L18 & B1L25)))) # (!B1L14 & ((B1L18) # ((B1L25))));
 
 
---B3L14 is tmdsenc:hdmitmds[2].enc|Add6~2
-B3L14 = B3L13 $ (((B3L12 & ((B3L10) # (B3L2))) # (!B3L12 & (B3L10 & B3L2))));
+--B1L20 is tmdsenc:hdmitmds[0].enc|Add8~9
+B1L20 = B1L14 $ (((B1L29 & (B1L46)) # (!B1L29 & ((!B1L26)))));
 
 
---B3L15 is tmdsenc:hdmitmds[2].enc|Add6~3
-B3L15 = dummydata[19] $ (dummydata[20] $ (B3L6 $ (!B3L1)));
+--B1L21 is tmdsenc:hdmitmds[0].enc|Add8~10
+B1L21 = (B1L29) # ((!B1L15 & (B1L14 $ (B1_disparity[3]))));
 
 
---B3L16 is tmdsenc:hdmitmds[2].enc|Add6~4
-B3L16 = B3L12 $ (B3L10 $ (B3L2));
+--B1L22 is tmdsenc:hdmitmds[0].enc|Add8~11
+B1L22 = B1L16 $ (((B1L46 & ((!B1L21))) # (!B1L46 & ((B1L15) # (B1L21)))));
 
 
---B3L28 is tmdsenc:hdmitmds[2].enc|always1~0
-B3L28 = (B3L27) # ((B3L14 & (!B3L15 & !B3L16)));
+--B1L23 is tmdsenc:hdmitmds[0].enc|Add8~12
+B1L23 = (B1L29 & (!dummydata[1] & ((!B1L14) # (!B1L16))));
 
 
---B3L44 is tmdsenc:hdmitmds[2].enc|dx[8]~0
-B3L44 = (B3L14 & ((B3L15) # ((B3L16) # (!dummydata[17])))) # (!B3L14 & (!dummydata[17] & ((!B3L16) # (!B3L15))));
+--B1L24 is tmdsenc:hdmitmds[0].enc|Add8~13
+B1L24 = (B1L15 & (B1L46)) # (!B1L15 & ((B1L23) # ((!B1L46 & !B1L29))));
 
 
---B3L7 is tmdsenc:hdmitmds[2].enc|Add4~5
-B3L7 = B3L14 $ (B3_disparity[3]);
+--B2L7 is tmdsenc:hdmitmds[1].enc|Add4~5
+B2L7 = dummydata[15] $ (dummydata[13] $ (dummydata[14] $ (!B2L4)));
 
 
---B3L57 is tmdsenc:hdmitmds[2].enc|qreg~0
-B3L57 = B3L5 $ (((!B3L28 & (B3L44 $ (!B3L7)))));
+--B2L58 is tmdsenc:hdmitmds[1].enc|qreg~2
+B2L58 = B2L7 $ (((!B2L27 & (B2L43 $ (!B2L6)))));
 
 
---B3L58 is tmdsenc:hdmitmds[2].enc|qreg~1
-B3L58 = (dummydata[0] $ (B3L57)) # (!B1_denreg);
+--B2L59 is tmdsenc:hdmitmds[1].enc|qreg~3
+B2L59 = (dummydata[16] $ (!B2L58)) # (!B1_denreg);
 
 
---vid_rst_n is vid_rst_n
+--B3_qreg[8] is tmdsenc:hdmitmds[2].enc|qreg[8]
 --register power-up is low
 
-vid_rst_n = DFFEAS(rst_n, T1_wire_pll1_clk[2], !A1L25,  ,  ,  ,  ,  ,  );
+B3_qreg[8] = DFFEAS(B3L62, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---B1_qreg[7] is tmdsenc:hdmitmds[0].enc|qreg[7]
+--J1_tx_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3]
 --register power-up is low
 
-B1_qreg[7] = DFFEAS(B1L61, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+J1_tx_reg[3] = DFFEAS(B1_qreg[8], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_tx_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4]
+--Q1_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4]
 --register power-up is low
 
-J1_tx_reg[4] = DFFEAS(B2_qreg[8], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+Q1_shift_reg[4] = DFFEAS(Q1L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---Q2_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3]
---register power-up is low
+--Q1L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~3
+Q1L10 = (J1_dffe11 & (J1_tx_reg[3])) # (!J1_dffe11 & ((Q1_shift_reg[4])));
 
-Q2_shift_reg[3] = DFFEAS(Q2L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B2L44 is tmdsenc:hdmitmds[1].enc|dx~1
+B2L44 = dummydata[13] $ (!B2L4);
 
---Q2L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~2
-Q2L9 = (J1_dffe11 & (J1_tx_reg[4])) # (!J1_dffe11 & ((Q2_shift_reg[3])));
 
+--B2L60 is tmdsenc:hdmitmds[1].enc|qreg~4
+B2L60 = B2L44 $ (((B2L27 & (!B2L43)) # (!B2L27 & ((B2L6)))));
 
---J1_dffe5a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2]
+
+--B3_qreg[5] is tmdsenc:hdmitmds[2].enc|qreg[5]
 --register power-up is low
 
-J1_dffe5a[2] = DFFEAS(J1_dffe3a[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+B3_qreg[5] = DFFEAS(B3L64, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---L2_counter_reg_bit[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0]
+--J1_tx_reg[12] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]
 --register power-up is low
 
-L2_counter_reg_bit[0] = DFFEAS(L2L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+J1_tx_reg[12] = DFFEAS(J1L84, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_dffe5a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0]
+--Q4_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4]
 --register power-up is low
 
-J1_dffe5a[0] = DFFEAS(J1_dffe3a[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+Q4_shift_reg[4] = DFFEAS(Q4L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---L2_counter_reg_bit[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]
---register power-up is low
+--Q4L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~3
+Q4L10 = (J1_dffe11 & (J1_tx_reg[12])) # (!J1_dffe11 & ((Q4_shift_reg[4])));
 
-L2_counter_reg_bit[2] = DFFEAS(L2L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B2L17 is tmdsenc:hdmitmds[1].enc|Add8~0
+B2L17 = B2L14 $ (((B2L27 & ((B2L43))) # (!B2L27 & (B2_disparity[3]))));
 
---J1_dffe6a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2]
---register power-up is low
 
-J1_dffe6a[2] = DFFEAS(J1_dffe4a[2], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+--B2L18 is tmdsenc:hdmitmds[1].enc|Add8~1
+B2L18 = (B2L16 & ((dummydata[9]) # ((B2L15) # (B2L14))));
 
 
---J1_dffe6a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0]
---register power-up is low
+--B2L19 is tmdsenc:hdmitmds[1].enc|Add8~2
+B2L19 = (B2L17) # ((B2L18 & (!B2L14 & !B2L26)));
 
-J1_dffe6a[0] = DFFEAS(J1_dffe4a[0], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
 
+--B2L20 is tmdsenc:hdmitmds[1].enc|Add8~3
+B2L20 = (B2L27 & (((!B2L43)))) # (!B2L27 & (B2L14 $ ((B2_disparity[3]))));
 
---J1_dffe6a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1]
---register power-up is low
 
-J1_dffe6a[1] = DFFEAS(J1_dffe4a[1], J1_fast_clock,  ,  , !J1_sync_dffe12a,  ,  ,  ,  );
+--B2L21 is tmdsenc:hdmitmds[1].enc|Add8~4
+B2L21 = (B2L43 & ((B2L26) # ((!B2L15 & !B2L16))));
 
 
---L2_counter_reg_bit[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1]
---register power-up is low
+--B2L22 is tmdsenc:hdmitmds[1].enc|Add8~5
+B2L22 = B2L14 $ (((B2L21) # ((!B2L18 & !B2L20))));
 
-L2_counter_reg_bit[1] = DFFEAS(L2L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B2L23 is tmdsenc:hdmitmds[1].enc|Add8~6
+B2L23 = (B2L27) # ((!B2L15 & (B2L14 $ (B2_disparity[3]))));
 
---J1_dffe5a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1]
---register power-up is low
 
-J1_dffe5a[1] = DFFEAS(J1_dffe3a[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
+--B2L24 is tmdsenc:hdmitmds[1].enc|Add8~7
+B2L24 = B2L16 $ (((B2L43 & ((!B2L23))) # (!B2L43 & ((B2L15) # (B2L23)))));
 
 
---dummydata[7] is dummydata[7]
---register power-up is low
+--B2L25 is tmdsenc:hdmitmds[1].enc|Add8~8
+B2L25 = (B2L15 & ((B2L14) # ((dummydata[9] & !B2L16)))) # (!B2L15 & (!dummydata[9] & ((!B2L16) # (!B2L14))));
 
-dummydata[7] = DFFEAS(A1L126, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B3L45 is tmdsenc:hdmitmds[2].enc|dx~1
+B3L45 = dummydata[21] $ (B3L4);
 
---dummydata[8] is dummydata[8]
---register power-up is low
 
-dummydata[8] = DFFEAS(dummydata[7], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+--B3L60 is tmdsenc:hdmitmds[2].enc|qreg~3
+B3L60 = B3L45 $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
 
 
---dummydata[5] is dummydata[5]
+--J1_tx_reg[13] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]
 --register power-up is low
 
-dummydata[5] = DFFEAS(dummydata[4], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+J1_tx_reg[13] = DFFEAS(J1L86, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---dummydata[6] is dummydata[6]
+--Q3_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4]
 --register power-up is low
 
-dummydata[6] = DFFEAS(dummydata[5], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+Q3_shift_reg[4] = DFFEAS(Q3L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---B1L1 is tmdsenc:hdmitmds[0].enc|Add2~0
-B1L1 = dummydata[7] $ (dummydata[8] $ (dummydata[5] $ (dummydata[6])));
+--Q3L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~3
+Q3L10 = (J1_dffe11 & (J1_tx_reg[13])) # (!J1_dffe11 & ((Q3_shift_reg[4])));
 
 
---dummydata[3] is dummydata[3]
+--B3L61 is tmdsenc:hdmitmds[2].enc|qreg~4
+B3L61 = B3L6 $ (((!B3L28 & (B3L44 $ (!B3L7)))));
+
+
+--J1_tx_reg[22] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22]
 --register power-up is low
 
-dummydata[3] = DFFEAS(A1L121, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+J1_tx_reg[22] = DFFEAS(B2_qreg[2], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---dummydata[4] is dummydata[4]
+--Q6_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4]
 --register power-up is low
 
-dummydata[4] = DFFEAS(dummydata[3], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+Q6_shift_reg[4] = DFFEAS(Q6L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---dummydata[1] is dummydata[1]
---register power-up is low
+--Q6L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~3
+Q6L10 = (J1_dffe11 & (J1_tx_reg[22])) # (!J1_dffe11 & ((Q6_shift_reg[4])));
 
-dummydata[1] = DFFEAS(dummydata[0], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B1L63 is tmdsenc:hdmitmds[0].enc|qreg~4
+B1L63 = dummydata[1] $ (((B1L29 & ((B1L46))) # (!B1L29 & (!B1L7))));
 
---dummydata[2] is dummydata[2]
+
+--J1_tx_reg[23] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23]
 --register power-up is low
 
-dummydata[2] = DFFEAS(dummydata[1], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+J1_tx_reg[23] = DFFEAS(B3_qreg[2], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---B1L4 is tmdsenc:hdmitmds[0].enc|Add4~2
-B1L4 = dummydata[1] $ (dummydata[2]);
+--Q5_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4]
+--register power-up is low
 
+Q5_shift_reg[4] = DFFEAS(Q5L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---B1L12 is tmdsenc:hdmitmds[0].enc|Add6~0
-B1L12 = (B1L1 & (dummydata[3] $ (dummydata[4] $ (B1L4))));
 
+--Q5L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~3
+Q5L10 = (J1_dffe11 & (J1_tx_reg[23])) # (!J1_dffe11 & ((Q5_shift_reg[4])));
 
---B1L10 is tmdsenc:hdmitmds[0].enc|Add5~0
-B1L10 = (dummydata[3] & ((dummydata[4] & ((dummydata[1]) # (dummydata[2]))) # (!dummydata[4] & ((!dummydata[2]) # (!dummydata[1]))))) # (!dummydata[3] & ((dummydata[4] & ((!dummydata[2]) # (!dummydata[1]))) # (!dummydata[4] & (!dummydata[1] & !dummydata[2]))));
 
+--L1L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|cout_actual~0
+L1L11 = (J1_sync_dffe12a & (L1_counter_reg_bit[2] & (!L1_counter_reg_bit[0] & !L1_counter_reg_bit[1])));
 
---B1L2 is tmdsenc:hdmitmds[0].enc|Add2~1
-B1L2 = (dummydata[5] & ((dummydata[6] & ((dummydata[7]) # (dummydata[8]))) # (!dummydata[6] & ((!dummydata[8]) # (!dummydata[7]))))) # (!dummydata[5] & ((dummydata[6] & ((!dummydata[8]) # (!dummydata[7]))) # (!dummydata[6] & (!dummydata[7] & !dummydata[8]))));
 
+--L1L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit~0
+L1L8 = (!L1_counter_reg_bit[0] & (!L1L24 & !L1L11));
 
---B1L11 is tmdsenc:hdmitmds[0].enc|Add5~1
-B1L11 = (dummydata[3] & (dummydata[4] & (!dummydata[1] & !dummydata[2])));
+
+--L1L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit~1
+L1L9 = (L1L24 & (((!J1_sync_dffe12a)))) # (!L1L24 & (L1_wire_counter_comb_bita_2combout[0] & (!L1L11)));
 
 
---B1L3 is tmdsenc:hdmitmds[0].enc|Add2~2
-B1L3 = (dummydata[5] & (dummydata[6] & (!dummydata[7] & !dummydata[8])));
+--L1L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit~2
+L1L10 = (L1_wire_counter_comb_bita_1combout[0] & (!L1L24 & !L1L11));
 
 
---B1L13 is tmdsenc:hdmitmds[0].enc|Add6~1
-B1L13 = B1L11 $ (B1L3);
+--N2_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4]
+--register power-up is low
 
+N2_shift_reg[4] = DFFEAS(N2L12, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---B1L14 is tmdsenc:hdmitmds[0].enc|Add6~2
-B1L14 = B1L13 $ (((B1L12 & ((B1L10) # (B1L2))) # (!B1L12 & (B1L10 & B1L2))));
 
+--N2L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~3
+N2L11 = (N2_shift_reg[4] & !J1_dffe22);
 
---B1L15 is tmdsenc:hdmitmds[0].enc|Add6~3
-B1L15 = dummydata[3] $ (dummydata[4] $ (B1L4 $ (B1L1)));
 
+--N1_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4]
+--register power-up is low
 
---B1L16 is tmdsenc:hdmitmds[0].enc|Add6~4
-B1L16 = B1L12 $ (B1L10 $ (B1L2));
+N1_shift_reg[4] = DFFEAS(N1L13, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---B1L45 is tmdsenc:hdmitmds[0].enc|dx[8]~0
-B1L45 = (B1L14 & ((dummydata[1]) # ((B1L15) # (B1L16)))) # (!B1L14 & (dummydata[1] & ((!B1L16) # (!B1L15))));
+--N1L12 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~3
+N1L12 = (N1_shift_reg[4] & !J1_dffe22);
 
 
---B1L27 is tmdsenc:hdmitmds[0].enc|Equal0~0
-B1L27 = (!B1_disparity[3] & (!B1_disparity[0] & (!B1_disparity[1] & !B1_disparity[2])));
+--B2L61 is tmdsenc:hdmitmds[1].enc|qreg~5
+B2L61 = (B2L43) # (!B1_denreg);
 
 
---B1L28 is tmdsenc:hdmitmds[0].enc|always1~0
-B1L28 = (B1L27) # ((B1L14 & (!B1L15 & !B1L16)));
+--B3_qreg[9] is tmdsenc:hdmitmds[2].enc|qreg[9]
+--register power-up is low
 
+B3_qreg[9] = DFFEAS(B3L65, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
---B1L5 is tmdsenc:hdmitmds[0].enc|Add4~3
-B1L5 = dummydata[3] $ (dummydata[4] $ (dummydata[1] $ (dummydata[2])));
 
+--J1_tx_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0]
+--register power-up is low
 
---B1L6 is tmdsenc:hdmitmds[0].enc|Add4~4
-B1L6 = dummydata[7] $ (dummydata[5] $ (dummydata[6] $ (!B1L5)));
+J1_tx_reg[0] = DFFEAS(J1L66, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---B1L7 is tmdsenc:hdmitmds[0].enc|Add4~5
-B1L7 = B1L14 $ (B1_disparity[3]);
+--Q2L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~4
+Q2L11 = (J1_dffe11 & J1_tx_reg[0]);
 
 
---B1L58 is tmdsenc:hdmitmds[0].enc|qreg~0
-B1L58 = B1L6 $ (((B1L28 & (!B1L45)) # (!B1L28 & ((B1L7)))));
+--B3L62 is tmdsenc:hdmitmds[2].enc|qreg~5
+B3L62 = (B3L44) # (!B1_denreg);
 
 
---B2_qreg[7] is tmdsenc:hdmitmds[1].enc|qreg[7]
+--B1_qreg[8] is tmdsenc:hdmitmds[0].enc|qreg[8]
 --register power-up is low
 
-B2_qreg[7] = DFFEAS(B2L60, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+B1_qreg[8] = DFFEAS(B1L66, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---J1_tx_reg[5] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5]
+--J1_tx_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1]
 --register power-up is low
 
-J1_tx_reg[5] = DFFEAS(B3_qreg[8], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
-
+J1_tx_reg[1] = DFFEAS(J1L68, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---Q1_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3]
---register power-up is low
 
-Q1_shift_reg[3] = DFFEAS(Q1L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--Q1L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~4
+Q1L11 = (J1_dffe11 & J1_tx_reg[1]);
 
 
---Q1L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~2
-Q1L9 = (J1_dffe11 & (J1_tx_reg[5])) # (!J1_dffe11 & ((Q1_shift_reg[3])));
+--B3L63 is tmdsenc:hdmitmds[2].enc|qreg~6
+B3L63 = dummydata[21] $ (dummydata[22] $ (!B3L4));
 
 
---B1L59 is tmdsenc:hdmitmds[0].enc|qreg~1
-B1L59 = (B1L5 $ (((B1L28) # (B1L9)))) # (!B1_denreg);
+--B3L64 is tmdsenc:hdmitmds[2].enc|qreg~7
+B3L64 = (B3L63 $ (((B3L28) # (B3L9)))) # (!B1_denreg);
 
 
---J1_tx_reg[14] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]
+--B1_qreg[5] is tmdsenc:hdmitmds[0].enc|qreg[5]
 --register power-up is low
 
-J1_tx_reg[14] = DFFEAS(J1L88, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+B1_qreg[5] = DFFEAS(B1L68, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q4_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3]
+--J1_tx_reg[10] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10]
 --register power-up is low
 
-Q4_shift_reg[3] = DFFEAS(Q4L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+J1_tx_reg[10] = DFFEAS(B2_qreg[6], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---Q4L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~2
-Q4L9 = (J1_dffe11 & (J1_tx_reg[14])) # (!J1_dffe11 & ((Q4_shift_reg[3])));
+--Q4L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~4
+Q4L11 = (J1_dffe11 & J1_tx_reg[10]);
 
 
---dummydata[11] is dummydata[11]
---register power-up is low
+--B1L47 is tmdsenc:hdmitmds[0].enc|dx~1
+B1L47 = dummydata[5] $ (B1L5);
 
-dummydata[11] = DFFEAS(A1L132, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B1L64 is tmdsenc:hdmitmds[0].enc|qreg~5
+B1L64 = B1L47 $ (((B1L29 & (!B1L46)) # (!B1L29 & ((B1L7)))));
 
---dummydata[12] is dummydata[12]
+
+--B2_qreg[5] is tmdsenc:hdmitmds[1].enc|qreg[5]
 --register power-up is low
 
-dummydata[12] = DFFEAS(dummydata[11], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+B2_qreg[5] = DFFEAS(B2L64, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---dummydata[9] is dummydata[9]
+--J1_tx_reg[11] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11]
 --register power-up is low
 
-dummydata[9] = DFFEAS(dummydata[8], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+J1_tx_reg[11] = DFFEAS(B3_qreg[6], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---dummydata[10] is dummydata[10]
---register power-up is low
+--Q3L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~4
+Q3L11 = (J1_dffe11 & J1_tx_reg[11]);
 
-dummydata[10] = DFFEAS(A1L130, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B1L65 is tmdsenc:hdmitmds[0].enc|qreg~6
+B1L65 = B1L4 $ (((!B1L29 & (B1L46 $ (!B1L7)))));
 
---B2L4 is tmdsenc:hdmitmds[1].enc|Add4~2
-B2L4 = dummydata[11] $ (dummydata[12] $ (dummydata[9] $ (!dummydata[10])));
 
+--J1_tx_reg[20] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]
+--register power-up is low
 
---B2L27 is tmdsenc:hdmitmds[1].enc|Equal0~0
-B2L27 = (!B2_disparity[3] & (!B2_disparity[0] & (!B2_disparity[1] & !B2_disparity[2])));
+J1_tx_reg[20] = DFFEAS(J1L97, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---dummydata[15] is dummydata[15]
---register power-up is low
+--Q6L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~4
+Q6L11 = (J1_dffe11 & J1_tx_reg[20]);
 
-dummydata[15] = DFFEAS(dummydata[14], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
 
+--B2L62 is tmdsenc:hdmitmds[1].enc|qreg~6
+B2L62 = B2L5 $ (((!B2L27 & (B2L43 $ (!B2L6)))));
 
---dummydata[16] is dummydata[16]
---register power-up is low
 
-dummydata[16] = DFFEAS(A1L138, T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+--J1_tx_reg[21] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21]
+--register power-up is low
 
+J1_tx_reg[21] = DFFEAS(B1_qreg[2], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---dummydata[13] is dummydata[13]
---register power-up is low
 
-dummydata[13] = DFFEAS(dummydata[12], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
+--Q5L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~4
+Q5L11 = (J1_dffe11 & J1_tx_reg[21]);
 
 
---dummydata[14] is dummydata[14]
+--N1_shift_reg[6] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6]
 --register power-up is low
 
-dummydata[14] = DFFEAS(dummydata[13], T1_wire_pll1_clk[2],  ,  ,  ,  ,  ,  ,  );
-
+N1_shift_reg[6] = DFFEAS(N1L14, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
---B2L1 is tmdsenc:hdmitmds[1].enc|Add2~0
-B2L1 = dummydata[15] $ (dummydata[16] $ (dummydata[13] $ (!dummydata[14])));
 
+--N2L12 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~4
+N2L12 = (N1_shift_reg[6] & !J1_dffe22);
 
---B2L5 is tmdsenc:hdmitmds[1].enc|Add4~3
-B2L5 = dummydata[9] $ (!dummydata[10]);
 
+--N1_shift_reg[5] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5]
+--register power-up is low
 
---B2L12 is tmdsenc:hdmitmds[1].enc|Add6~0
-B2L12 = (B2L1 & (dummydata[11] $ (dummydata[12] $ (B2L5))));
+N1_shift_reg[5] = DFFEAS(N1L15, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---B2L10 is tmdsenc:hdmitmds[1].enc|Add5~0
-B2L10 = (dummydata[10] & ((dummydata[11] & ((!dummydata[9]) # (!dummydata[12]))) # (!dummydata[11] & ((dummydata[12]) # (dummydata[9]))))) # (!dummydata[10] & ((dummydata[11] & (!dummydata[12] & !dummydata[9])) # (!dummydata[11] & ((!dummydata[9]) # (!dummydata[12])))));
+--N1L13 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~4
+N1L13 = (N1_shift_reg[5] & !J1_dffe22);
 
 
---B2L2 is tmdsenc:hdmitmds[1].enc|Add2~1
-B2L2 = (dummydata[16] & ((dummydata[15] & ((!dummydata[14]) # (!dummydata[13]))) # (!dummydata[15] & ((dummydata[13]) # (dummydata[14]))))) # (!dummydata[16] & ((dummydata[15] & (!dummydata[13] & !dummydata[14])) # (!dummydata[15] & ((!dummydata[14]) # (!dummydata[13])))));
+--B3L65 is tmdsenc:hdmitmds[2].enc|qreg~8
+B3L65 = (B1_denreg & ((B3L28 & ((B3L44))) # (!B3L28 & (!B3L7))));
 
 
---B2L11 is tmdsenc:hdmitmds[1].enc|Add5~1
-B2L11 = (dummydata[10] & (!dummydata[11] & (!dummydata[12] & !dummydata[9])));
+--B1_qreg[9] is tmdsenc:hdmitmds[0].enc|qreg[9]
+--register power-up is low
 
+B1_qreg[9] = DFFEAS(B1L69, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
---B2L3 is tmdsenc:hdmitmds[1].enc|Add2~2
-B2L3 = (dummydata[16] & (!dummydata[15] & (!dummydata[13] & !dummydata[14])));
 
+--B1L66 is tmdsenc:hdmitmds[0].enc|qreg~7
+B1L66 = (B1L46) # (!B1_denreg);
 
---B2L13 is tmdsenc:hdmitmds[1].enc|Add6~1
-B2L13 = B2L11 $ (B2L3);
 
+--B2_qreg[9] is tmdsenc:hdmitmds[1].enc|qreg[9]
+--register power-up is low
 
---B2L14 is tmdsenc:hdmitmds[1].enc|Add6~2
-B2L14 = B2L13 $ (((B2L12 & ((B2L10) # (B2L2))) # (!B2L12 & (B2L10 & B2L2))));
+B2_qreg[9] = DFFEAS(B2L66, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---B2L15 is tmdsenc:hdmitmds[1].enc|Add6~3
-B2L15 = dummydata[11] $ (dummydata[12] $ (B2L5 $ (B2L1)));
+--B1L67 is tmdsenc:hdmitmds[0].enc|qreg~8
+B1L67 = dummydata[5] $ (dummydata[6] $ (B1L5));
 
 
---B2L16 is tmdsenc:hdmitmds[1].enc|Add6~4
-B2L16 = B2L12 $ (B2L10 $ (B2L2));
+--B1L68 is tmdsenc:hdmitmds[0].enc|qreg~9
+B1L68 = (B1L67 $ (((B1L29) # (B1L9)))) # (!B1_denreg);
 
 
---B2L28 is tmdsenc:hdmitmds[1].enc|always1~0
-B2L28 = (B2L27) # ((B2L14 & (!B2L15 & !B2L16)));
+--B2L63 is tmdsenc:hdmitmds[1].enc|qreg~7
+B2L63 = dummydata[13] $ (dummydata[14] $ (B2L4));
 
 
---B2L44 is tmdsenc:hdmitmds[1].enc|dx[8]~0
-B2L44 = (B2L14 & ((dummydata[9]) # ((B2L15) # (B2L16)))) # (!B2L14 & (dummydata[9] & ((!B2L16) # (!B2L15))));
+--B2L64 is tmdsenc:hdmitmds[1].enc|qreg~8
+B2L64 = (B2L63 $ (((B2L27) # (B2L9)))) # (!B1_denreg);
 
 
---B2L6 is tmdsenc:hdmitmds[1].enc|Add4~4
-B2L6 = B2L14 $ (B2_disparity[3]);
+--B2L8 is tmdsenc:hdmitmds[1].enc|Add4~6
+B2L8 = dummydata[11] $ (dummydata[9] $ (dummydata[10]));
 
 
---B2L57 is tmdsenc:hdmitmds[1].enc|qreg~0
-B2L57 = (B2L4 $ (((B2L28) # (B2L9)))) # (!B1_denreg);
+--B2L65 is tmdsenc:hdmitmds[1].enc|qreg~9
+B2L65 = B2L8 $ (((B2L27 & (!B2L43)) # (!B2L27 & ((B2L6)))));
 
 
---J1_tx_reg[15] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15]
+--B3_qreg[3] is tmdsenc:hdmitmds[2].enc|qreg[3]
 --register power-up is low
 
-J1_tx_reg[15] = DFFEAS(B1_qreg[4], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+B3_qreg[3] = DFFEAS(B3L68, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q3_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3]
---register power-up is low
+--B3L8 is tmdsenc:hdmitmds[2].enc|Add4~6
+B3L8 = dummydata[19] $ (dummydata[17] $ (!dummydata[18]));
 
-Q3_shift_reg[3] = DFFEAS(Q3L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B3L66 is tmdsenc:hdmitmds[2].enc|qreg~9
+B3L66 = B3L8 $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
 
---Q3L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~2
-Q3L9 = (J1_dffe11 & (J1_tx_reg[15])) # (!J1_dffe11 & ((Q3_shift_reg[3])));
 
+--N2_shift_reg[6] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6]
+--register power-up is low
 
---B2L58 is tmdsenc:hdmitmds[1].enc|qreg~1
-B2L58 = dummydata[9] $ (((B2L28 & ((B2L44))) # (!B2L28 & (!B2L6))));
+N2_shift_reg[6] = DFFEAS(J1_dffe22, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
 
---J1_tx_reg[24] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24]
---register power-up is low
+--N1L14 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~5
+N1L14 = (J1_dffe22) # (N2_shift_reg[6]);
 
-J1_tx_reg[24] = DFFEAS(B1_qreg[1], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--N1L15 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~6
+N1L15 = (J1_dffe22) # (N1_shift_reg[6]);
 
---Q6_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3]
---register power-up is low
 
-Q6_shift_reg[3] = DFFEAS(Q6L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L69 is tmdsenc:hdmitmds[0].enc|qreg~10
+B1L69 = (B1_denreg & ((B1L29 & ((B1L46))) # (!B1L29 & (!B1L7))));
 
 
---Q6L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~2
-Q6L9 = (J1_dffe11 & (J1_tx_reg[24])) # (!J1_dffe11 & ((Q6_shift_reg[3])));
+--B2L66 is tmdsenc:hdmitmds[1].enc|qreg~10
+B2L66 = (B1_denreg & ((B2L27 & ((B2L43))) # (!B2L27 & (!B2L6))));
 
 
---B3L59 is tmdsenc:hdmitmds[2].enc|qreg~2
-B3L59 = dummydata[17] $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
+--B2L67 is tmdsenc:hdmitmds[1].enc|qreg~11
+B2L67 = B2L7 $ (((B2L27 & (!B2L43)) # (!B2L27 & ((B2L6)))));
 
 
---J1_tx_reg[25] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25]
---register power-up is low
+--B3L67 is tmdsenc:hdmitmds[2].enc|qreg~10
+B3L67 = B3L5 $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
 
-J1_tx_reg[25] = DFFEAS(B2_qreg[1], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B3L68 is tmdsenc:hdmitmds[2].enc|qreg~11
+B3L68 = (B3L4 $ (((B3L28) # (B3L9)))) # (!B1_denreg);
 
---Q5_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3]
---register power-up is low
 
-Q5_shift_reg[3] = DFFEAS(Q5L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L8 is tmdsenc:hdmitmds[0].enc|Add4~6
+B1L8 = dummydata[3] $ (dummydata[1] $ (dummydata[2]));
 
 
---Q5L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~2
-Q5L9 = (J1_dffe11 & (J1_tx_reg[25])) # (!J1_dffe11 & ((Q5_shift_reg[3])));
+--B1L70 is tmdsenc:hdmitmds[0].enc|qreg~11
+B1L70 = B1L8 $ (((B1L29 & (!B1L46)) # (!B1L29 & ((B1L7)))));
 
 
---J1_dffe16a[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2]
---register power-up is low
+--F1L35 is sdram:sdram|Selector27~5
+F1L35 = (!F1_state.st_p0_rd & (!F1_state.st_p0_wr & (F1L52 & F1_state.st_idle)));
 
-J1_dffe16a[2] = DFFEAS(J1_dffe14a[2], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
+--F1L14 is sdram:sdram|Selector14~4
+F1L14 = (F1L12) # ((!F1_state.st_idle & ((F1_state.st_reset) # (F1_init_ctr[15]))));
 
---L1_counter_reg_bit[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0]
---register power-up is low
 
-L1_counter_reg_bit[0] = DFFEAS(L1L8, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--F1L153 is sdram:sdram|op_cycle~6
+F1L153 = (F1_state.st_reset & (!F1_state.st_idle & (F1_op_cycle[3] $ (F1L3Q))));
 
 
---J1_dffe16a[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0]
---register power-up is low
+--B1L9 is tmdsenc:hdmitmds[0].enc|Add4~7
+B1L9 = B1L14 $ (B1_disparity[3] $ (B1L46));
 
-J1_dffe16a[0] = DFFEAS(J1_dffe14a[0], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
+--B2L9 is tmdsenc:hdmitmds[1].enc|Add4~7
+B2L9 = B2L14 $ (B2_disparity[3] $ (B2L43));
 
---L1_counter_reg_bit[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]
---register power-up is low
 
-L1_counter_reg_bit[2] = DFFEAS(L1L9, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B3L24 is tmdsenc:hdmitmds[2].enc|Add8~13
+B3L24 = (B3L28 & (((B3L44)))) # (!B3L28 & (B3L14 $ ((B3_disparity[3]))));
 
 
---J1_dffe16a[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1]
---register power-up is low
+--B3L25 is tmdsenc:hdmitmds[2].enc|Add8~14
+B3L25 = (B3L17 & ((B3L14 & ((B3L16) # (!B3_disparity[3]))) # (!B3L14 & (B3_disparity[3])))) # (!B3L17 & (((B3L16))));
 
-J1_dffe16a[1] = DFFEAS(J1_dffe14a[1], J1_fast_clock,  ,  , J1_sync_dffe12a,  ,  ,  ,  );
 
+--B3L26 is tmdsenc:hdmitmds[2].enc|Add8~15
+B3L26 = (B3L28 & (((!B3L44)))) # (!B3L28 & (B3L14 $ ((B3_disparity[3]))));
 
---L1_counter_reg_bit[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1]
---register power-up is low
 
-L1_counter_reg_bit[1] = DFFEAS(L1L10, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--B1L25 is tmdsenc:hdmitmds[0].enc|Add8~14
+B1L25 = (B1L29 & (((B1L46)))) # (!B1L29 & (B1L14 $ ((B1_disparity[3]))));
 
 
---N2_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3]
---register power-up is low
+--B1L26 is tmdsenc:hdmitmds[0].enc|Add8~15
+B1L26 = (B1L17 & (((B1L16)))) # (!B1L17 & ((B1L14 & ((B1L16) # (!B1_disparity[3]))) # (!B1L14 & (B1_disparity[3]))));
 
-N2_shift_reg[3] = DFFEAS(N2L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--B1L27 is tmdsenc:hdmitmds[0].enc|Add8~16
+B1L27 = (B1L29 & (((!B1L46)))) # (!B1L29 & (B1L14 $ ((B1_disparity[3]))));
 
---N2L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~2
-N2L10 = (N2_shift_reg[3] & !J1_dffe22);
 
+--B3L9 is tmdsenc:hdmitmds[2].enc|Add4~7
+B3L9 = B3L14 $ (B3_disparity[3] $ (B3L44));
 
---N1_shift_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3]
---register power-up is low
 
-N1_shift_reg[3] = DFFEAS(N1L12, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--A1L205 is led_ctr[0]~84
+A1L205 = !led_ctr[0];
 
 
---N1L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~2
-N1L11 = (J1_dffe22) # (N1_shift_reg[3]);
+--A1L292 is rst_ctr[0]~0
+A1L292 = !rst_ctr[0];
 
 
---F1L95 is sdram:sdram|dram_q[0]~1
-F1L95 = (rst_n & (F1_state.st_p0_rd_data & !F1_WideOr0));
+--A1L109 is abc_xmemrd_q~0
+A1L109 = !abc_xmemfl_n;
 
 
---B3L17 is tmdsenc:hdmitmds[2].enc|Add8~4
-B3L17 = (!dummydata[17] & (!B3L15 & !B3L16));
+--F1L127 is sdram:sdram|init_ctr[10]~15
+F1L127 = !F1_init_ctr[10];
 
 
---B3L18 is tmdsenc:hdmitmds[2].enc|Add8~5
-B3L18 = (B3L16 & ((B3L15) # ((B3L14) # (!dummydata[17]))));
+--J1L79 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8]~0
+J1L79 = !B3_qreg[7];
 
 
---B3L19 is tmdsenc:hdmitmds[2].enc|Add8~6
-B3L19 = (B3_disparity[3]) # ((B3L14 & (B3L17)) # (!B3L14 & ((B3L18))));
+--J1L93 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]~1
+J1L93 = !B1_qreg[3];
 
 
---B3L20 is tmdsenc:hdmitmds[2].enc|Add8~7
-B3L20 = B3L14 $ (((B3L28 & (B3L44)) # (!B3L28 & ((B3L19)))));
+--J1L95 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]~2
+J1L95 = !B2_qreg[3];
 
 
---B3L21 is tmdsenc:hdmitmds[2].enc|Add8~8
-B3L21 = (!B3L28 & ((B3L17) # ((!B3L7 & !B3L18))));
+--J1L75 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6]~3
+J1L75 = !B1_qreg[7];
 
 
---B3L22 is tmdsenc:hdmitmds[2].enc|Add8~9
-B3L22 = B3L14 $ (((B3L21) # ((B3L28 & B3L44))));
+--J1L62 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a~0
+J1L62 = !J1_sync_dffe12a;
 
 
---B3L23 is tmdsenc:hdmitmds[2].enc|Add8~10
-B3L23 = (B3L28) # ((!B3L15 & (B3L14 $ (B3_disparity[3]))));
+--J1L77 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7]~4
+J1L77 = !B2_qreg[7];
 
 
---B3L24 is tmdsenc:hdmitmds[2].enc|Add8~11
-B3L24 = B3L16 $ (((B3L44 & ((!B3L23))) # (!B3L44 & ((B3L15) # (B3L23)))));
+--A1L147 is dummydata[22]~0
+A1L147 = !dummydata[21];
 
 
---B1L60 is tmdsenc:hdmitmds[0].enc|qreg~2
-B1L60 = B1L6 $ (((!B1L28 & (B1L45 $ (!B1L7)))));
+--A1L142 is dummydata[19]~1
+A1L142 = !dummydata[18];
 
 
---B1L61 is tmdsenc:hdmitmds[0].enc|qreg~3
-B1L61 = (dummydata[8] $ (B1L60)) # (!B1_denreg);
+--A1L144 is dummydata[20]~2
+A1L144 = !dummydata[19];
 
 
---B2_qreg[8] is tmdsenc:hdmitmds[1].enc|qreg[8]
---register power-up is low
+--A1L121 is dummydata[3]~3
+A1L121 = !dummydata[2];
 
-B2_qreg[8] = DFFEAS(B2L62, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--A1L126 is dummydata[7]~4
+A1L126 = !dummydata[6];
 
---J1_tx_reg[2] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2]
---register power-up is low
 
-J1_tx_reg[2] = DFFEAS(J1L70, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--J1L88 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]~5
+J1L88 = !B3_qreg[5];
 
 
---Q2_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4]
---register power-up is low
+--A1L132 is dummydata[11]~5
+A1L132 = !dummydata[10];
 
-Q2_shift_reg[4] = DFFEAS(Q2L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--A1L130 is dummydata[10]~6
+A1L130 = !dummydata[9];
 
---Q2L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~3
-Q2L10 = (J1_dffe11 & (J1_tx_reg[2])) # (!J1_dffe11 & ((Q2_shift_reg[4])));
 
+--A1L138 is dummydata[16]~7
+A1L138 = !dummydata[15];
 
---L2L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|cout_actual~0
-L2L11 = (J1_sync_dffe12a & (L2_counter_reg_bit[2] & (!L2_counter_reg_bit[0] & !L2_counter_reg_bit[1])));
 
+--J1L70 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2]~6
+J1L70 = !B3_qreg[9];
 
---L2L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit~0
-L2L8 = (L2_wire_counter_comb_bita_0combout[0] & (!L2L24 & !L2L11));
 
+--J1L84 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]~7
+J1L84 = !B1_qreg[5];
 
---L2L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit~1
-L2L9 = (L2L24 & (((!J1_sync_dffe12a)))) # (!L2L24 & (L2_wire_counter_comb_bita_2combout[0] & (!L2L11)));
 
+--J1L86 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]~8
+J1L86 = !B2_qreg[5];
 
---L2L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit~2
-L2L10 = (L2_wire_counter_comb_bita_1combout[0] & (!L2L24 & !L2L11));
 
+--J1L66 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0]~9
+J1L66 = !B1_qreg[9];
 
---B1L17 is tmdsenc:hdmitmds[0].enc|Add8~4
-B1L17 = (dummydata[1] & (!B1L15 & !B1L16));
 
+--J1L68 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1]~10
+J1L68 = !B2_qreg[9];
 
---B1L18 is tmdsenc:hdmitmds[0].enc|Add8~5
-B1L18 = (B1L16 & ((dummydata[1]) # ((B1L15) # (B1L14))));
 
+--J1L97 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]~11
+J1L97 = !B3_qreg[3];
 
---B1L19 is tmdsenc:hdmitmds[0].enc|Add8~6
-B1L19 = (B1_disparity[3]) # ((B1L14 & (B1L17)) # (!B1L14 & ((B1L18))));
 
+--T1_remap_decoy_le3a_0 is pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_0
+T1_remap_decoy_le3a_0 = LCELL(GND);
 
---B1L20 is tmdsenc:hdmitmds[0].enc|Add8~7
-B1L20 = B1L14 $ (((B1L28 & (B1L45)) # (!B1L28 & ((B1L19)))));
 
+--T1_remap_decoy_le3a_1 is pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_1
+T1_remap_decoy_le3a_1 = LCELL(GND);
 
---B1L21 is tmdsenc:hdmitmds[0].enc|Add8~8
-B1L21 = (!B1L28 & ((B1L17) # ((!B1L7 & !B1L18))));
 
+--T1_remap_decoy_le3a_2 is pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_2
+T1_remap_decoy_le3a_2 = LCELL(GND);
 
---B1L22 is tmdsenc:hdmitmds[0].enc|Add8~9
-B1L22 = B1L14 $ (((B1L21) # ((B1L28 & B1L45))));
 
+--A1L394 is ~GND
+A1L394 = GND;
 
---B1L23 is tmdsenc:hdmitmds[0].enc|Add8~10
-B1L23 = (B1L28) # ((!B1L15 & (B1L14 $ (B1_disparity[3]))));
 
+--A1L395 is ~VCC
+A1L395 = VCC;
 
---B1L24 is tmdsenc:hdmitmds[0].enc|Add8~11
-B1L24 = B1L16 $ (((B1L45 & ((!B1L23))) # (!B1L45 & ((B1L15) # (B1L23)))));
 
+--A1L107 is abc_xmemfl_n~_wirecell
+A1L107 = !abc_xmemfl_n;
 
---B2L7 is tmdsenc:hdmitmds[1].enc|Add4~5
-B2L7 = dummydata[15] $ (dummydata[13] $ (dummydata[14] $ (!B2L4)));
 
+--F1L71 is sdram:sdram|dram_cmd[0]~_wirecell
+F1L71 = !F1_dram_cmd[0];
 
---B2L59 is tmdsenc:hdmitmds[1].enc|qreg~2
-B2L59 = B2L7 $ (((!B2L28 & (B2L44 $ (!B2L6)))));
 
+--F1L73 is sdram:sdram|dram_cmd[1]~_wirecell
+F1L73 = !F1_dram_cmd[1];
 
---B2L60 is tmdsenc:hdmitmds[1].enc|qreg~3
-B2L60 = (dummydata[16] $ (!B2L59)) # (!B1_denreg);
 
+--F1L77 is sdram:sdram|dram_cmd[2]~_wirecell
+F1L77 = !F1_dram_cmd[2];
 
---B3_qreg[8] is tmdsenc:hdmitmds[2].enc|qreg[8]
---register power-up is low
 
-B3_qreg[8] = DFFEAS(B3L62, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+--F1L84 is sdram:sdram|dram_cmd[3]~_wirecell
+F1L84 = !F1_dram_cmd[3];
 
 
---J1_tx_reg[3] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3]
+--F1L7Q is sdram:sdram|Equal2~0_OTERM1
 --register power-up is low
 
-J1_tx_reg[3] = DFFEAS(B1_qreg[8], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1L7Q = DFFEAS(F1L5, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q1_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4]
+--F1L11Q is sdram:sdram|LessThan1~0_OTERM3
 --register power-up is low
 
-Q1_shift_reg[4] = DFFEAS(Q1L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+F1L11Q = DFFEAS(F1L9, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
 
---Q1L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~3
-Q1L10 = (J1_dffe11 & (J1_tx_reg[3])) # (!J1_dffe11 & ((Q1_shift_reg[4])));
+--F1L3Q is sdram:sdram|Add2~0_OTERM5
+--register power-up is low
 
+F1L3Q = DFFEAS(F1L1, T1_wire_pll1_clk[0], rst_n,  ,  ,  ,  ,  ,  );
 
---B2L45 is tmdsenc:hdmitmds[1].enc|dx~1
-B2L45 = dummydata[13] $ (!B2L4);
 
+--F1_dram_cmd[2]_OTERM7 is sdram:sdram|dram_cmd[2]_OTERM7
+F1_dram_cmd[2]_OTERM7 = (F1L82 & (F1L16)) # (!F1L82 & ((F1_dram_cmd[2])));
 
---B2L61 is tmdsenc:hdmitmds[1].enc|qreg~4
-B2L61 = B2L45 $ (((B2L28 & (!B2L44)) # (!B2L28 & ((B2L6)))));
 
+--F1_dram_cmd[3]_OTERM9 is sdram:sdram|dram_cmd[3]_OTERM9
+F1_dram_cmd[3]_OTERM9 = (F1L82 & (F1L14)) # (!F1L82 & ((F1_dram_cmd[3])));
 
---B3_qreg[5] is tmdsenc:hdmitmds[2].enc|qreg[5]
---register power-up is low
 
-B3_qreg[5] = DFFEAS(B3L64, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+--abc_clk is abc_clk
+abc_clk = INPUT();
 
 
---J1_tx_reg[12] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]
---register power-up is low
+--abc_d_oe is abc_d_oe
+abc_d_oe = OUTPUT(A1L107);
 
-J1_tx_reg[12] = DFFEAS(J1L84, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--abc_rst_n is abc_rst_n
+abc_rst_n = INPUT();
 
---Q4_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4]
---register power-up is low
 
-Q4_shift_reg[4] = DFFEAS(Q4L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--abc_cs_n is abc_cs_n
+abc_cs_n = INPUT();
 
 
---Q4L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~3
-Q4L10 = (J1_dffe11 & (J1_tx_reg[12])) # (!J1_dffe11 & ((Q4_shift_reg[4])));
+--abc_out_n[0] is abc_out_n[0]
+abc_out_n[0] = INPUT();
 
 
---B2L17 is tmdsenc:hdmitmds[1].enc|Add8~4
-B2L17 = (dummydata[9] & (!B2L15 & !B2L16));
+--abc_out_n[1] is abc_out_n[1]
+abc_out_n[1] = INPUT();
 
 
---B2L18 is tmdsenc:hdmitmds[1].enc|Add8~5
-B2L18 = (B2L16 & ((dummydata[9]) # ((B2L15) # (B2L14))));
+--abc_out_n[2] is abc_out_n[2]
+abc_out_n[2] = INPUT();
 
 
---B2L19 is tmdsenc:hdmitmds[1].enc|Add8~6
-B2L19 = (B2_disparity[3]) # ((B2L14 & (B2L17)) # (!B2L14 & ((B2L18))));
+--abc_out_n[3] is abc_out_n[3]
+abc_out_n[3] = INPUT();
 
 
---B2L20 is tmdsenc:hdmitmds[1].enc|Add8~7
-B2L20 = B2L14 $ (((B2L28 & (B2L44)) # (!B2L28 & ((B2L19)))));
+--abc_out_n[4] is abc_out_n[4]
+abc_out_n[4] = INPUT();
 
 
---B2L21 is tmdsenc:hdmitmds[1].enc|Add8~8
-B2L21 = (!B2L28 & ((B2L17) # ((!B2L6 & !B2L18))));
-
-
---B2L22 is tmdsenc:hdmitmds[1].enc|Add8~9
-B2L22 = B2L14 $ (((B2L21) # ((B2L28 & B2L44))));
-
-
---B2L23 is tmdsenc:hdmitmds[1].enc|Add8~10
-B2L23 = (B2L28) # ((!B2L15 & (B2L14 $ (B2_disparity[3]))));
-
-
---B2L24 is tmdsenc:hdmitmds[1].enc|Add8~11
-B2L24 = B2L16 $ (((B2L44 & ((!B2L23))) # (!B2L44 & ((B2L15) # (B2L23)))));
-
-
---B3L45 is tmdsenc:hdmitmds[2].enc|dx~1
-B3L45 = dummydata[21] $ (B3L4);
-
-
---B3L60 is tmdsenc:hdmitmds[2].enc|qreg~3
-B3L60 = B3L45 $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
-
-
---J1_tx_reg[13] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]
---register power-up is low
-
-J1_tx_reg[13] = DFFEAS(J1L86, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
-
+--abc_inp_n[0] is abc_inp_n[0]
+abc_inp_n[0] = INPUT();
 
---Q3_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4]
---register power-up is low
 
-Q3_shift_reg[4] = DFFEAS(Q3L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--abc_inp_n[1] is abc_inp_n[1]
+abc_inp_n[1] = INPUT();
 
 
---Q3L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~3
-Q3L10 = (J1_dffe11 & (J1_tx_reg[13])) # (!J1_dffe11 & ((Q3_shift_reg[4])));
+--abc_rdy_x is abc_rdy_x
+abc_rdy_x = OUTPUT(A1L92);
 
 
---B3L61 is tmdsenc:hdmitmds[2].enc|qreg~4
-B3L61 = B3L6 $ (((!B3L28 & (B3L44 $ (!B3L7)))));
+--abc_resin_x is abc_resin_x
+abc_resin_x = OUTPUT(A1L94);
 
 
---J1_tx_reg[22] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22]
---register power-up is low
+--abc_int80_x is abc_int80_x
+abc_int80_x = OUTPUT(A1L79);
 
-J1_tx_reg[22] = DFFEAS(B2_qreg[2], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--abc_int800_x is abc_int800_x
+abc_int800_x = OUTPUT(A1L81);
 
---Q6_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4]
---register power-up is low
 
-Q6_shift_reg[4] = DFFEAS(Q6L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--abc_nmi_x is abc_nmi_x
+abc_nmi_x = OUTPUT(A1L84);
 
 
---Q6L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~3
-Q6L10 = (J1_dffe11 & (J1_tx_reg[22])) # (!J1_dffe11 & ((Q6_shift_reg[4])));
+--abc_xm_x is abc_xm_x
+abc_xm_x = OUTPUT(A1L102);
 
 
---B1L62 is tmdsenc:hdmitmds[0].enc|qreg~4
-B1L62 = dummydata[1] $ (((B1L28 & ((B1L45))) # (!B1L28 & (!B1L7))));
+--abc_master is abc_master
+abc_master = OUTPUT(A1L394);
 
 
---J1_tx_reg[23] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23]
---register power-up is low
+--abc_a_oe is abc_a_oe
+abc_a_oe = OUTPUT(A1L394);
 
-J1_tx_reg[23] = DFFEAS(B3_qreg[2], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--abc_d_ce_n is abc_d_ce_n
+abc_d_ce_n = OUTPUT(A1L394);
 
---Q5_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4]
---register power-up is low
 
-Q5_shift_reg[4] = DFFEAS(Q5L11, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--exth_hc is exth_hc
+exth_hc = INPUT();
 
 
---Q5L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~3
-Q5L10 = (J1_dffe11 & (J1_tx_reg[23])) # (!J1_dffe11 & ((Q5_shift_reg[4])));
+--exth_hh is exth_hh
+exth_hh = INPUT();
 
 
---L1L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|cout_actual~0
-L1L11 = (J1_sync_dffe12a & (L1_counter_reg_bit[2] & (!L1_counter_reg_bit[0] & !L1_counter_reg_bit[1])));
+--sr_clk is sr_clk
+sr_clk = OUTPUT(DB1_dataout[0]);
 
 
---L1L8 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit~0
-L1L8 = (L1_wire_counter_comb_bita_0combout[0] & (!L1L24 & !L1L11));
+--sr_cke is sr_cke
+sr_cke = OUTPUT(F1_dram_cke);
 
 
---L1L9 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit~1
-L1L9 = (L1L24 & (((!J1_sync_dffe12a)))) # (!L1L24 & (L1_wire_counter_comb_bita_2combout[0] & (!L1L11)));
+--sr_ba[0] is sr_ba[0]
+sr_ba[0] = OUTPUT(F1_dram_ba[0]);
 
 
---L1L10 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit~2
-L1L10 = (L1_wire_counter_comb_bita_1combout[0] & (!L1L24 & !L1L11));
+--sr_ba[1] is sr_ba[1]
+sr_ba[1] = OUTPUT(F1_dram_ba[1]);
 
 
---N2_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4]
---register power-up is low
+--sr_a[0] is sr_a[0]
+sr_a[0] = OUTPUT(F1_dram_a[0]);
 
-N2_shift_reg[4] = DFFEAS(N2L12, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--sr_a[1] is sr_a[1]
+sr_a[1] = OUTPUT(F1_dram_a[1]);
 
---N2L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~3
-N2L11 = (N2_shift_reg[4] & !J1_dffe22);
 
+--sr_a[2] is sr_a[2]
+sr_a[2] = OUTPUT(F1_dram_a[2]);
 
---N1_shift_reg[4] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4]
---register power-up is low
 
-N1_shift_reg[4] = DFFEAS(N1L13, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--sr_a[3] is sr_a[3]
+sr_a[3] = OUTPUT(F1_dram_a[3]);
 
 
---N1L12 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~3
-N1L12 = (N1_shift_reg[4] & !J1_dffe22);
+--sr_a[4] is sr_a[4]
+sr_a[4] = OUTPUT(F1_dram_a[4]);
 
 
---B2L62 is tmdsenc:hdmitmds[1].enc|qreg~5
-B2L62 = (B2L44) # (!B1_denreg);
+--sr_a[5] is sr_a[5]
+sr_a[5] = OUTPUT(F1_dram_a[5]);
 
 
---B3_qreg[9] is tmdsenc:hdmitmds[2].enc|qreg[9]
---register power-up is low
+--sr_a[6] is sr_a[6]
+sr_a[6] = OUTPUT(F1_dram_a[6]);
 
-B3_qreg[9] = DFFEAS(B3L65, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--sr_a[7] is sr_a[7]
+sr_a[7] = OUTPUT(F1_dram_a[7]);
 
---J1_tx_reg[0] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0]
---register power-up is low
 
-J1_tx_reg[0] = DFFEAS(J1L66, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--sr_a[8] is sr_a[8]
+sr_a[8] = OUTPUT(F1_dram_a[8]);
 
 
---Q2L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg~4
-Q2L11 = (J1_dffe11 & J1_tx_reg[0]);
+--sr_a[9] is sr_a[9]
+sr_a[9] = OUTPUT(A1L394);
 
 
---B3L62 is tmdsenc:hdmitmds[2].enc|qreg~5
-B3L62 = (B3L44) # (!B1_denreg);
+--sr_a[10] is sr_a[10]
+sr_a[10] = OUTPUT(F1_dram_a[10]);
 
 
---B1_qreg[8] is tmdsenc:hdmitmds[0].enc|qreg[8]
---register power-up is low
+--sr_a[11] is sr_a[11]
+sr_a[11] = OUTPUT(A1L394);
 
-B1_qreg[8] = DFFEAS(B1L65, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--sr_a[12] is sr_a[12]
+sr_a[12] = OUTPUT(A1L394);
 
---J1_tx_reg[1] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1]
---register power-up is low
 
-J1_tx_reg[1] = DFFEAS(J1L68, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--sr_dqm[0] is sr_dqm[0]
+sr_dqm[0] = OUTPUT(F1_dram_dqm[0]);
 
 
---Q1L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg~4
-Q1L11 = (J1_dffe11 & J1_tx_reg[1]);
+--sr_dqm[1] is sr_dqm[1]
+sr_dqm[1] = OUTPUT(F1_dram_dqm[1]);
 
 
---B3L63 is tmdsenc:hdmitmds[2].enc|qreg~6
-B3L63 = dummydata[21] $ (dummydata[22] $ (!B3L4));
+--sr_cs_n is sr_cs_n
+sr_cs_n = OUTPUT(F1L84);
 
 
---B3L64 is tmdsenc:hdmitmds[2].enc|qreg~7
-B3L64 = (B3L63 $ (((B3L28) # (B3L9)))) # (!B1_denreg);
+--sr_we_n is sr_we_n
+sr_we_n = OUTPUT(F1L71);
 
 
---B1_qreg[5] is tmdsenc:hdmitmds[0].enc|qreg[5]
---register power-up is low
+--sr_cas_n is sr_cas_n
+sr_cas_n = OUTPUT(F1L73);
 
-B1_qreg[5] = DFFEAS(B1L67, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--sr_ras_n is sr_ras_n
+sr_ras_n = OUTPUT(F1L77);
 
---J1_tx_reg[10] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10]
---register power-up is low
 
-J1_tx_reg[10] = DFFEAS(B2_qreg[6], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--sd_clk is sd_clk
+sd_clk = OUTPUT(A1L395);
 
 
---Q4L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg~4
-Q4L11 = (J1_dffe11 & J1_tx_reg[10]);
+--sd_cmd is sd_cmd
+sd_cmd = OUTPUT(A1L395);
 
 
---B1L46 is tmdsenc:hdmitmds[0].enc|dx~1
-B1L46 = dummydata[5] $ (B1L5);
+--tty_txd is tty_txd
+tty_txd = INPUT();
 
 
---B1L63 is tmdsenc:hdmitmds[0].enc|qreg~5
-B1L63 = B1L46 $ (((B1L28 & (!B1L45)) # (!B1L28 & ((B1L7)))));
+--tty_rxd is tty_rxd
+tty_rxd = OUTPUT(A1L395);
 
 
---B2_qreg[5] is tmdsenc:hdmitmds[1].enc|qreg[5]
---register power-up is low
+--tty_rts is tty_rts
+tty_rts = INPUT();
 
-B2_qreg[5] = DFFEAS(B2L65, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--tty_cts is tty_cts
+tty_cts = OUTPUT(A1L395);
 
---J1_tx_reg[11] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11]
---register power-up is low
 
-J1_tx_reg[11] = DFFEAS(B3_qreg[6], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--tty_dtr is tty_dtr
+tty_dtr = INPUT();
 
 
---Q3L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg~4
-Q3L11 = (J1_dffe11 & J1_tx_reg[11]);
+--flash_cs_n is flash_cs_n
+flash_cs_n = OUTPUT(A1L394);
 
 
---B1L64 is tmdsenc:hdmitmds[0].enc|qreg~6
-B1L64 = B1L4 $ (((!B1L28 & (B1L45 $ (!B1L7)))));
+--flash_clk is flash_clk
+flash_clk = OUTPUT(A1L394);
 
 
---J1_tx_reg[20] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]
---register power-up is low
+--flash_mosi is flash_mosi
+flash_mosi = OUTPUT(A1L394);
 
-J1_tx_reg[20] = DFFEAS(J1L97, J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--flash_miso is flash_miso
+flash_miso = INPUT();
 
---Q6L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg~4
-Q6L11 = (J1_dffe11 & J1_tx_reg[20]);
 
+--rtc_32khz is rtc_32khz
+rtc_32khz = INPUT();
 
---B2L63 is tmdsenc:hdmitmds[1].enc|qreg~6
-B2L63 = B2L5 $ (((!B2L28 & (B2L44 $ (!B2L6)))));
 
+--rtc_int_n is rtc_int_n
+rtc_int_n = INPUT();
 
---J1_tx_reg[21] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21]
---register power-up is low
 
-J1_tx_reg[21] = DFFEAS(B1_qreg[2], J1_tx_coreclock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--led[1] is led[1]
+led[1] = OUTPUT(led_ctr[26]);
 
 
---Q5L11 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg~4
-Q5L11 = (J1_dffe11 & J1_tx_reg[21]);
+--led[2] is led[2]
+led[2] = OUTPUT(led_ctr[27]);
 
 
---N1_shift_reg[6] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6]
---register power-up is low
+--led[3] is led[3]
+led[3] = OUTPUT(led_ctr[28]);
 
-N1_shift_reg[6] = DFFEAS(N1L14, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
 
+--hdmi_d[0] is hdmi_d[0]
+hdmi_d[0] = OUTPUT(M1_wire_ddio_outa_dataout[0]);
 
---N2L12 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg~4
-N2L12 = (N1_shift_reg[6] & !J1_dffe22);
 
+--hdmi_d[1] is hdmi_d[1]
+hdmi_d[1] = OUTPUT(M1_wire_ddio_outa_dataout[1]);
 
---N1_shift_reg[5] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5]
---register power-up is low
 
-N1_shift_reg[5] = DFFEAS(N1L15, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--hdmi_d[2] is hdmi_d[2]
+hdmi_d[2] = OUTPUT(M1_wire_ddio_outa_dataout[2]);
 
 
---N1L13 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~4
-N1L13 = (N1_shift_reg[5] & !J1_dffe22);
+--hdmi_clk is hdmi_clk
+hdmi_clk = OUTPUT(P1_wire_ddio_outa_dataout[0]);
 
 
---B3L65 is tmdsenc:hdmitmds[2].enc|qreg~8
-B3L65 = (B1_denreg & ((B3L28 & ((B3L44))) # (!B3L28 & (!B3L7))));
+--hdmi_sda is hdmi_sda
+hdmi_sda = BIDIR(A1L194);
 
 
---B1_qreg[9] is tmdsenc:hdmitmds[0].enc|qreg[9]
---register power-up is low
+--abc_d[0] is abc_d[0]
+abc_d[0] = BIDIR(A1L48);
 
-B1_qreg[9] = DFFEAS(B1L68, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--abc_d[1] is abc_d[1]
+abc_d[1] = BIDIR(A1L50);
 
---B1L65 is tmdsenc:hdmitmds[0].enc|qreg~7
-B1L65 = (B1L45) # (!B1_denreg);
 
+--abc_d[2] is abc_d[2]
+abc_d[2] = BIDIR(A1L52);
 
---B2_qreg[9] is tmdsenc:hdmitmds[1].enc|qreg[9]
---register power-up is low
 
-B2_qreg[9] = DFFEAS(B2L67, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
+--abc_d[3] is abc_d[3]
+abc_d[3] = BIDIR(A1L54);
 
 
---B1L66 is tmdsenc:hdmitmds[0].enc|qreg~8
-B1L66 = dummydata[5] $ (dummydata[6] $ (B1L5));
+--abc_d[4] is abc_d[4]
+abc_d[4] = BIDIR(A1L56);
 
 
---B1L67 is tmdsenc:hdmitmds[0].enc|qreg~9
-B1L67 = (B1L66 $ (((B1L28) # (B1L9)))) # (!B1_denreg);
+--abc_d[5] is abc_d[5]
+abc_d[5] = BIDIR(A1L58);
 
 
---B2L64 is tmdsenc:hdmitmds[1].enc|qreg~7
-B2L64 = dummydata[13] $ (dummydata[14] $ (B2L4));
+--abc_d[6] is abc_d[6]
+abc_d[6] = BIDIR(A1L60);
 
 
---B2L65 is tmdsenc:hdmitmds[1].enc|qreg~8
-B2L65 = (B2L64 $ (((B2L28) # (B2L9)))) # (!B1_denreg);
+--abc_d[7] is abc_d[7]
+abc_d[7] = BIDIR(A1L62);
 
 
---B2L8 is tmdsenc:hdmitmds[1].enc|Add4~6
-B2L8 = dummydata[11] $ (dummydata[9] $ (dummydata[10]));
+--exth_ha is exth_ha
+exth_ha = BIDIR(A1L154);
 
 
---B2L66 is tmdsenc:hdmitmds[1].enc|qreg~9
-B2L66 = B2L8 $ (((B2L28 & (!B2L44)) # (!B2L28 & ((B2L6)))));
+--exth_hb is exth_hb
+exth_hb = BIDIR(A1L156);
 
 
---B3_qreg[3] is tmdsenc:hdmitmds[2].enc|qreg[3]
---register power-up is low
+--exth_hd is exth_hd
+exth_hd = BIDIR(A1L159);
 
-B3_qreg[3] = DFFEAS(B3L68, T1_wire_pll1_clk[2], vid_rst_n,  ,  ,  ,  ,  ,  );
 
+--exth_he is exth_he
+exth_he = BIDIR(A1L161);
 
---B3L8 is tmdsenc:hdmitmds[2].enc|Add4~6
-B3L8 = dummydata[19] $ (dummydata[17] $ (!dummydata[18]));
 
+--exth_hf is exth_hf
+exth_hf = BIDIR(A1L163);
 
---B3L66 is tmdsenc:hdmitmds[2].enc|qreg~9
-B3L66 = B3L8 $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
 
+--exth_hg is exth_hg
+exth_hg = BIDIR(A1L165);
 
---N2_shift_reg[6] is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6]
---register power-up is low
 
-N2_shift_reg[6] = DFFEAS(J1_dffe22, J1_fast_clock, T1_wire_pll1_locked,  ,  ,  ,  ,  ,  );
+--sr_dq[0] is sr_dq[0]
+sr_dq[0] = BIDIR(A1L352);
 
 
---N1L14 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~5
-N1L14 = (J1_dffe22) # (N2_shift_reg[6]);
+--sr_dq[1] is sr_dq[1]
+sr_dq[1] = BIDIR(A1L354);
 
 
---N1L15 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg~6
-N1L15 = (J1_dffe22) # (N1_shift_reg[6]);
+--sr_dq[2] is sr_dq[2]
+sr_dq[2] = BIDIR(A1L356);
 
 
---B1L68 is tmdsenc:hdmitmds[0].enc|qreg~10
-B1L68 = (B1_denreg & ((B1L28 & ((B1L45))) # (!B1L28 & (!B1L7))));
+--sr_dq[3] is sr_dq[3]
+sr_dq[3] = BIDIR(A1L358);
 
 
---B2L67 is tmdsenc:hdmitmds[1].enc|qreg~10
-B2L67 = (B1_denreg & ((B2L28 & ((B2L44))) # (!B2L28 & (!B2L6))));
+--sr_dq[4] is sr_dq[4]
+sr_dq[4] = BIDIR(A1L360);
 
 
---B2L68 is tmdsenc:hdmitmds[1].enc|qreg~11
-B2L68 = B2L7 $ (((B2L28 & (!B2L44)) # (!B2L28 & ((B2L6)))));
+--sr_dq[5] is sr_dq[5]
+sr_dq[5] = BIDIR(A1L362);
 
 
---B3L67 is tmdsenc:hdmitmds[2].enc|qreg~10
-B3L67 = B3L5 $ (((B3L28 & (!B3L44)) # (!B3L28 & ((B3L7)))));
+--sr_dq[6] is sr_dq[6]
+sr_dq[6] = BIDIR(A1L364);
 
 
---B3L68 is tmdsenc:hdmitmds[2].enc|qreg~11
-B3L68 = (B3L4 $ (((B3L28) # (B3L9)))) # (!B1_denreg);
+--sr_dq[7] is sr_dq[7]
+sr_dq[7] = BIDIR(A1L366);
 
 
---B1L8 is tmdsenc:hdmitmds[0].enc|Add4~6
-B1L8 = dummydata[3] $ (dummydata[1] $ (dummydata[2]));
+--sr_dq[8] is sr_dq[8]
+sr_dq[8] = BIDIR(A1L368);
 
 
---B1L69 is tmdsenc:hdmitmds[0].enc|qreg~11
-B1L69 = B1L8 $ (((B1L28 & (!B1L45)) # (!B1L28 & ((B1L7)))));
+--sr_dq[9] is sr_dq[9]
+sr_dq[9] = BIDIR(A1L370);
 
 
---F1L32 is sdram:sdram|dram_a~16
-F1L32 = (abc_a[7] & (!F1_WideOr0 & ((F1_state.st_p0_rd_cmd) # (F1_state.st_p0_wr_cmd))));
+--sr_dq[10] is sr_dq[10]
+sr_dq[10] = BIDIR(A1L372);
 
 
---F1L33 is sdram:sdram|dram_a~17
-F1L33 = (abc_a[8] & (!F1_WideOr0 & ((F1_state.st_p0_rd_cmd) # (F1_state.st_p0_wr_cmd))));
+--sr_dq[11] is sr_dq[11]
+sr_dq[11] = BIDIR(A1L374);
 
 
---F1L34 is sdram:sdram|dram_a~18
-F1L34 = (abc_a[9] & (!F1_WideOr0 & ((F1_state.st_p0_rd_cmd) # (F1_state.st_p0_wr_cmd))));
+--sr_dq[12] is sr_dq[12]
+sr_dq[12] = BIDIR(A1L376);
 
 
---F1L215 is sdram:sdram|state~49
-F1L215 = (F1_state.st_idle & ((F1_WideOr0) # ((!abc_rrq & !abc_wrq))));
+--sr_dq[13] is sr_dq[13]
+sr_dq[13] = BIDIR(A1L378);
 
 
---F1L203 is sdram:sdram|state.st_reset~9
-F1L203 = (F1L202 & ((F1_state.st_reset) # ((F1_init_ctr[15] & !F1_WideOr0))));
+--sr_dq[14] is sr_dq[14]
+sr_dq[14] = BIDIR(A1L380);
 
 
---B1L9 is tmdsenc:hdmitmds[0].enc|Add4~7
-B1L9 = B1L14 $ (B1_disparity[3] $ (B1L45));
+--sr_dq[15] is sr_dq[15]
+sr_dq[15] = BIDIR(A1L382);
 
 
---B2L9 is tmdsenc:hdmitmds[1].enc|Add4~7
-B2L9 = B2L14 $ (B2_disparity[3] $ (B2L44));
+--sd_dat[0] is sd_dat[0]
+sd_dat[0] = BIDIR(A1L312);
 
 
---B3L25 is tmdsenc:hdmitmds[2].enc|Add8~12
-B3L25 = (B3L15 & ((B3L14) # ((!B3L16 & !dummydata[17])))) # (!B3L15 & (dummydata[17] & ((!B3L16) # (!B3L14))));
+--sd_dat[1] is sd_dat[1]
+sd_dat[1] = BIDIR(A1L314);
 
 
---B3L26 is tmdsenc:hdmitmds[2].enc|Add8~13
-B3L26 = (B3L28 & (((!B3L44)))) # (!B3L28 & (B3L14 $ ((B3_disparity[3]))));
+--sd_dat[2] is sd_dat[2]
+sd_dat[2] = BIDIR(A1L316);
 
 
---B1L25 is tmdsenc:hdmitmds[0].enc|Add8~12
-B1L25 = (B1L15 & ((B1L14) # ((dummydata[1] & !B1L16)))) # (!B1L15 & (!dummydata[1] & ((!B1L16) # (!B1L14))));
+--sd_dat[3] is sd_dat[3]
+sd_dat[3] = BIDIR(A1L318);
 
 
---B1L26 is tmdsenc:hdmitmds[0].enc|Add8~13
-B1L26 = (B1L28 & (((!B1L45)))) # (!B1L28 & (B1L14 $ ((B1_disparity[3]))));
+--spi_clk is spi_clk
+spi_clk = BIDIR(A1L320);
 
 
---B2L25 is tmdsenc:hdmitmds[1].enc|Add8~12
-B2L25 = (B2L15 & ((B2L14) # ((dummydata[9] & !B2L16)))) # (!B2L15 & (!dummydata[9] & ((!B2L16) # (!B2L14))));
+--spi_miso is spi_miso
+spi_miso = BIDIR(A1L326);
 
 
---B2L26 is tmdsenc:hdmitmds[1].enc|Add8~13
-B2L26 = (B2L28 & (((!B2L44)))) # (!B2L28 & (B2L14 $ ((B2_disparity[3]))));
+--spi_mosi is spi_mosi
+spi_mosi = BIDIR(A1L328);
 
 
---B3L9 is tmdsenc:hdmitmds[2].enc|Add4~7
-B3L9 = B3L14 $ (B3_disparity[3] $ (B3L44));
+--spi_cs_esp_n is spi_cs_esp_n
+spi_cs_esp_n = BIDIR(A1L322);
 
 
---A1L205 is led_ctr[0]~84
-A1L205 = !led_ctr[0];
+--spi_cs_flash_n is spi_cs_flash_n
+spi_cs_flash_n = BIDIR(A1L324);
 
 
---A1L292 is rst_ctr[0]~0
-A1L292 = !rst_ctr[0];
+--esp_io0 is esp_io0
+esp_io0 = BIDIR(A1L152);
 
 
---A1L109 is abc_xmemrd_q~0
-A1L109 = !abc_xmemfl_n;
+--esp_int is esp_int
+esp_int = BIDIR(A1L150);
 
 
---F1L114 is sdram:sdram|init_ctr[10]~15
-F1L114 = !F1_init_ctr[10];
+--i2c_scl is i2c_scl
+i2c_scl = BIDIR(A1L196);
 
 
---J1L79 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8]~0
-J1L79 = !B3_qreg[7];
+--i2c_sda is i2c_sda
+i2c_sda = BIDIR(A1L198);
 
 
---J1L93 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]~1
-J1L93 = !B1_qreg[3];
+--gpio[0] is gpio[0]
+gpio[0] = BIDIR(A1L173);
 
 
---J1L95 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]~2
-J1L95 = !B2_qreg[3];
+--gpio[1] is gpio[1]
+gpio[1] = BIDIR(A1L175);
 
 
---J1L75 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6]~3
-J1L75 = !B1_qreg[7];
+--gpio[2] is gpio[2]
+gpio[2] = BIDIR(A1L177);
 
 
---J1L62 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a~0
-J1L62 = !J1_sync_dffe12a;
+--gpio[3] is gpio[3]
+gpio[3] = BIDIR(A1L179);
 
 
---J1L77 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7]~4
-J1L77 = !B2_qreg[7];
+--gpio[4] is gpio[4]
+gpio[4] = BIDIR(A1L181);
 
 
---A1L147 is dummydata[22]~0
-A1L147 = !dummydata[21];
+--gpio[5] is gpio[5]
+gpio[5] = BIDIR(A1L183);
 
 
---A1L142 is dummydata[19]~1
-A1L142 = !dummydata[18];
+--hdmi_scl is hdmi_scl
+hdmi_scl = BIDIR(A1L192);
 
 
---A1L144 is dummydata[20]~2
-A1L144 = !dummydata[19];
+--hdmi_hpd is hdmi_hpd
+hdmi_hpd = BIDIR(A1L190);
 
 
---A1L126 is dummydata[7]~3
-A1L126 = !dummydata[6];
+--abc_xmemfl_n is abc_xmemfl_n
+abc_xmemfl_n = INPUT();
 
 
---A1L121 is dummydata[3]~4
-A1L121 = !dummydata[2];
+--abc_a[10] is abc_a[10]
+abc_a[10] = INPUT();
 
 
---J1L88 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]~5
-J1L88 = !B3_qreg[5];
+--abc_a[11] is abc_a[11]
+abc_a[11] = INPUT();
 
 
---A1L132 is dummydata[11]~5
-A1L132 = !dummydata[10];
+--abc_a[12] is abc_a[12]
+abc_a[12] = INPUT();
 
 
---A1L130 is dummydata[10]~6
-A1L130 = !dummydata[9];
+--abc_a[1] is abc_a[1]
+abc_a[1] = INPUT();
 
 
---A1L138 is dummydata[16]~7
-A1L138 = !dummydata[15];
+--abc_a[13] is abc_a[13]
+abc_a[13] = INPUT();
 
 
---J1L70 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2]~6
-J1L70 = !B3_qreg[9];
+--abc_a[2] is abc_a[2]
+abc_a[2] = INPUT();
 
 
---J1L84 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]~7
-J1L84 = !B1_qreg[5];
+--abc_a[14] is abc_a[14]
+abc_a[14] = INPUT();
 
 
---J1L86 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]~8
-J1L86 = !B2_qreg[5];
+--abc_a[3] is abc_a[3]
+abc_a[3] = INPUT();
 
 
---J1L66 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0]~9
-J1L66 = !B1_qreg[9];
+--abc_a[15] is abc_a[15]
+abc_a[15] = INPUT();
 
 
---J1L68 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1]~10
-J1L68 = !B2_qreg[9];
+--abc_a[4] is abc_a[4]
+abc_a[4] = INPUT();
 
 
---J1L97 is hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]~11
-J1L97 = !B3_qreg[3];
+--abc_a[5] is abc_a[5]
+abc_a[5] = INPUT();
 
 
---T1_remap_decoy_le3a_0 is pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_0
-T1_remap_decoy_le3a_0 = LCELL(GND);
+--abc_a[6] is abc_a[6]
+abc_a[6] = INPUT();
 
 
---T1_remap_decoy_le3a_1 is pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_1
-T1_remap_decoy_le3a_1 = LCELL(GND);
+--abc_a[7] is abc_a[7]
+abc_a[7] = INPUT();
 
 
---T1_remap_decoy_le3a_2 is pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_2
-T1_remap_decoy_le3a_2 = LCELL(GND);
+--abc_a[8] is abc_a[8]
+abc_a[8] = INPUT();
 
 
---A1L394 is ~GND
-A1L394 = GND;
+--abc_a[9] is abc_a[9]
+abc_a[9] = INPUT();
 
 
---A1L395 is ~VCC
-A1L395 = VCC;
+--abc_a[0] is abc_a[0]
+abc_a[0] = INPUT();
 
 
---A1L107 is abc_xmemfl_n~_wirecell
-A1L107 = !abc_xmemfl_n;
+--clock_48 is clock_48
+clock_48 = INPUT();
 
 
---F1L44 is sdram:sdram|dram_cmd[0]~_wirecell
-F1L44 = !F1_dram_cmd[0];
+--abc_xmemw800_n is abc_xmemw800_n
+abc_xmemw800_n = INPUT();
 
 
---F1L46 is sdram:sdram|dram_cmd[1]~_wirecell
-F1L46 = !F1_dram_cmd[1];
+--abc_xmemw80_n is abc_xmemw80_n
+abc_xmemw80_n = INPUT();
 
 
---F1L48 is sdram:sdram|dram_cmd[2]~_wirecell
-F1L48 = !F1_dram_cmd[2];
+--abc_xinpstb_n is abc_xinpstb_n
+abc_xinpstb_n = INPUT();
 
 
---F1L50 is sdram:sdram|dram_cmd[3]~_wirecell
-F1L50 = !F1_dram_cmd[3];
+--abc_xoutpstb_n is abc_xoutpstb_n
+abc_xoutpstb_n = INPUT();
 
 

BIN
output_files/max80.pof


BIN
output_files/max80.sof


+ 194 - 212
sdram.sv

@@ -26,16 +26,16 @@
 
 module sdram (
 	      // Reset and clock
-	      input 	    rst_n,
-	      input 	    clk,
+	      input	    rst_n,
+	      input	    clk,
 
 	      // SDRAM hardware interface
-	      output 	    sr_clk, // SDRAM clock output buffer
-	      output 	    sr_cke, // SDRAM clock enable
-	      output 	    sr_cs_n, // SDRAM CS#
-	      output 	    sr_ras_n, // SDRAM RAS#
-	      output 	    sr_cas_n, // SDRAM CAS#
-	      output 	    sr_we_n, // SDRAM WE#
+	      output	    sr_clk, // SDRAM clock output buffer
+	      output	    sr_cke, // SDRAM clock enable
+	      output	    sr_cs_n, // SDRAM CS#
+	      output	    sr_ras_n, // SDRAM RAS#
+	      output	    sr_cas_n, // SDRAM CAS#
+	      output	    sr_we_n, // SDRAM WE#
 	      output [1:0]  sr_dqm, // SDRAM DQM (per byte)
 	      output [1:0]  sr_ba, // SDRAM bank selects
 	      output [12:0] sr_a, // SDRAM address bus
@@ -45,24 +45,24 @@ module sdram (
 	      input [24:0]  a0, // Address, must be stable until ack
 
 	      output [7:0]  rd0, // Data from SDRAM
-	      input 	    rrq0, // Read request
-	      output 	    rack0, // Read ack
+	      input	    rrq0, // Read request
+	      output	    rack0, // Read ack
 
 	      input [7:0]   wd0, // Data to SDRAM
-	      input 	    wrq0, // Write request
-	      output 	    wack0, // Write ack
+	      input	    wrq0, // Write request
+	      output	    wack0, // Write ack
 
 	      // Port 1
 	      input [24:1]  a1,
 
 	      output [15:0] rd1,
-	      input 	    rrq1,
-	      output 	    rack1,
+	      input	    rrq1,
+	      output	    rack1,
 
 	      input [15:0]  wd1,
 	      input [1:0]   wbe1, // Write byte enable
-	      input 	    wrq1,
-	      output 	    wack1
+	      input	    wrq1,
+	      output	    wack1
 	      );
 
    //  Timing parameters
@@ -109,19 +109,15 @@ module sdram (
 			    mrd_burst };	// Burst length
 
    // Handy functions for timing calculations
-   function int max(input int a, b);
+   function int max(input int a, input int b);
      if (a > b)
        max = a;
      else
        max = b;
    endfunction // max
-
-   function int nops(input int need, elapsed);
-     if (need > elapsed + 1)
-       nops = need - (elapsed+1);
-     else
-       nops = 0;
-   endfunction // nops
+   function int max3(input int a, input int b, input int c);
+      max3 = max(max(a,b),c);
+   endfunction // max3
 
    // Command opcodes (CS#, RAS#, CAS#, WE#)
    parameter		    cmd_desl = 4'b1111;	// Deselect (= NOP)
@@ -134,6 +130,17 @@ module sdram (
    parameter		    cmd_ref  = 4'b0001; // AUTO REFRESH
    parameter		    cmd_mrd  = 4'b0000; // LOAD MODE REGISTER
 
+   // Where to issue a PRECHARGE when we only want to read one word
+   // (terminate the burst as soon as possible, but no sooner...)
+   parameter t_pre_rd_when = max(t_ras, t_rcd + 1);
+
+   // Where to issue a PRECHARGE when we only want to write one word
+   // (terminate the burst as soon as possible, but no sooner...)
+   parameter t_pre_wr_when = max(t_ras, t_rcd + t_wr);
+
+   // Actual burst length (2^burst)
+   parameter burst_n = 1 << burst;
+
    // SDRAM output clock buffer. The SDRAM output clock is
    // inverted with respect to our internal clock, so that
    // the SDRAM sees the positive clock edge in the middle of
@@ -149,7 +156,7 @@ module sdram (
 			.outclock ( clk ),
 			.dataout ( sr_clk )
 			);
-   
+
    // SDRAM output signal registers
    reg			    dram_cke;
    assign		    sr_cke = dram_cke;
@@ -188,26 +195,20 @@ module sdram (
 
    // State machine and counters
    reg [t_ref:0]	    rfsh_ctr;  // Refresh timer
-   reg [t_p:t_ref]	    init_ctr;  // Initialization counter
-   reg [3:0]		    nop_ctr;   // Insert NOPs into a cycle
-   reg [burst-1:0]	    burst_ctr; // Position in the current burst
+   reg [t_p:t_ref]	    init_ctr;  // Reset to init counter
+   reg [burst:0]	    op_cycle;  // Cycles into the current operation
 
+   // The actual values are unimportant; the compiler will optimize
+   // the state machine implementation for us.
    reg [3:0]		    state;
    parameter st_reset       = 4'h00;  // Reset until init timer expires
-   parameter st_init_rfsh1  = 4'h01;  // 1st refresh during initialization
-   parameter st_init_rfsh2  = 4'h02;  // 2st refresh during initialization
-   parameter st_init_mrd    = 4'h03;
-   parameter st_idle        = 4'h04;  // Idle state: all banks precharged
-   parameter st_p0_rd_cmd   = 4'h06;
-   parameter st_p0_rd_pre   = 4'h07;
-   parameter st_p0_rd_data  = 4'h08;
-   parameter st_p0_wr_cmd   = 4'h09;
-   parameter st_p0_wr_pre   = 4'h0a;
-   parameter st_p1_rd_cmd   = 4'h0b;
-   parameter st_p1_rd_pre   = 4'h0c;
-   parameter st_p1_rd_data  = 4'h0d;
-   parameter st_p1_wr_cmd   = 4'h0e;
-   parameter st_p1_wr_data  = 4'h0f;
+   parameter st_init        = 4'h01;  // 1st refresh during initialization
+   parameter st_idle        = 4'h02;  // Idle state: all banks precharged
+   parameter st_rfsh        = 4'h03;
+   parameter st_p0_rd       = 4'h04;
+   parameter st_p0_wr       = 4'h05;
+   parameter st_p1_rd       = 4'h06;
+   parameter st_p1_wr       = 4'h07;
 
    reg is_rfsh; // A refresh cycle, clear rfsh_ctr
 
@@ -248,8 +249,7 @@ module sdram (
 	  dram_dqm      <= 2'b00;
 	  dram_d        <= 16'h0000;
 	  dram_d_en     <= 1'b1; // Don't float except during read
-	  nop_ctr       <= 4'd0;
-	  burst_ctr     <= 1'b0;
+	  op_cycle      <= 1'b0;
 	  state         <= st_reset;
 	  is_rfsh       <= 1'b0;
 
@@ -265,7 +265,7 @@ module sdram (
 	  // Default values
 	  dram_a        <= 13'h0000;
 	  dram_ba       <= 2'b00;
-	  dram_dqm      <= (state == st_p0_wr_pre) ? 2'b11 : 2'b00;
+	  dram_dqm      <= 2'b11;
 	  dram_d        <= 16'h0000;
 
 	  is_rfsh       <= 1'b0;
@@ -275,192 +275,174 @@ module sdram (
 	  rack1_q       <= 1'b0;
 	  wack1_q       <= 1'b0;
 
-	  if (|nop_ctr)
-	    begin
-	       dram_cmd <= cmd_nop;
-	       nop_ctr  <= nop_ctr - 1'b1;
-	    end
+	  dram_d_en     <= 1'b1; // Don't float except during read
+
+	  if (state == st_reset | state == st_idle)
+	    op_cycle <= 1'b1;	// == 0 + 1
 	  else
-	    begin
-	       nop_ctr   <= 1'b0; // Redundant, but might help compiler
-	       dram_d_en <= 1'b1;
-	       burst_ctr <= 1'b0;
+	    op_cycle <= op_cycle + 1'b1;
 
-	       case (state)
-		 st_reset:
-		   begin
-		      dram_cmd  <= cmd_desl;
-		      if (init_ctr[t_p])
-			begin
-			   dram_cmd   <= cmd_pre;
-			   dram_a[10] <= 1'b1; // Precharge All Banks
-			   state      <= st_init_rfsh1;
-			   nop_ctr    <= nops(t_rp, 0);
-			end
-		   end
-		 st_init_rfsh1:
-		   begin
-		      dram_cmd  <= cmd_ref;
-		      state     <= st_init_rfsh2;
-		      nop_ctr   <= nops(t_rfc, 0);
-		   end
-		 st_init_rfsh2:
-		   begin
-		      dram_cmd  <= cmd_ref;
-		      state     <= st_init_mrd;
-		      nop_ctr   <= nops(t_rfc, 0);
-		   end
-		 st_init_mrd:
+	  case (state)
+	    st_reset:
+	      begin
+		 dram_cmd  <= cmd_desl;
+		 if (init_ctr[t_p])
 		   begin
-		      dram_cmd <= cmd_mrd;
-		      dram_a   <= mrd_val;
-		      state    <= st_idle;
-		      nop_ctr  <= nops(t_mrd, 0);
+		      dram_cmd   <= cmd_pre;
+		      dram_a[10] <= 1'b1; // Precharge All Banks
+		      state      <= st_init;
 		   end
-		 st_idle:
-		   begin
-		      // A data transaction starts with ACTIVE command;
-		      // a refresh transaction starts with REFRESH.
-		      // Port 0 has the highest priority, then
-		      // refresh, then port 1; a refresh transaction
-		      // is started opportunistically if nothing is
-		      // pending and the refresh counter is no less than
-		      // half expired.
-		      casez ( {rrq0|wrq0, rrq1|wrq1, rfsh_ctr[t_ref:t_ref-1]} )
-			4'b1zzz:
-			  begin
-			     // Begin port 0 transaction
-			     dram_cmd    <= cmd_act;
-			     dram_a      <= a0[24:12];
-			     dram_ba     <= a0[11:10];
-			     state       <= wrq0 ? st_p0_wr_cmd : st_p0_rd_cmd;
-			     nop_ctr     <= nops(t_rcd, 0);
-			  end
-			4'b010z:
-			  begin
-			     // Begin port 1 transaction
-			     dram_cmd    <= cmd_act;
-			     dram_a      <= a1[24:12];
-			     dram_ba     <= a1[11:10];
-			     state       <= wrq1 ? st_p1_wr_cmd : st_p1_rd_cmd;
-			     nop_ctr     <= nops(t_rcd, 0);
-			  end
-			4'b0z1z, 4'b0001:
-			  begin
-			     // Begin refresh transaction
-			     dram_cmd    <= cmd_ref;
-			     state       <= st_idle;
-			     nop_ctr     <= nops(t_rfc, 0);
-			     is_rfsh     <= 1'b1;
-			  end
-			4'b0000:
-			  begin
-			     dram_cmd    <= cmd_desl;
-			     state       <= st_idle;
-			  end
-		      endcase // casez ( {rrq0|wrq0, rrq1|wrq1, rfsh_ctr[t_ref:t_ref-1]} )
-		   end // case: st_idle
-		 st_p0_rd_cmd:
+	      end
+	    st_init:
+	      begin
+		 if ( op_cycle == t_rp || op_cycle == t_rp + t_ref )
 		   begin
-		      dram_cmd    <= cmd_rd;
-		      dram_d_en   <= 1'b0; // Tristate our output
-		      dram_a[8:0] <= a0[9:1];
-		      dram_ba     <= a0[11:10];
-		      state       <= st_p0_rd_pre;
+		      dram_cmd   <= cmd_ref;
+		      is_rfsh    <= 1'b1;
 		   end
-		 st_p0_rd_pre:
+		 if ( op_cycle == t_rp + t_ref*2 )
 		   begin
-		      // The PRE command issued in the cycle immediately
-		      // after the read will terminate the burst after
-		      // exactly one data strobe.  Note that the nop_ctr
-		      // below is CL+1, not CL, due to bus turnaround.
-		      dram_cmd    <= cmd_pre;
-		      dram_d_en   <= 1'b0; // Tristate our output
-		      dram_ba     <= a0[11:10];
-		      state       <= st_p0_rd_data;
-		      nop_ctr     <= nops(t_cl + 1, 1);
+		      dram_cmd   <= cmd_mrd;
+		      dram_a     <= mrd_val;
 		   end
-		 st_p0_rd_data:
+		 if ( op_cycle >= t_rp + t_ref*2 + t_mrd - 1 )
+		   state <= st_idle;
+	      end // case: st_init
+	    st_idle:
+	      begin
+		 // A data transaction starts with ACTIVE command;
+		 // a refresh transaction starts with REFRESH.
+		 // Port 0 has the highest priority, then
+		 // refresh, then port 1; a refresh transaction
+		 // is started opportunistically if nothing is
+		 // pending and the refresh counter is no less than
+		 // half expired.
+		 casez ( {rrq0|wrq0, rrq1|wrq1, rfsh_ctr[t_ref:t_ref-1]} )
+		   4'b1zzz:
+		     begin
+			// Begin port 0 transaction
+			dram_cmd    <= cmd_act;
+			dram_a      <= a0[24:12];
+			dram_ba     <= a0[11:10];
+			state       <= wrq0 ? st_p0_wr : st_p0_rd;
+		     end
+		   4'b010z:
+		     begin
+			// Begin port 1 transaction
+			dram_cmd    <= cmd_act;
+			dram_a      <= a1[24:12];
+			dram_ba     <= a1[11:10];
+			state       <= wrq1 ? st_p1_wr : st_p1_rd;
+		     end
+		   4'b0z1z, 4'b0001:
+		     begin
+			// Begin refresh transaction
+			dram_cmd    <= cmd_ref;
+			is_rfsh     <= 1'b1;
+			state       <= st_rfsh;
+		     end
+		   default:
+		     begin
+			dram_cmd    <= cmd_desl;
+			state       <= st_idle;
+		     end
+		 endcase // casez ( {rrq0|wrq0, rrq1|wrq1, rfsh_ctr[t_ref:t_ref-1]} )
+	      end // case: st_idle
+	    st_rfsh:
+	      begin
+		 if (op_cycle >= t_rfc-1)
+		   state <= st_idle;
+	      end
+	    st_p0_rd:
+	      begin
+		 dram_d_en   <= 1'b0;		// Tristate our output
+		 dram_a[8:0] <= a0[9:1];
+		 dram_ba     <= a0[11:10];
+		 dram_a[10]  <= 1'b0;		// No auto precharge
+		 dram_dqm    <= 2'b00;
+
+		 if ( op_cycle == t_rfc )
+		   dram_cmd <= cmd_rd;
+
+		 if ( op_cycle == t_pre_rd_when )
+		   dram_cmd <= cmd_pre; // Precharge and stop burst
+
+		 // Latch input data. The +1 is due to bus turnaround.
+		 if ( op_cycle == t_rfc + t_cl + 1 )
 		   begin
-		      // The nop_ctr elapsed calculation has:
-		      // +1 for the bus turnaround cycle added previously
-		      dram_cmd    <= cmd_nop;
-		      dram_d_en   <= 1'b0; // Tristate one more cycle
 		      dram_q      <= sr_dq;
 		      rack0_q     <= 1'b1;
-		      state       <= st_idle;
-		      nop_ctr     <= max(nops(t_rp, t_cl + 1),
-					 nops(t_rc, t_rcd + t_cl + 1));
 		   end
-		 st_p0_wr_cmd:
-		   begin
-		      dram_cmd    <= cmd_wr;
-		      dram_a[8:0] <= a0[9:1];
-		      dram_ba     <= a0[11:10];
-		      dram_dqm    <= { ~a0[0], a0[0] };
-		      dram_d      <= { wd0, wd0 };
-		      wack0_q     <= 1'b1;
-		      state       <= st_p0_wr_pre;
-		      nop_ctr     <= nops(t_wr, 0);
-		   end
-		 st_p0_wr_pre:
+
+		 if ( op_cycle >= max(t_rc, t_pre_rd_when + t_rp) - 1 )
+		   state <= st_idle;
+	      end // case: st_p0_rd
+	    st_p0_wr:
+	      begin
+		 dram_a[8:0]      <= a0[9:1];
+		 dram_ba          <= a0[11:10];
+		 dram_a[10]       <= 1'b0;  // No auto precharge
+		 dram_d           <= { wd0, wd0 };
+
+		 // Due to register delay ack write one cycle early
+		 if ( op_cycle == t_rfc-1 )
+		   wack0_q        <= 1'b1;
+
+		 if ( op_cycle == t_rfc )
 		   begin
-		      dram_cmd    <= cmd_pre;
-		      nop_ctr     <= max(nops(t_rp, 0),
-					 nops(t_rc, t_rcd + t_wr));
-		      state       <= st_idle;
+		      dram_cmd <= cmd_wr;
+		      dram_dqm <= { ~a0[0], a0[0] };
 		   end
-		 st_p1_rd_cmd:
+
+		 if ( op_cycle == t_pre_wr_when )
 		   begin
-		      dram_cmd    <= cmd_rd;
-		      dram_d_en   <= 1'b0; // Tristate our output
-		      dram_a[8:0] <= a1[9:1];
-		      dram_ba     <= a1[11:10];
-		      dram_a[10]  <= 1'b1; // Auto precharge
-		      nop_ctr     <= nops(t_cl + 1, 0);
-		      state       <= st_p1_rd_data;
+		      dram_cmd <= cmd_pre;
 		   end
-		 st_p1_rd_data:
+
+		 if ( op_cycle >= max(t_rc, t_pre_wr_when + t_rp) - 1 )
+		   state <= st_idle;
+	      end // case: st_p0_wr
+	    st_p1_rd:
+	      begin
+		 dram_d_en   <= 1'b0;		// Tristate our output
+		 dram_a[8:0] <= a1[9:1];
+		 dram_ba     <= a1[11:10];
+		 dram_a[10]  <= 1'b1;		// Auto precharge
+		 dram_dqm    <= 2'b00;
+
+		 if ( op_cycle == t_rfc )
+		   dram_cmd <= cmd_rd;
+
+		 // Latch input data. There is an implicit +1
+		 // due to bus turnaround.
+		 if ( op_cycle > t_rfc + t_cl &&
+		      op_cycle <= t_rfc + t_cl + burst_n )
 		   begin
-		      dram_cmd    <= cmd_nop;
-		      dram_d_en   <= 1'b0; // Tristate our output
 		      dram_q      <= sr_dq;
 		      rack1_q     <= 1'b1;
-		      burst_ctr   <= burst_ctr + 1'b1;
-		      if (&burst_ctr)
-			begin
-			   state   <= st_idle;
-			   nop_ctr <= max(nops(t_rp, t_cl + (1 << burst)),
-					  nops(t_rc, t_rcd + t_cl + (1 << burst)));
-			end
-		   end
-		 st_p1_wr_cmd:
-		   begin
-		      dram_cmd     <= cmd_wr;
-		      dram_a[8:0]  <= a1[9:1];
-		      dram_ba      <= a1[11:10];
-		      dram_a[10]   <= 1'b1; // Auto precharge
-		      dram_d       <= wd1;
-		      dram_dqm     <= ~wbe1;
-		      wack1_q      <= 1'b1;
-		      burst_ctr    <= burst_ctr + 1'b1;
-		      state        <= st_p1_wr_data;
 		   end
-		 st_p1_wr_data:
-		   begin
-		      dram_cmd     <= cmd_nop;
-		      dram_d       <= wd1;
-		      dram_dqm     <= ~wbe1;
-		      wack1_q      <= 1'b1;
-		      burst_ctr    <= burst_ctr + 1'b1;
-		      if (&burst_ctr)
-			begin
-			   state   <= st_idle;
-			   nop_ctr <= max(nops(t_wr + t_rp, 0),
-					  nops(t_rc, t_rcd + (1 << burst)));
-			end
-		   end
-	       endcase // case(state)
-	    end // else: !if(|nop_ctr)
+
+		 if ( op_cycle >= max(t_rc - 1, t_rfc + t_cl + burst_n) )
+		   state <= st_idle;
+	      end // case: st_p1_rd
+	    st_p1_wr:
+	      begin
+		 dram_a[8:0] <= a1[9:1];
+		 dram_ba     <= a1[11:10];
+		 dram_a[10]  <= 1'b1;		// Auto precharge
+		 dram_dqm    <= ~wbe1;
+		 dram_d      <= wd1;
+
+		 if ( op_cycle == t_rfc )
+		   dram_cmd <= cmd_wr;
+
+		 // Due to register delay ack write one cycle early
+		 if ( op_cycle >= t_rfc - 1 && op_cycle < t_rfc + burst_n - 1 )
+		   wack1_q        <= 1'b1;
+
+		 if ( op_cycle >= max(t_rfc + burst_n + t_wr + t_rp, t_rc) - 1 )
+		   state <= st_idle;
+	      end // case: st_p1_wr
+	  endcase // case(state)
        end // else: !if(~rst_n)
 endmodule // dram

+ 5 - 5
transpose.sv

@@ -5,13 +5,13 @@ module condreg
   #(parameter bits,
     parameter register)
    (
-    input 	      clk,
+    input	      clk,
     input [bits-1:0]  d,
     output [bits-1:0] q
     );
 
    reg [bits-1:0]  qr;
-   wire 	   clock = register ? clk : 1'b0;
+   wire		   clock = register ? clk : 1'b0;
    assign          q = register ? qr : d;
 
    always @(posedge clock)
@@ -41,7 +41,7 @@ module transpose
     parameter reg_q     = 0,
     parameter transpose = 1)
    (
-    input 		    clk,
+    input		    clk,
     input [words*bits-1:0]  d,
     output [words*bits-1:0] q
    );
@@ -53,7 +53,7 @@ module transpose
    dreg (.clk (clk), .d (d), .q(in));
    condreg #(.bits(words*bits), .register(reg_q))
    qreg (.clk (clk), .d (out), .q(q));
-   
+
    always @(*)
      begin
 	integer w, b;
@@ -82,7 +82,7 @@ module reverse
     parameter reg_q   = 0,
     parameter reverse = 1)
    (
-    input 	      clk,
+    input	      clk,
     input [bits-1:0]  d,
     output [bits-1:0] q
     );

Niektóre pliki nie zostały wyświetlone z powodu dużej ilości zmienionych plików