|
@@ -0,0 +1,3907 @@
|
|
|
+Timing Analyzer report for max80
|
|
|
+Wed Jul 28 12:56:15 2021
|
|
|
+Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
|
|
|
+
|
|
|
+
|
|
|
+---------------------
|
|
|
+; Table of Contents ;
|
|
|
+---------------------
|
|
|
+ 1. Legal Notice
|
|
|
+ 2. Timing Analyzer Summary
|
|
|
+ 3. Parallel Compilation
|
|
|
+ 4. Clocks
|
|
|
+ 5. Slow 1200mV 85C Model Fmax Summary
|
|
|
+ 6. Timing Closure Recommendations
|
|
|
+ 7. Slow 1200mV 85C Model Setup Summary
|
|
|
+ 8. Slow 1200mV 85C Model Hold Summary
|
|
|
+ 9. Slow 1200mV 85C Model Recovery Summary
|
|
|
+ 10. Slow 1200mV 85C Model Removal Summary
|
|
|
+ 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
|
|
|
+ 12. Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
|
|
|
+ 13. Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 14. Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 15. Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
|
|
|
+ 16. Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 17. Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
|
|
|
+ 18. Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 19. Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
|
|
|
+ 20. Slow 1200mV 85C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 21. Slow 1200mV 85C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 22. Slow 1200mV 85C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 23. Slow 1200mV 85C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 24. Slow 1200mV 85C Model Metastability Summary
|
|
|
+ 25. Slow 1200mV 0C Model Fmax Summary
|
|
|
+ 26. Slow 1200mV 0C Model Setup Summary
|
|
|
+ 27. Slow 1200mV 0C Model Hold Summary
|
|
|
+ 28. Slow 1200mV 0C Model Recovery Summary
|
|
|
+ 29. Slow 1200mV 0C Model Removal Summary
|
|
|
+ 30. Slow 1200mV 0C Model Minimum Pulse Width Summary
|
|
|
+ 31. Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
|
|
|
+ 32. Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 33. Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 34. Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
|
|
|
+ 35. Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 36. Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
|
|
|
+ 37. Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 38. Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
|
|
|
+ 39. Slow 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 40. Slow 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 41. Slow 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 42. Slow 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 43. Slow 1200mV 0C Model Metastability Summary
|
|
|
+ 44. Fast 1200mV 0C Model Setup Summary
|
|
|
+ 45. Fast 1200mV 0C Model Hold Summary
|
|
|
+ 46. Fast 1200mV 0C Model Recovery Summary
|
|
|
+ 47. Fast 1200mV 0C Model Removal Summary
|
|
|
+ 48. Fast 1200mV 0C Model Minimum Pulse Width Summary
|
|
|
+ 49. Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
|
|
|
+ 50. Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 51. Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 52. Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
|
|
|
+ 53. Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
|
|
|
+ 54. Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 55. Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 56. Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
|
|
|
+ 57. Fast 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 58. Fast 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 59. Fast 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[2]'
|
|
|
+ 60. Fast 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[1]'
|
|
|
+ 61. Fast 1200mV 0C Model Metastability Summary
|
|
|
+ 62. Multicorner Timing Analysis Summary
|
|
|
+ 63. Board Trace Model Assignments
|
|
|
+ 64. Input Transition Times
|
|
|
+ 65. Signal Integrity Metrics (Slow 1200mv 0c Model)
|
|
|
+ 66. Signal Integrity Metrics (Slow 1200mv 85c Model)
|
|
|
+ 67. Signal Integrity Metrics (Fast 1200mv 0c Model)
|
|
|
+ 68. Setup Transfers
|
|
|
+ 69. Hold Transfers
|
|
|
+ 70. Recovery Transfers
|
|
|
+ 71. Removal Transfers
|
|
|
+ 72. Report TCCS
|
|
|
+ 73. Report RSKM
|
|
|
+ 74. Unconstrained Paths Summary
|
|
|
+ 75. Clock Status Summary
|
|
|
+ 76. Unconstrained Output Ports
|
|
|
+ 77. Unconstrained Output Ports
|
|
|
+ 78. Timing Analyzer Messages
|
|
|
+
|
|
|
+
|
|
|
+
|
|
|
+----------------
|
|
|
+; Legal Notice ;
|
|
|
+----------------
|
|
|
+Copyright (C) 2019 Intel Corporation. All rights reserved.
|
|
|
+Your use of Intel Corporation's design tools, logic functions
|
|
|
+and other software and tools, and any partner logic
|
|
|
+functions, and any output files from any of the foregoing
|
|
|
+(including device programming or simulation files), and any
|
|
|
+associated documentation or information are expressly subject
|
|
|
+to the terms and conditions of the Intel Program License
|
|
|
+Subscription Agreement, the Intel Quartus Prime License Agreement,
|
|
|
+the Intel FPGA IP License Agreement, or other applicable license
|
|
|
+agreement, including, without limitation, that your use is for
|
|
|
+the sole purpose of programming logic devices manufactured by
|
|
|
+Intel and sold by Intel or its authorized distributors. Please
|
|
|
+refer to the applicable agreement for further details, at
|
|
|
+https://fpgasoftware.intel.com/eula.
|
|
|
+
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------+
|
|
|
+; Timing Analyzer Summary ;
|
|
|
++-----------------------+-----------------------------------------------------+
|
|
|
+; Quartus Prime Version ; Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition ;
|
|
|
+; Timing Analyzer ; Legacy Timing Analyzer ;
|
|
|
+; Revision Name ; max80 ;
|
|
|
+; Device Family ; Cyclone IV E ;
|
|
|
+; Device Name ; EP4CE15F17C8 ;
|
|
|
+; Timing Models ; Final ;
|
|
|
+; Delay Model ; Combined ;
|
|
|
+; Rise/Fall Delays ; Enabled ;
|
|
|
++-----------------------+-----------------------------------------------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------+
|
|
|
+; Parallel Compilation ;
|
|
|
++----------------------------+-------------+
|
|
|
+; Processors ; Number ;
|
|
|
++----------------------------+-------------+
|
|
|
+; Number detected on machine ; 4 ;
|
|
|
+; Maximum allowed ; 2 ;
|
|
|
+; ; ;
|
|
|
+; Average used ; 1.04 ;
|
|
|
+; Maximum used ; 2 ;
|
|
|
+; ; ;
|
|
|
+; Usage by Processor ; % Time Used ;
|
|
|
+; Processor 1 ; 100.0% ;
|
|
|
+; Processor 2 ; 4.4% ;
|
|
|
++----------------------------+-------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Clocks ;
|
|
|
++---------------------------------------------------------------+-----------+--------+------------+--------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------------------------------------------+-----------------------------------------------------------------+-------------------------------------------------------------------+
|
|
|
+; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
|
|
|
++---------------------------------------------------------------+-----------+--------+------------+--------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------------------------------------------+-----------------------------------------------------------------+-------------------------------------------------------------------+
|
|
|
+; clock_48 ; Base ; 20.833 ; 48.0 MHz ; 0.000 ; 10.416 ; ; ; ; ; ; ; ; ; ; ; { clock_48 } ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; Generated ; 5.555 ; 180.02 MHz ; -1.388 ; 1.389 ; 50.00 ; 1 ; 5 ; -90.0 ; ; ; ; false ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0] ; { hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] } ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; Generated ; 27.777 ; 36.0 MHz ; -1.388 ; 12.500 ; 50.00 ; 1 ; 1 ; -18.0 ; ; ; ; false ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0] ; { hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] } ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[0] ; Generated ; 10.416 ; 96.01 MHz ; 0.000 ; 5.208 ; 50.00 ; 1 ; 2 ; ; ; ; ; false ; clock_48 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[0] } ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; Generated ; 10.416 ; 96.01 MHz ; 0.000 ; 5.208 ; 50.00 ; 1 ; 2 ; ; ; ; ; false ; clock_48 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[1] } ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; Generated ; 27.777 ; 36.0 MHz ; 0.000 ; 13.888 ; 50.00 ; 4 ; 3 ; ; ; ; ; false ; clock_48 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[2] } ;
|
|
|
++---------------------------------------------------------------+-----------+--------+------------+--------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------------------------------------------+-----------------------------------------------------------------+-------------------------------------------------------------------+
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Fmax Summary ;
|
|
|
++------------+-----------------+---------------------------------------------------------------+------------------------------------------------+
|
|
|
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
|
|
|
++------------+-----------------+---------------------------------------------------------------+------------------------------------------------+
|
|
|
+; 103.17 MHz ; 103.17 MHz ; pll|altpll_component|auto_generated|pll1|clk[2] ; ;
|
|
|
+; 187.69 MHz ; 187.69 MHz ; pll|altpll_component|auto_generated|pll1|clk[1] ; ;
|
|
|
+; 270.2 MHz ; 270.2 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; ;
|
|
|
+; 479.62 MHz ; 402.09 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; limit due to minimum period restriction (tmin) ;
|
|
|
++------------+-----------------+---------------------------------------------------------------+------------------------------------------------+
|
|
|
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
|
|
|
+
|
|
|
+
|
|
|
+----------------------------------
|
|
|
+; Timing Closure Recommendations ;
|
|
|
+----------------------------------
|
|
|
+HTML report is unavailable in plain text report export.
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Setup Summary ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 1.854 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.088 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 18.084 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 22.554 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Hold Summary ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.467 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.503 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.529 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 1.560 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Recovery Summary ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.827 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.665 ; 0.000 ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Removal Summary ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 1.509 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 3.366 ; 0.000 ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.476 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 4.907 ; 0.000 ;
|
|
|
+; clock_48 ; 10.341 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 13.584 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 13.587 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.854 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.118 ; 2.998 ;
|
|
|
+; 1.917 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.122 ; 3.387 ;
|
|
|
+; 2.007 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 3.360 ;
|
|
|
+; 2.051 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 3.316 ;
|
|
|
+; 2.062 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.116 ; 2.792 ;
|
|
|
+; 2.126 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 3.241 ;
|
|
|
+; 2.276 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.118 ; 3.032 ;
|
|
|
+; 2.279 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.122 ; 2.569 ;
|
|
|
+; 2.343 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 3.024 ;
|
|
|
+; 2.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 3.017 ;
|
|
|
+; 2.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 3.017 ;
|
|
|
+; 2.477 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.995 ;
|
|
|
+; 2.555 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.923 ;
|
|
|
+; 2.580 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.892 ;
|
|
|
+; 2.581 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.897 ;
|
|
|
+; 2.584 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.888 ;
|
|
|
+; 2.586 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.886 ;
|
|
|
+; 2.614 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.858 ;
|
|
|
+; 2.616 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.856 ;
|
|
|
+; 2.618 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.854 ;
|
|
|
+; 2.625 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.853 ;
|
|
|
+; 2.625 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.847 ;
|
|
|
+; 2.628 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.844 ;
|
|
|
+; 2.682 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.790 ;
|
|
|
+; 2.701 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.777 ;
|
|
|
+; 2.719 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.759 ;
|
|
|
+; 2.722 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.756 ;
|
|
|
+; 2.726 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.748 ;
|
|
|
+; 2.728 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.746 ;
|
|
|
+; 2.740 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.738 ;
|
|
|
+; 2.766 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.712 ;
|
|
|
+; 2.772 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.706 ;
|
|
|
+; 2.779 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.699 ;
|
|
|
+; 2.793 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.679 ;
|
|
|
+; 2.800 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.672 ;
|
|
|
+; 2.802 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.670 ;
|
|
|
+; 2.804 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.668 ;
|
|
|
+; 2.808 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.664 ;
|
|
|
+; 2.810 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.662 ;
|
|
|
+; 2.810 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.662 ;
|
|
|
+; 2.811 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.661 ;
|
|
|
+; 2.813 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.659 ;
|
|
|
+; 2.815 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.657 ;
|
|
|
+; 2.815 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.663 ;
|
|
|
+; 2.816 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.656 ;
|
|
|
+; 2.817 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.655 ;
|
|
|
+; 2.866 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.499 ;
|
|
|
+; 2.868 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.131 ; 2.427 ;
|
|
|
+; 2.918 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.560 ;
|
|
|
+; 2.919 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.559 ;
|
|
|
+; 2.923 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 2.553 ;
|
|
|
+; 2.924 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.554 ;
|
|
|
+; 2.925 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.553 ;
|
|
|
+; 2.938 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.527 ;
|
|
|
+; 2.940 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.525 ;
|
|
|
+; 2.945 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.520 ;
|
|
|
+; 2.947 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.518 ;
|
|
|
+; 2.949 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.523 ;
|
|
|
+; 2.949 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.523 ;
|
|
|
+; 2.952 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.084 ; 2.520 ;
|
|
|
+; 2.958 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.520 ;
|
|
|
+; 2.976 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.489 ;
|
|
|
+; 2.977 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.488 ;
|
|
|
+; 2.978 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.487 ;
|
|
|
+; 3.047 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.418 ;
|
|
|
+; 3.047 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.418 ;
|
|
|
+; 3.065 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.413 ;
|
|
|
+; 3.071 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 2.405 ;
|
|
|
+; 3.084 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.394 ;
|
|
|
+; 3.089 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.180 ; 2.287 ;
|
|
|
+; 3.094 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 2.382 ;
|
|
|
+; 3.141 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.173 ; 2.242 ;
|
|
|
+; 3.235 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.243 ;
|
|
|
+; 3.252 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.078 ; 2.226 ;
|
|
|
+; 3.266 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 2.210 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.298 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.067 ;
|
|
|
+; 3.299 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.174 ; 2.083 ;
|
|
|
+; 3.312 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.181 ; 2.063 ;
|
|
|
+; 3.318 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 2.158 ;
|
|
|
+; 3.319 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 2.146 ;
|
|
|
+; 3.323 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.151 ;
|
|
|
+; 3.326 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.106 ; 1.538 ;
|
|
|
+; 3.326 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.185 ; 2.045 ;
|
|
|
+; 3.339 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 2.028 ;
|
|
|
+; 3.344 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.021 ;
|
|
|
+; 3.355 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.174 ; 2.027 ;
|
|
|
+; 3.358 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.116 ;
|
|
|
+; 3.359 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.115 ;
|
|
|
+; 3.360 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.174 ; 2.022 ;
|
|
|
+; 3.362 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.180 ; 2.014 ;
|
|
|
+; 3.365 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 2.111 ;
|
|
|
+; 3.372 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.181 ; 2.003 ;
|
|
|
+; 3.382 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 1.983 ;
|
|
|
+; 3.382 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 1.983 ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 5.088 ; led_ctr[1] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 5.119 ;
|
|
|
+; 5.109 ; led_ctr[1] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 5.099 ;
|
|
|
+; 5.182 ; led_ctr[2] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 5.026 ;
|
|
|
+; 5.234 ; led_ctr[1] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.974 ;
|
|
|
+; 5.278 ; led_ctr[0] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.930 ;
|
|
|
+; 5.290 ; led_ctr[0] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.917 ;
|
|
|
+; 5.327 ; led_ctr[4] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.881 ;
|
|
|
+; 5.403 ; led_ctr[2] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.804 ;
|
|
|
+; 5.422 ; led_ctr[3] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.786 ;
|
|
|
+; 5.435 ; led_ctr[3] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.772 ;
|
|
|
+; 5.436 ; led_ctr[0] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.772 ;
|
|
|
+; 5.469 ; led_ctr[6] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.739 ;
|
|
|
+; 5.548 ; led_ctr[4] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.659 ;
|
|
|
+; 5.549 ; led_ctr[2] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.659 ;
|
|
|
+; 5.560 ; led_ctr[5] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.648 ;
|
|
|
+; 5.581 ; led_ctr[3] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.627 ;
|
|
|
+; 5.584 ; led_ctr[5] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.623 ;
|
|
|
+; 5.616 ; led_ctr[8] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.592 ;
|
|
|
+; 5.690 ; led_ctr[6] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.517 ;
|
|
|
+; 5.694 ; led_ctr[4] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.514 ;
|
|
|
+; 5.713 ; led_ctr[7] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.495 ;
|
|
|
+; 5.726 ; led_ctr[7] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.481 ;
|
|
|
+; 5.730 ; led_ctr[5] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.478 ;
|
|
|
+; 5.765 ; led_ctr[10] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.443 ;
|
|
|
+; 5.836 ; led_ctr[6] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.372 ;
|
|
|
+; 5.837 ; led_ctr[8] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.370 ;
|
|
|
+; 5.860 ; led_ctr[9] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.348 ;
|
|
|
+; 5.872 ; led_ctr[7] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.336 ;
|
|
|
+; 5.873 ; led_ctr[9] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.334 ;
|
|
|
+; 5.912 ; led_ctr[12] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.296 ;
|
|
|
+; 5.983 ; led_ctr[8] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.225 ;
|
|
|
+; 5.986 ; led_ctr[10] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.221 ;
|
|
|
+; 6.006 ; led_ctr[11] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.202 ;
|
|
|
+; 6.019 ; led_ctr[11] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.188 ;
|
|
|
+; 6.019 ; led_ctr[9] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.189 ;
|
|
|
+; 6.058 ; led_ctr[14] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.150 ;
|
|
|
+; 6.132 ; led_ctr[10] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.076 ;
|
|
|
+; 6.133 ; led_ctr[12] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.074 ;
|
|
|
+; 6.153 ; led_ctr[13] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.055 ;
|
|
|
+; 6.165 ; led_ctr[11] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.043 ;
|
|
|
+; 6.166 ; led_ctr[13] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 4.041 ;
|
|
|
+; 6.201 ; led_ctr[16] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 4.007 ;
|
|
|
+; 6.279 ; led_ctr[14] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.928 ;
|
|
|
+; 6.279 ; led_ctr[12] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.929 ;
|
|
|
+; 6.299 ; led_ctr[15] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.909 ;
|
|
|
+; 6.312 ; led_ctr[15] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.895 ;
|
|
|
+; 6.312 ; led_ctr[13] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.896 ;
|
|
|
+; 6.349 ; led_ctr[18] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.859 ;
|
|
|
+; 6.413 ; led_ctr[1] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.925 ;
|
|
|
+; 6.422 ; led_ctr[16] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.785 ;
|
|
|
+; 6.425 ; led_ctr[14] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.783 ;
|
|
|
+; 6.445 ; led_ctr[17] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.763 ;
|
|
|
+; 6.457 ; led_ctr[17] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.750 ;
|
|
|
+; 6.458 ; led_ctr[15] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.750 ;
|
|
|
+; 6.495 ; led_ctr[20] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.713 ;
|
|
|
+; 6.559 ; led_ctr[1] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.779 ;
|
|
|
+; 6.568 ; led_ctr[16] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.640 ;
|
|
|
+; 6.570 ; led_ctr[18] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.637 ;
|
|
|
+; 6.577 ; led_ctr[1] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.761 ;
|
|
|
+; 6.590 ; led_ctr[19] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.618 ;
|
|
|
+; 6.602 ; led_ctr[19] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.605 ;
|
|
|
+; 6.603 ; led_ctr[17] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.605 ;
|
|
|
+; 6.615 ; led_ctr[0] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.723 ;
|
|
|
+; 6.637 ; led_ctr[22] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.571 ;
|
|
|
+; 6.650 ; led_ctr[2] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.688 ;
|
|
|
+; 6.680 ; led_ctr[2] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.658 ;
|
|
|
+; 6.705 ; led_ctr[1] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.633 ;
|
|
|
+; 6.716 ; led_ctr[20] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.491 ;
|
|
|
+; 6.716 ; led_ctr[18] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.492 ;
|
|
|
+; 6.723 ; led_ctr[1] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.615 ;
|
|
|
+; 6.727 ; led_ctr[21] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.481 ;
|
|
|
+; 6.746 ; led_ctr[0] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.592 ;
|
|
|
+; 6.748 ; led_ctr[19] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.460 ;
|
|
|
+; 6.751 ; led_ctr[21] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.456 ;
|
|
|
+; 6.760 ; led_ctr[3] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.578 ;
|
|
|
+; 6.761 ; led_ctr[0] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.577 ;
|
|
|
+; 6.783 ; led_ctr[24] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.425 ;
|
|
|
+; 6.795 ; led_ctr[4] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.543 ;
|
|
|
+; 6.796 ; led_ctr[2] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.542 ;
|
|
|
+; 6.825 ; led_ctr[4] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.513 ;
|
|
|
+; 6.826 ; led_ctr[2] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.512 ;
|
|
|
+; 6.851 ; led_ctr[1] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.487 ;
|
|
|
+; 6.858 ; led_ctr[22] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.349 ;
|
|
|
+; 6.862 ; led_ctr[20] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.346 ;
|
|
|
+; 6.869 ; led_ctr[1] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.469 ;
|
|
|
+; 6.881 ; led_ctr[23] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.327 ;
|
|
|
+; 6.890 ; led_ctr[3] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.448 ;
|
|
|
+; 6.892 ; led_ctr[0] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.446 ;
|
|
|
+; 6.894 ; led_ctr[23] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.077 ; 3.313 ;
|
|
|
+; 6.897 ; led_ctr[21] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.311 ;
|
|
|
+; 6.906 ; led_ctr[3] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.432 ;
|
|
|
+; 6.907 ; led_ctr[0] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.431 ;
|
|
|
+; 6.909 ; led_ctr[5] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.429 ;
|
|
|
+; 6.932 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.076 ; 3.276 ;
|
|
|
+; 6.937 ; led_ctr[6] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.401 ;
|
|
|
+; 6.941 ; led_ctr[4] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.397 ;
|
|
|
+; 6.942 ; led_ctr[2] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.396 ;
|
|
|
+; 6.967 ; led_ctr[6] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.371 ;
|
|
|
+; 6.971 ; led_ctr[4] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.367 ;
|
|
|
+; 6.972 ; led_ctr[2] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.079 ; 3.366 ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 18.084 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.089 ; 9.605 ;
|
|
|
+; 18.316 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.089 ; 9.373 ;
|
|
|
+; 18.346 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.089 ; 9.343 ;
|
|
|
+; 18.463 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 9.227 ;
|
|
|
+; 18.492 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.089 ; 9.197 ;
|
|
|
+; 18.777 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 8.913 ;
|
|
|
+; 19.022 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 8.668 ;
|
|
|
+; 19.085 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 8.605 ;
|
|
|
+; 19.124 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.575 ;
|
|
|
+; 19.154 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.545 ;
|
|
|
+; 19.154 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.537 ;
|
|
|
+; 19.216 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 8.474 ;
|
|
|
+; 19.241 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.462 ;
|
|
|
+; 19.270 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.429 ;
|
|
|
+; 19.270 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.421 ;
|
|
|
+; 19.278 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.423 ;
|
|
|
+; 19.278 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.425 ;
|
|
|
+; 19.294 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.397 ;
|
|
|
+; 19.294 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.397 ;
|
|
|
+; 19.300 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.399 ;
|
|
|
+; 19.308 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.393 ;
|
|
|
+; 19.320 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.383 ;
|
|
|
+; 19.343 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.360 ;
|
|
|
+; 19.357 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.346 ;
|
|
|
+; 19.386 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.305 ;
|
|
|
+; 19.387 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.316 ;
|
|
|
+; 19.412 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.279 ;
|
|
|
+; 19.416 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.275 ;
|
|
|
+; 19.422 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.281 ;
|
|
|
+; 19.424 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.277 ;
|
|
|
+; 19.424 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.279 ;
|
|
|
+; 19.444 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.259 ;
|
|
|
+; 19.454 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.247 ;
|
|
|
+; 19.460 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 8.230 ;
|
|
|
+; 19.480 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.211 ;
|
|
|
+; 19.489 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.183 ;
|
|
|
+; 19.489 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.214 ;
|
|
|
+; 19.502 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.189 ;
|
|
|
+; 19.516 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.156 ;
|
|
|
+; 19.519 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.153 ;
|
|
|
+; 19.523 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.065 ; 8.190 ;
|
|
|
+; 19.523 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.180 ;
|
|
|
+; 19.526 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.165 ;
|
|
|
+; 19.526 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.165 ;
|
|
|
+; 19.528 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.175 ;
|
|
|
+; 19.532 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.159 ;
|
|
|
+; 19.533 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 8.159 ;
|
|
|
+; 19.546 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.126 ;
|
|
|
+; 19.556 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.135 ;
|
|
|
+; 19.556 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.135 ;
|
|
|
+; 19.562 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.129 ;
|
|
|
+; 19.565 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.138 ;
|
|
|
+; 19.587 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 8.133 ;
|
|
|
+; 19.590 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.113 ;
|
|
|
+; 19.602 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.088 ; 8.088 ;
|
|
|
+; 19.602 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.065 ; 8.111 ;
|
|
|
+; 19.635 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.037 ;
|
|
|
+; 19.643 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.060 ;
|
|
|
+; 19.644 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.047 ;
|
|
|
+; 19.649 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 8.043 ;
|
|
|
+; 19.656 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.035 ;
|
|
|
+; 19.662 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.010 ;
|
|
|
+; 19.665 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 8.007 ;
|
|
|
+; 19.666 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 8.054 ;
|
|
|
+; 19.669 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.065 ; 8.044 ;
|
|
|
+; 19.673 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 8.019 ;
|
|
|
+; 19.673 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 8.019 ;
|
|
|
+; 19.674 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.017 ;
|
|
|
+; 19.678 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 8.013 ;
|
|
|
+; 19.692 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.980 ;
|
|
|
+; 19.699 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.000 ;
|
|
|
+; 19.699 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.000 ;
|
|
|
+; 19.701 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 8.002 ;
|
|
|
+; 19.702 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.989 ;
|
|
|
+; 19.702 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.989 ;
|
|
|
+; 19.712 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.979 ;
|
|
|
+; 19.733 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 7.987 ;
|
|
|
+; 19.742 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.949 ;
|
|
|
+; 19.766 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 7.937 ;
|
|
|
+; 19.780 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 7.923 ;
|
|
|
+; 19.791 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 7.901 ;
|
|
|
+; 19.810 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.065 ; 7.903 ;
|
|
|
+; 19.820 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.871 ;
|
|
|
+; 19.832 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.840 ;
|
|
|
+; 19.847 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 7.845 ;
|
|
|
+; 19.847 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 7.856 ;
|
|
|
+; 19.856 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.816 ;
|
|
|
+; 19.859 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 7.833 ;
|
|
|
+; 19.862 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.810 ;
|
|
|
+; 19.874 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 7.846 ;
|
|
|
+; 19.886 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.786 ;
|
|
|
+; 19.888 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.803 ;
|
|
|
+; 19.888 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.803 ;
|
|
|
+; 19.918 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.087 ; 7.773 ;
|
|
|
+; 19.963 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 7.729 ;
|
|
|
+; 19.978 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.694 ;
|
|
|
+; 19.987 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 7.705 ;
|
|
|
+; 19.987 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.086 ; 7.705 ;
|
|
|
+; 19.988 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.075 ; 7.715 ;
|
|
|
+; 20.002 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.106 ; 7.670 ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 22.554 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.639 ; 2.117 ;
|
|
|
+; 22.738 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.638 ; 1.934 ;
|
|
|
+; 22.825 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.630 ; 1.855 ;
|
|
|
+; 22.864 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.637 ; 1.809 ;
|
|
|
+; 22.981 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.630 ; 1.699 ;
|
|
|
+; 22.995 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.636 ; 1.679 ;
|
|
|
+; 23.070 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.647 ; 1.593 ;
|
|
|
+; 23.081 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.644 ; 1.585 ;
|
|
|
+; 23.112 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.644 ; 1.554 ;
|
|
|
+; 23.167 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.488 ;
|
|
|
+; 23.187 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.657 ; 1.466 ;
|
|
|
+; 23.203 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.644 ; 1.463 ;
|
|
|
+; 23.222 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.650 ; 1.438 ;
|
|
|
+; 23.230 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.647 ; 1.433 ;
|
|
|
+; 23.254 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.635 ; 1.421 ;
|
|
|
+; 23.257 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.635 ; 1.418 ;
|
|
|
+; 23.270 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.657 ; 1.383 ;
|
|
|
+; 23.306 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.349 ;
|
|
|
+; 23.342 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.313 ;
|
|
|
+; 23.361 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.657 ; 1.292 ;
|
|
|
+; 23.589 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.066 ;
|
|
|
+; 23.590 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.065 ;
|
|
|
+; 23.591 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.064 ;
|
|
|
+; 23.599 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 1.056 ;
|
|
|
+; 23.762 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.654 ; 0.894 ;
|
|
|
+; 23.773 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.654 ; 0.883 ;
|
|
|
+; 23.773 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 0.882 ;
|
|
|
+; 23.773 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.655 ; 0.882 ;
|
|
|
+; 23.774 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.654 ; 0.882 ;
|
|
|
+; 23.790 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.640 ; 0.880 ;
|
|
|
+; 25.692 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 27.777 ; -0.079 ; 2.007 ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.467 ; led_ctr[0] ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 0.758 ;
|
|
|
+; 0.736 ; led_ctr[14] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.027 ;
|
|
|
+; 0.737 ; led_ctr[12] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.028 ;
|
|
|
+; 0.737 ; led_ctr[2] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.028 ;
|
|
|
+; 0.738 ; led_ctr[26]~_Duplicate_1 ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
|
|
|
+; 0.738 ; led_ctr[20] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
|
|
|
+; 0.738 ; led_ctr[18] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
|
|
|
+; 0.738 ; led_ctr[16] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
|
|
|
+; 0.738 ; led_ctr[10] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
|
|
|
+; 0.738 ; led_ctr[4] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
|
|
|
+; 0.738 ; rst_ctr[0] ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.030 ;
|
|
|
+; 0.738 ; rst_ctr[4] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.030 ;
|
|
|
+; 0.739 ; led_ctr[28]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.030 ;
|
|
|
+; 0.739 ; led_ctr[8] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.030 ;
|
|
|
+; 0.739 ; led_ctr[6] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.030 ;
|
|
|
+; 0.739 ; rst_ctr[10] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.031 ;
|
|
|
+; 0.739 ; rst_ctr[2] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.031 ;
|
|
|
+; 0.739 ; rst_ctr[1] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.031 ;
|
|
|
+; 0.740 ; led_ctr[24] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
|
|
|
+; 0.740 ; led_ctr[22] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
|
|
|
+; 0.740 ; led_ctr[21] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
|
|
|
+; 0.740 ; led_ctr[15] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
|
|
|
+; 0.740 ; led_ctr[13] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
|
|
|
+; 0.740 ; led_ctr[5] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
|
|
|
+; 0.740 ; rst_ctr[11] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.032 ;
|
|
|
+; 0.740 ; rst_ctr[9] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.032 ;
|
|
|
+; 0.740 ; rst_ctr[8] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.032 ;
|
|
|
+; 0.740 ; rst_ctr[6] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.032 ;
|
|
|
+; 0.740 ; rst_ctr[3] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.032 ;
|
|
|
+; 0.741 ; led_ctr[19] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
|
|
|
+; 0.741 ; led_ctr[17] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
|
|
|
+; 0.741 ; led_ctr[11] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
|
|
|
+; 0.741 ; led_ctr[9] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
|
|
|
+; 0.741 ; led_ctr[7] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
|
|
|
+; 0.741 ; led_ctr[3] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
|
|
|
+; 0.742 ; led_ctr[27]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.033 ;
|
|
|
+; 0.742 ; led_ctr[25] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.033 ;
|
|
|
+; 0.742 ; led_ctr[23] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.033 ;
|
|
|
+; 0.742 ; rst_ctr[7] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.034 ;
|
|
|
+; 0.742 ; rst_ctr[5] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.034 ;
|
|
|
+; 0.758 ; led_ctr[0] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.049 ;
|
|
|
+; 0.955 ; led_ctr[1] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.246 ;
|
|
|
+; 0.969 ; rst_n ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.261 ;
|
|
|
+; 1.091 ; led_ctr[14] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.382 ;
|
|
|
+; 1.092 ; led_ctr[12] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.383 ;
|
|
|
+; 1.092 ; led_ctr[16] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.383 ;
|
|
|
+; 1.092 ; led_ctr[2] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.383 ;
|
|
|
+; 1.092 ; rst_ctr[1] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[20] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[4] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[18] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[10] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[8] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[6] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
|
|
|
+; 1.093 ; rst_ctr[3] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.385 ;
|
|
|
+; 1.093 ; rst_ctr[9] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.385 ;
|
|
|
+; 1.094 ; led_ctr[24] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.385 ;
|
|
|
+; 1.094 ; led_ctr[22] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.385 ;
|
|
|
+; 1.094 ; rst_ctr[7] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.386 ;
|
|
|
+; 1.094 ; rst_ctr[5] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.386 ;
|
|
|
+; 1.101 ; led_ctr[13] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
|
|
|
+; 1.101 ; led_ctr[0] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
|
|
|
+; 1.101 ; led_ctr[15] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
|
|
|
+; 1.101 ; led_ctr[5] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
|
|
|
+; 1.101 ; led_ctr[21] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
|
|
|
+; 1.101 ; rst_ctr[0] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.393 ;
|
|
|
+; 1.101 ; rst_ctr[4] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.393 ;
|
|
|
+; 1.102 ; led_ctr[11] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; led_ctr[19] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; led_ctr[17] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; led_ctr[9] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; led_ctr[3] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; led_ctr[7] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; rst_ctr[10] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.394 ;
|
|
|
+; 1.102 ; rst_ctr[2] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.394 ;
|
|
|
+; 1.103 ; led_ctr[25] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.394 ;
|
|
|
+; 1.103 ; led_ctr[27]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.394 ;
|
|
|
+; 1.103 ; led_ctr[23] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.394 ;
|
|
|
+; 1.103 ; rst_ctr[8] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.395 ;
|
|
|
+; 1.103 ; rst_ctr[6] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.395 ;
|
|
|
+; 1.110 ; led_ctr[13] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
|
|
|
+; 1.110 ; led_ctr[15] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
|
|
|
+; 1.110 ; led_ctr[0] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
|
|
|
+; 1.110 ; led_ctr[5] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
|
|
|
+; 1.110 ; led_ctr[21] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
|
|
|
+; 1.110 ; rst_ctr[0] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.402 ;
|
|
|
+; 1.110 ; rst_ctr[4] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.402 ;
|
|
|
+; 1.111 ; led_ctr[11] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
|
|
|
+; 1.111 ; led_ctr[19] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
|
|
|
+; 1.111 ; led_ctr[3] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
|
|
|
+; 1.111 ; led_ctr[17] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
|
|
|
+; 1.111 ; led_ctr[9] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
|
|
|
+; 1.111 ; led_ctr[7] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
|
|
|
+; 1.111 ; rst_ctr[2] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.403 ;
|
|
|
+; 1.112 ; led_ctr[25] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.403 ;
|
|
|
+; 1.112 ; led_ctr[23] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.403 ;
|
|
|
+; 1.112 ; rst_ctr[8] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.404 ;
|
|
|
+; 1.112 ; rst_ctr[6] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.404 ;
|
|
|
+; 1.118 ; rst_ctr[11] ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.410 ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.503 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.794 ;
|
|
|
+; 0.504 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.794 ;
|
|
|
+; 0.505 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.795 ;
|
|
|
+; 0.510 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.800 ;
|
|
|
+; 0.510 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.801 ;
|
|
|
+; 0.510 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.801 ;
|
|
|
+; 0.512 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.803 ;
|
|
|
+; 0.513 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.804 ;
|
|
|
+; 0.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.805 ;
|
|
|
+; 0.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.805 ;
|
|
|
+; 0.643 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.934 ;
|
|
|
+; 0.643 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.934 ;
|
|
|
+; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.935 ;
|
|
|
+; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.935 ;
|
|
|
+; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.934 ;
|
|
|
+; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.934 ;
|
|
|
+; 0.645 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.936 ;
|
|
|
+; 0.645 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.935 ;
|
|
|
+; 0.646 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.937 ;
|
|
|
+; 0.646 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.936 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.938 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.938 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.938 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.937 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.937 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.937 ;
|
|
|
+; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.939 ;
|
|
|
+; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.938 ;
|
|
|
+; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.938 ;
|
|
|
+; 0.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.944 ;
|
|
|
+; 0.655 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.946 ;
|
|
|
+; 0.693 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.984 ;
|
|
|
+; 0.697 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.987 ;
|
|
|
+; 0.701 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.991 ;
|
|
|
+; 0.701 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.992 ;
|
|
|
+; 0.702 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.992 ;
|
|
|
+; 0.702 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.992 ;
|
|
|
+; 0.703 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.994 ;
|
|
|
+; 0.703 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.994 ;
|
|
|
+; 0.708 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.998 ;
|
|
|
+; 0.722 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.012 ;
|
|
|
+; 0.761 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.051 ;
|
|
|
+; 0.763 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.054 ;
|
|
|
+; 0.763 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.054 ;
|
|
|
+; 0.763 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.053 ;
|
|
|
+; 0.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.054 ;
|
|
|
+; 0.765 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.055 ;
|
|
|
+; 0.765 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.055 ;
|
|
|
+; 0.769 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.060 ;
|
|
|
+; 0.790 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.081 ;
|
|
|
+; 0.791 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.081 ;
|
|
|
+; 0.792 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.083 ;
|
|
|
+; 0.793 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.084 ;
|
|
|
+; 0.794 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.085 ;
|
|
|
+; 0.794 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.084 ;
|
|
|
+; 0.795 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.086 ;
|
|
|
+; 0.824 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.202 ; 1.256 ;
|
|
|
+; 0.833 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.201 ; 1.264 ;
|
|
|
+; 0.837 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.201 ; 1.268 ;
|
|
|
+; 0.838 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.201 ; 1.269 ;
|
|
|
+; 0.889 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.310 ;
|
|
|
+; 0.890 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.201 ; 1.321 ;
|
|
|
+; 0.914 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.335 ;
|
|
|
+; 0.944 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.190 ; 1.364 ;
|
|
|
+; 0.977 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.267 ;
|
|
|
+; 0.977 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.398 ;
|
|
|
+; 0.980 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.270 ;
|
|
|
+; 0.982 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.403 ;
|
|
|
+; 1.018 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.439 ;
|
|
|
+; 1.022 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.443 ;
|
|
|
+; 1.038 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.459 ;
|
|
|
+; 1.065 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.204 ; 1.499 ;
|
|
|
+; 1.067 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.355 ;
|
|
|
+; 1.068 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.489 ;
|
|
|
+; 1.069 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.191 ; 1.490 ;
|
|
|
+; 1.071 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.359 ;
|
|
|
+; 1.072 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.360 ;
|
|
|
+; 1.075 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.363 ;
|
|
|
+; 1.096 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.203 ; 1.529 ;
|
|
|
+; 1.099 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.389 ;
|
|
|
+; 1.110 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.204 ; 1.544 ;
|
|
|
+; 1.132 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.209 ; 1.571 ;
|
|
|
+; 1.153 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.206 ; 1.589 ;
|
|
|
+; 1.167 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.458 ;
|
|
|
+; 1.171 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.461 ;
|
|
|
+; 1.174 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.465 ;
|
|
|
+; 1.176 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.466 ;
|
|
|
+; 1.176 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.466 ;
|
|
|
+; 1.182 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.209 ; 1.621 ;
|
|
|
+; 1.182 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.208 ; 1.620 ;
|
|
|
+; 1.198 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.489 ;
|
|
|
+; 1.207 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.200 ; 1.637 ;
|
|
|
+; 1.218 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.508 ;
|
|
|
+; 1.223 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.513 ;
|
|
|
+; 1.231 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.521 ;
|
|
|
+; 1.236 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.077 ; 1.525 ;
|
|
|
+; 1.239 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.529 ;
|
|
|
+; 1.295 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.585 ;
|
|
|
+; 1.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.028 ; 1.456 ;
|
|
|
+; 1.313 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.604 ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.529 ; dummydata[16] ; dummydata[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 0.820 ;
|
|
|
+; 0.660 ; dummydata[18] ; dummydata[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 0.952 ;
|
|
|
+; 0.668 ; dummydata[19] ; dummydata[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 0.960 ;
|
|
|
+; 0.718 ; dummydata[2] ; dummydata[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.010 ;
|
|
|
+; 0.746 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.037 ;
|
|
|
+; 0.747 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.039 ;
|
|
|
+; 0.747 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.039 ;
|
|
|
+; 0.748 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.040 ;
|
|
|
+; 0.749 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.040 ;
|
|
|
+; 0.749 ; dummydata[5] ; dummydata[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.041 ;
|
|
|
+; 0.750 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.041 ;
|
|
|
+; 0.750 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.042 ;
|
|
|
+; 0.767 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.059 ;
|
|
|
+; 0.785 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.076 ;
|
|
|
+; 0.790 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.081 ;
|
|
|
+; 0.793 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.085 ;
|
|
|
+; 0.798 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.090 ;
|
|
|
+; 0.799 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.090 ;
|
|
|
+; 0.799 ; dummydata[10] ; dummydata[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.091 ;
|
|
|
+; 0.847 ; dummydata[6] ; dummydata[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.139 ;
|
|
|
+; 0.889 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.180 ;
|
|
|
+; 0.889 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.180 ;
|
|
|
+; 0.889 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.180 ;
|
|
|
+; 0.889 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.180 ;
|
|
|
+; 0.956 ; dummydata[3] ; dummydata[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.248 ;
|
|
|
+; 0.967 ; dummydata[7] ; dummydata[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.259 ;
|
|
|
+; 0.970 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.262 ;
|
|
|
+; 0.973 ; dummydata[20] ; dummydata[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.265 ;
|
|
|
+; 0.981 ; dummydata[23] ; dummydata[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.273 ;
|
|
|
+; 1.066 ; dummydata[15] ; dummydata[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 1.341 ;
|
|
|
+; 1.095 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.386 ;
|
|
|
+; 1.100 ; dummydata[21] ; dummydata[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 1.397 ;
|
|
|
+; 1.100 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.392 ;
|
|
|
+; 1.101 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.392 ;
|
|
|
+; 1.101 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.393 ;
|
|
|
+; 1.102 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.393 ;
|
|
|
+; 1.102 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.394 ;
|
|
|
+; 1.109 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.400 ;
|
|
|
+; 1.110 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.402 ;
|
|
|
+; 1.118 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.409 ;
|
|
|
+; 1.119 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.411 ;
|
|
|
+; 1.119 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.411 ;
|
|
|
+; 1.122 ; dummydata[9] ; dummydata[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.089 ; 1.423 ;
|
|
|
+; 1.141 ; dummydata[12] ; dummydata[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.433 ;
|
|
|
+; 1.216 ; dummydata[22] ; dummydata[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.108 ; 1.536 ;
|
|
|
+; 1.226 ; dummydata[13] ; dummydata[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.518 ;
|
|
|
+; 1.232 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.524 ;
|
|
|
+; 1.232 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.523 ;
|
|
|
+; 1.241 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.533 ;
|
|
|
+; 1.241 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.532 ;
|
|
|
+; 1.250 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.542 ;
|
|
|
+; 1.259 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.551 ;
|
|
|
+; 1.310 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.602 ;
|
|
|
+; 1.342 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.634 ;
|
|
|
+; 1.390 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.683 ;
|
|
|
+; 1.438 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.731 ;
|
|
|
+; 1.439 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.732 ;
|
|
|
+; 1.458 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 1.755 ;
|
|
|
+; 1.458 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 1.755 ;
|
|
|
+; 1.458 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 1.755 ;
|
|
|
+; 1.458 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 1.755 ;
|
|
|
+; 1.459 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.097 ; 1.768 ;
|
|
|
+; 1.504 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.786 ;
|
|
|
+; 1.506 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.788 ;
|
|
|
+; 1.509 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.791 ;
|
|
|
+; 1.513 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.805 ;
|
|
|
+; 1.516 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.809 ;
|
|
|
+; 1.523 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.088 ; 1.823 ;
|
|
|
+; 1.552 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.843 ;
|
|
|
+; 1.556 ; dummydata[17] ; dummydata[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.106 ; 1.874 ;
|
|
|
+; 1.557 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.849 ;
|
|
|
+; 1.580 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.873 ;
|
|
|
+; 1.623 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.915 ;
|
|
|
+; 1.625 ; dummydata[14] ; dummydata[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.917 ;
|
|
|
+; 1.639 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.921 ;
|
|
|
+; 1.644 ; dummydata[8] ; dummydata[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.929 ;
|
|
|
+; 1.650 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.061 ; 1.923 ;
|
|
|
+; 1.653 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.945 ;
|
|
|
+; 1.657 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.950 ;
|
|
|
+; 1.660 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.953 ;
|
|
|
+; 1.661 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.954 ;
|
|
|
+; 1.679 ; dummydata[12] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.972 ;
|
|
|
+; 1.694 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 1.987 ;
|
|
|
+; 1.711 ; dummydata[0] ; dummydata[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.103 ; 2.026 ;
|
|
|
+; 1.713 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 2.006 ;
|
|
|
+; 1.713 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 2.006 ;
|
|
|
+; 1.721 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 2.012 ;
|
|
|
+; 1.723 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 2.020 ;
|
|
|
+; 1.727 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 2.002 ;
|
|
|
+; 1.727 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 2.002 ;
|
|
|
+; 1.727 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 2.002 ;
|
|
|
+; 1.727 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 2.002 ;
|
|
|
+; 1.735 ; dummydata[1] ; dummydata[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.077 ; 2.024 ;
|
|
|
+; 1.758 ; dummydata[11] ; dummydata[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 2.050 ;
|
|
|
+; 1.775 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 2.057 ;
|
|
|
+; 1.775 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 2.057 ;
|
|
|
+; 1.775 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 2.057 ;
|
|
|
+; 1.775 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 2.057 ;
|
|
|
+; 1.803 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 2.095 ;
|
|
|
+; 1.829 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.081 ; 2.122 ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.079 ; 1.851 ;
|
|
|
+; 2.978 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.777 ;
|
|
|
+; 2.980 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.141 ; 0.793 ;
|
|
|
+; 2.996 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.795 ;
|
|
|
+; 2.996 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.795 ;
|
|
|
+; 2.996 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.795 ;
|
|
|
+; 2.996 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.795 ;
|
|
|
+; 3.135 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.934 ;
|
|
|
+; 3.136 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.935 ;
|
|
|
+; 3.174 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 0.973 ;
|
|
|
+; 3.202 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 1.001 ;
|
|
|
+; 3.406 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 1.205 ;
|
|
|
+; 3.439 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.158 ; 1.235 ;
|
|
|
+; 3.465 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 1.264 ;
|
|
|
+; 3.486 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.157 ; 1.283 ;
|
|
|
+; 3.499 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.317 ;
|
|
|
+; 3.523 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.148 ; 1.329 ;
|
|
|
+; 3.526 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.344 ;
|
|
|
+; 3.528 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.150 ; 1.332 ;
|
|
|
+; 3.540 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.145 ; 1.349 ;
|
|
|
+; 3.561 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.158 ; 1.357 ;
|
|
|
+; 3.580 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.155 ; 1.379 ;
|
|
|
+; 3.645 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.145 ; 1.454 ;
|
|
|
+; 3.674 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.145 ; 1.483 ;
|
|
|
+; 3.677 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.148 ; 1.483 ;
|
|
|
+; 3.749 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.131 ; 1.572 ;
|
|
|
+; 3.789 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.607 ;
|
|
|
+; 3.842 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.658 ;
|
|
|
+; 3.867 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.131 ; 1.690 ;
|
|
|
+; 3.990 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.139 ; 1.805 ;
|
|
|
+; 4.129 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 1.943 ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.827 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.459 ;
|
|
|
+; 0.827 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.459 ;
|
|
|
+; 0.827 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.459 ;
|
|
|
+; 0.827 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.459 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.021 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.208 ; 2.246 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.040 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.199 ; 2.236 ;
|
|
|
+; 1.054 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.206 ; 2.215 ;
|
|
|
+; 1.054 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.206 ; 2.215 ;
|
|
|
+; 1.054 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.206 ; 2.215 ;
|
|
|
+; 1.054 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.206 ; 2.215 ;
|
|
|
+; 1.071 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.185 ; 2.219 ;
|
|
|
+; 1.071 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.185 ; 2.219 ;
|
|
|
+; 1.071 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.185 ; 2.219 ;
|
|
|
+; 1.071 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.185 ; 2.219 ;
|
|
|
+; 1.083 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.200 ; 2.192 ;
|
|
|
+; 1.083 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.200 ; 2.192 ;
|
|
|
+; 1.083 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.200 ; 2.192 ;
|
|
|
+; 1.083 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.200 ; 2.192 ;
|
|
|
+; 1.092 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.190 ; 2.193 ;
|
|
|
+; 1.092 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.190 ; 2.193 ;
|
|
|
+; 1.092 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.190 ; 2.193 ;
|
|
|
+; 1.092 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.190 ; 2.193 ;
|
|
|
+; 1.092 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.190 ; 2.193 ;
|
|
|
+; 1.092 ; rst_n ; tmdsenc:hdmitmds[0].enc|denreg ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.190 ; 2.193 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
+; 1.190 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.189 ; 2.096 ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 5.665 ; rst_n ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.107 ; 4.512 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 5.991 ; rst_n ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.317 ;
|
|
|
+; 6.046 ; rst_n ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.106 ; 4.132 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.293 ; rst_n ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.109 ; 4.015 ;
|
|
|
+; 6.501 ; rst_n ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.106 ; 3.677 ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.509 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 1.937 ;
|
|
|
+; 1.614 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.191 ; 2.040 ;
|
|
|
+; 1.614 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.191 ; 2.040 ;
|
|
|
+; 1.614 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.191 ; 2.040 ;
|
|
|
+; 1.614 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.191 ; 2.040 ;
|
|
|
+; 1.614 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.191 ; 2.040 ;
|
|
|
+; 1.614 ; rst_n ; tmdsenc:hdmitmds[0].enc|denreg ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.191 ; 2.040 ;
|
|
|
+; 1.621 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.038 ;
|
|
|
+; 1.621 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.038 ;
|
|
|
+; 1.621 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.038 ;
|
|
|
+; 1.621 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.038 ;
|
|
|
+; 1.637 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.197 ; 2.069 ;
|
|
|
+; 1.637 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.197 ; 2.069 ;
|
|
|
+; 1.637 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.197 ; 2.069 ;
|
|
|
+; 1.637 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.197 ; 2.069 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.651 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.182 ; 2.068 ;
|
|
|
+; 1.657 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 2.067 ;
|
|
|
+; 1.657 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 2.067 ;
|
|
|
+; 1.657 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 2.067 ;
|
|
|
+; 1.657 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 2.067 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.681 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.173 ; 2.089 ;
|
|
|
+; 1.813 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 2.241 ;
|
|
|
+; 1.813 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 2.241 ;
|
|
|
+; 1.813 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 2.241 ;
|
|
|
+; 1.813 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.193 ; 2.241 ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 85C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 3.366 ; rst_n ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.064 ; 3.551 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.420 ; rst_n ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.716 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.681 ; rst_n ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.084 ; 3.977 ;
|
|
|
+; 3.720 ; rst_n ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.065 ; 3.906 ;
|
|
|
+; 4.043 ; rst_n ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.063 ; 4.227 ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
+-----------------------------------------------
|
|
|
+; Slow 1200mV 85C Model Metastability Summary ;
|
|
|
+-----------------------------------------------
|
|
|
+No synchronizer chains to report.
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Fmax Summary ;
|
|
|
++------------+-----------------+---------------------------------------------------------------+------------------------------------------------+
|
|
|
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
|
|
|
++------------+-----------------+---------------------------------------------------------------+------------------------------------------------+
|
|
|
+; 110.27 MHz ; 110.27 MHz ; pll|altpll_component|auto_generated|pll1|clk[2] ; ;
|
|
|
+; 205.72 MHz ; 205.72 MHz ; pll|altpll_component|auto_generated|pll1|clk[1] ; ;
|
|
|
+; 286.29 MHz ; 286.29 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; ;
|
|
|
+; 522.19 MHz ; 402.09 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; limit due to minimum period restriction (tmin) ;
|
|
|
++------------+-----------------+---------------------------------------------------------------+------------------------------------------------+
|
|
|
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Setup Summary ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.062 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.555 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 18.708 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 22.850 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Hold Summary ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.419 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.471 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.494 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 1.446 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Recovery Summary ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 1.006 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.880 ; 0.000 ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Removal Summary ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 1.350 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 3.033 ; 0.000 ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.476 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 4.909 ; 0.000 ;
|
|
|
+; clock_48 ; 10.354 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 13.586 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 13.588 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
|
|
|
++-------+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 2.062 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.105 ; 2.855 ;
|
|
|
+; 2.108 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.108 ; 3.215 ;
|
|
|
+; 2.287 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 3.104 ;
|
|
|
+; 2.290 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.102 ; 2.630 ;
|
|
|
+; 2.333 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 3.058 ;
|
|
|
+; 2.392 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 2.999 ;
|
|
|
+; 2.446 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.105 ; 2.880 ;
|
|
|
+; 2.576 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.108 ; 2.338 ;
|
|
|
+; 2.591 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 2.800 ;
|
|
|
+; 2.604 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 2.787 ;
|
|
|
+; 2.611 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 2.780 ;
|
|
|
+; 2.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.842 ;
|
|
|
+; 2.728 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.755 ;
|
|
|
+; 2.729 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.754 ;
|
|
|
+; 2.733 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.750 ;
|
|
|
+; 2.748 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.735 ;
|
|
|
+; 2.750 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.733 ;
|
|
|
+; 2.753 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.730 ;
|
|
|
+; 2.761 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.722 ;
|
|
|
+; 2.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.719 ;
|
|
|
+; 2.812 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.671 ;
|
|
|
+; 2.833 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.654 ;
|
|
|
+; 2.855 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.632 ;
|
|
|
+; 2.864 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.619 ;
|
|
|
+; 2.867 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.616 ;
|
|
|
+; 2.901 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.586 ;
|
|
|
+; 2.947 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.539 ;
|
|
|
+; 2.949 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.537 ;
|
|
|
+; 2.949 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.537 ;
|
|
|
+; 2.950 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.536 ;
|
|
|
+; 2.951 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.535 ;
|
|
|
+; 2.953 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.533 ;
|
|
|
+; 2.954 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.532 ;
|
|
|
+; 2.955 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.531 ;
|
|
|
+; 2.959 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.527 ;
|
|
|
+; 2.959 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.528 ;
|
|
|
+; 2.965 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.521 ;
|
|
|
+; 2.966 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.520 ;
|
|
|
+; 2.967 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.519 ;
|
|
|
+; 2.977 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.510 ;
|
|
|
+; 3.016 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.471 ;
|
|
|
+; 3.016 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.168 ; 2.373 ;
|
|
|
+; 3.023 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.464 ;
|
|
|
+; 3.032 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.455 ;
|
|
|
+; 3.036 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.451 ;
|
|
|
+; 3.045 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.442 ;
|
|
|
+; 3.058 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.425 ;
|
|
|
+; 3.059 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.424 ;
|
|
|
+; 3.062 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.421 ;
|
|
|
+; 3.064 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.117 ; 2.250 ;
|
|
|
+; 3.068 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.408 ;
|
|
|
+; 3.070 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.406 ;
|
|
|
+; 3.071 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.405 ;
|
|
|
+; 3.073 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.403 ;
|
|
|
+; 3.097 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.379 ;
|
|
|
+; 3.097 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.379 ;
|
|
|
+; 3.099 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.377 ;
|
|
|
+; 3.102 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.385 ;
|
|
|
+; 3.108 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.072 ; 2.377 ;
|
|
|
+; 3.158 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.329 ;
|
|
|
+; 3.162 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.314 ;
|
|
|
+; 3.163 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.313 ;
|
|
|
+; 3.171 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.316 ;
|
|
|
+; 3.179 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.308 ;
|
|
|
+; 3.196 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.291 ;
|
|
|
+; 3.225 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.262 ;
|
|
|
+; 3.230 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.158 ; 2.169 ;
|
|
|
+; 3.245 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.072 ; 2.240 ;
|
|
|
+; 3.262 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.072 ; 2.223 ;
|
|
|
+; 3.273 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.151 ; 2.133 ;
|
|
|
+; 3.301 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.186 ;
|
|
|
+; 3.341 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.146 ;
|
|
|
+; 3.406 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.081 ;
|
|
|
+; 3.412 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.064 ;
|
|
|
+; 3.422 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.072 ; 2.063 ;
|
|
|
+; 3.423 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.064 ;
|
|
|
+; 3.428 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.152 ; 1.977 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.954 ;
|
|
|
+; 3.438 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.163 ; 1.956 ;
|
|
|
+; 3.448 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.035 ;
|
|
|
+; 3.448 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.159 ; 1.950 ;
|
|
|
+; 3.458 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.166 ; 1.933 ;
|
|
|
+; 3.460 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.072 ; 2.025 ;
|
|
|
+; 3.473 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.010 ;
|
|
|
+; 3.474 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.074 ; 2.009 ;
|
|
|
+; 3.477 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.158 ; 1.922 ;
|
|
|
+; 3.484 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.168 ; 1.905 ;
|
|
|
+; 3.490 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 1.996 ;
|
|
|
+; 3.492 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.159 ; 1.906 ;
|
|
|
+; 3.518 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.870 ;
|
|
|
+; 3.518 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.870 ;
|
|
|
+; 3.518 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.870 ;
|
|
|
+; 3.518 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.870 ;
|
|
|
+; 3.518 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.870 ;
|
|
|
++-------+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 5.555 ; led_ctr[1] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.662 ;
|
|
|
+; 5.629 ; led_ctr[1] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.590 ;
|
|
|
+; 5.689 ; led_ctr[2] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.530 ;
|
|
|
+; 5.719 ; led_ctr[1] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.500 ;
|
|
|
+; 5.758 ; led_ctr[0] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.459 ;
|
|
|
+; 5.776 ; led_ctr[0] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.443 ;
|
|
|
+; 5.815 ; led_ctr[4] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.404 ;
|
|
|
+; 5.882 ; led_ctr[2] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.335 ;
|
|
|
+; 5.883 ; led_ctr[3] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.334 ;
|
|
|
+; 5.901 ; led_ctr[3] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.318 ;
|
|
|
+; 5.922 ; led_ctr[0] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.297 ;
|
|
|
+; 5.936 ; led_ctr[6] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.283 ;
|
|
|
+; 6.008 ; led_ctr[4] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.209 ;
|
|
|
+; 6.013 ; led_ctr[5] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.204 ;
|
|
|
+; 6.019 ; led_ctr[5] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.200 ;
|
|
|
+; 6.046 ; led_ctr[2] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.173 ;
|
|
|
+; 6.047 ; led_ctr[3] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.172 ;
|
|
|
+; 6.062 ; led_ctr[8] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.157 ;
|
|
|
+; 6.129 ; led_ctr[6] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.088 ;
|
|
|
+; 6.134 ; led_ctr[7] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 4.083 ;
|
|
|
+; 6.152 ; led_ctr[7] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.067 ;
|
|
|
+; 6.172 ; led_ctr[4] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.047 ;
|
|
|
+; 6.177 ; led_ctr[5] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.042 ;
|
|
|
+; 6.192 ; led_ctr[10] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 4.027 ;
|
|
|
+; 6.255 ; led_ctr[8] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.962 ;
|
|
|
+; 6.261 ; led_ctr[9] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.956 ;
|
|
|
+; 6.278 ; led_ctr[9] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.941 ;
|
|
|
+; 6.293 ; led_ctr[6] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.926 ;
|
|
|
+; 6.298 ; led_ctr[7] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.921 ;
|
|
|
+; 6.319 ; led_ctr[12] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.900 ;
|
|
|
+; 6.385 ; led_ctr[10] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.832 ;
|
|
|
+; 6.387 ; led_ctr[11] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.830 ;
|
|
|
+; 6.405 ; led_ctr[11] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.814 ;
|
|
|
+; 6.419 ; led_ctr[8] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.800 ;
|
|
|
+; 6.425 ; led_ctr[9] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.794 ;
|
|
|
+; 6.446 ; led_ctr[14] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.773 ;
|
|
|
+; 6.512 ; led_ctr[12] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.705 ;
|
|
|
+; 6.514 ; led_ctr[13] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.703 ;
|
|
|
+; 6.532 ; led_ctr[13] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.687 ;
|
|
|
+; 6.549 ; led_ctr[10] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.670 ;
|
|
|
+; 6.551 ; led_ctr[11] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.668 ;
|
|
|
+; 6.567 ; led_ctr[16] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.651 ;
|
|
|
+; 6.639 ; led_ctr[15] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.577 ;
|
|
|
+; 6.639 ; led_ctr[14] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.074 ; 3.578 ;
|
|
|
+; 6.657 ; led_ctr[15] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.561 ;
|
|
|
+; 6.676 ; led_ctr[12] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.543 ;
|
|
|
+; 6.678 ; led_ctr[13] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.541 ;
|
|
|
+; 6.695 ; led_ctr[18] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.523 ;
|
|
|
+; 6.760 ; led_ctr[16] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.456 ;
|
|
|
+; 6.764 ; led_ctr[17] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.452 ;
|
|
|
+; 6.782 ; led_ctr[17] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.436 ;
|
|
|
+; 6.803 ; led_ctr[15] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.415 ;
|
|
|
+; 6.803 ; led_ctr[14] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.072 ; 3.416 ;
|
|
|
+; 6.821 ; led_ctr[20] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.397 ;
|
|
|
+; 6.840 ; led_ctr[1] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.508 ;
|
|
|
+; 6.888 ; led_ctr[18] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.328 ;
|
|
|
+; 6.889 ; led_ctr[19] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.327 ;
|
|
|
+; 6.907 ; led_ctr[19] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.311 ;
|
|
|
+; 6.924 ; led_ctr[16] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.294 ;
|
|
|
+; 6.928 ; led_ctr[17] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.290 ;
|
|
|
+; 6.942 ; led_ctr[22] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.276 ;
|
|
|
+; 6.966 ; led_ctr[1] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.382 ;
|
|
|
+; 7.005 ; led_ctr[1] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.343 ;
|
|
|
+; 7.014 ; led_ctr[20] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.202 ;
|
|
|
+; 7.020 ; led_ctr[21] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.196 ;
|
|
|
+; 7.026 ; led_ctr[21] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.192 ;
|
|
|
+; 7.043 ; led_ctr[0] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.305 ;
|
|
|
+; 7.052 ; led_ctr[18] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.166 ;
|
|
|
+; 7.053 ; led_ctr[19] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.165 ;
|
|
|
+; 7.069 ; led_ctr[24] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.149 ;
|
|
|
+; 7.092 ; led_ctr[1] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.256 ;
|
|
|
+; 7.094 ; led_ctr[2] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.254 ;
|
|
|
+; 7.131 ; led_ctr[1] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.217 ;
|
|
|
+; 7.133 ; led_ctr[2] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.215 ;
|
|
|
+; 7.135 ; led_ctr[22] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.081 ;
|
|
|
+; 7.141 ; led_ctr[23] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 3.075 ;
|
|
|
+; 7.158 ; led_ctr[23] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.060 ;
|
|
|
+; 7.168 ; led_ctr[3] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.180 ;
|
|
|
+; 7.169 ; led_ctr[0] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.179 ;
|
|
|
+; 7.178 ; led_ctr[20] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.040 ;
|
|
|
+; 7.181 ; led_ctr[0] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.167 ;
|
|
|
+; 7.184 ; led_ctr[21] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.034 ;
|
|
|
+; 7.198 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 3.020 ;
|
|
|
+; 7.218 ; led_ctr[1] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.130 ;
|
|
|
+; 7.220 ; led_ctr[4] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.128 ;
|
|
|
+; 7.220 ; led_ctr[2] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.128 ;
|
|
|
+; 7.257 ; led_ctr[1] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.091 ;
|
|
|
+; 7.259 ; led_ctr[4] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.089 ;
|
|
|
+; 7.259 ; led_ctr[2] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.089 ;
|
|
|
+; 7.262 ; led_ctr[24] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 2.954 ;
|
|
|
+; 7.267 ; led_ctr[25] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.075 ; 2.949 ;
|
|
|
+; 7.285 ; led_ctr[25] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 2.933 ;
|
|
|
+; 7.294 ; led_ctr[3] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.054 ;
|
|
|
+; 7.295 ; led_ctr[0] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.053 ;
|
|
|
+; 7.298 ; led_ctr[5] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.050 ;
|
|
|
+; 7.299 ; led_ctr[22] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 2.919 ;
|
|
|
+; 7.305 ; led_ctr[23] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.073 ; 2.913 ;
|
|
|
+; 7.306 ; led_ctr[3] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.042 ;
|
|
|
+; 7.307 ; led_ctr[0] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.041 ;
|
|
|
+; 7.341 ; led_ctr[6] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.070 ; 3.007 ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 18.708 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.992 ;
|
|
|
+; 18.913 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.787 ;
|
|
|
+; 18.952 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.748 ;
|
|
|
+; 18.962 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.740 ;
|
|
|
+; 19.078 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 8.622 ;
|
|
|
+; 19.252 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.450 ;
|
|
|
+; 19.533 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.071 ; 8.175 ;
|
|
|
+; 19.550 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.152 ;
|
|
|
+; 19.572 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.071 ; 8.136 ;
|
|
|
+; 19.597 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.105 ;
|
|
|
+; 19.627 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 8.071 ;
|
|
|
+; 19.659 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.071 ; 8.049 ;
|
|
|
+; 19.671 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 8.031 ;
|
|
|
+; 19.698 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.071 ; 8.010 ;
|
|
|
+; 19.747 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.068 ; 7.964 ;
|
|
|
+; 19.757 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.941 ;
|
|
|
+; 19.782 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.930 ;
|
|
|
+; 19.786 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.068 ; 7.925 ;
|
|
|
+; 19.805 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.907 ;
|
|
|
+; 19.819 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.893 ;
|
|
|
+; 19.823 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.889 ;
|
|
|
+; 19.832 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.866 ;
|
|
|
+; 19.842 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.870 ;
|
|
|
+; 19.849 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.849 ;
|
|
|
+; 19.860 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.852 ;
|
|
|
+; 19.860 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.838 ;
|
|
|
+; 19.870 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.828 ;
|
|
|
+; 19.871 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.827 ;
|
|
|
+; 19.873 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.068 ; 7.838 ;
|
|
|
+; 19.881 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.819 ;
|
|
|
+; 19.908 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.804 ;
|
|
|
+; 19.912 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.068 ; 7.799 ;
|
|
|
+; 19.918 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.768 ;
|
|
|
+; 19.927 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.785 ;
|
|
|
+; 19.931 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.781 ;
|
|
|
+; 19.949 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.763 ;
|
|
|
+; 19.951 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 7.751 ;
|
|
|
+; 19.953 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.745 ;
|
|
|
+; 19.957 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.729 ;
|
|
|
+; 19.960 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.726 ;
|
|
|
+; 19.962 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.736 ;
|
|
|
+; 19.964 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.748 ;
|
|
|
+; 19.997 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.701 ;
|
|
|
+; 19.999 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.687 ;
|
|
|
+; 20.001 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.697 ;
|
|
|
+; 20.011 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.689 ;
|
|
|
+; 20.026 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 7.695 ;
|
|
|
+; 20.044 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.642 ;
|
|
|
+; 20.053 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.659 ;
|
|
|
+; 20.054 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.644 ;
|
|
|
+; 20.060 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.077 ; 7.642 ;
|
|
|
+; 20.063 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 7.658 ;
|
|
|
+; 20.065 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.633 ;
|
|
|
+; 20.067 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.053 ; 7.659 ;
|
|
|
+; 20.073 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.639 ;
|
|
|
+; 20.075 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.623 ;
|
|
|
+; 20.083 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.603 ;
|
|
|
+; 20.086 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.600 ;
|
|
|
+; 20.093 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.605 ;
|
|
|
+; 20.096 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.616 ;
|
|
|
+; 20.103 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.597 ;
|
|
|
+; 20.104 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.053 ; 7.622 ;
|
|
|
+; 20.104 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.594 ;
|
|
|
+; 20.114 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.584 ;
|
|
|
+; 20.114 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.598 ;
|
|
|
+; 20.114 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.586 ;
|
|
|
+; 20.124 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.576 ;
|
|
|
+; 20.125 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.561 ;
|
|
|
+; 20.127 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.571 ;
|
|
|
+; 20.146 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.070 ; 7.563 ;
|
|
|
+; 20.146 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.070 ; 7.563 ;
|
|
|
+; 20.152 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 7.569 ;
|
|
|
+; 20.158 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.540 ;
|
|
|
+; 20.171 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.529 ;
|
|
|
+; 20.188 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.510 ;
|
|
|
+; 20.193 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.053 ; 7.533 ;
|
|
|
+; 20.197 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.501 ;
|
|
|
+; 20.207 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.493 ;
|
|
|
+; 20.218 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.494 ;
|
|
|
+; 20.219 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.479 ;
|
|
|
+; 20.230 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.468 ;
|
|
|
+; 20.240 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.458 ;
|
|
|
+; 20.252 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.460 ;
|
|
|
+; 20.277 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.409 ;
|
|
|
+; 20.289 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.423 ;
|
|
|
+; 20.292 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.394 ;
|
|
|
+; 20.301 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.399 ;
|
|
|
+; 20.316 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.370 ;
|
|
|
+; 20.317 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.058 ; 7.404 ;
|
|
|
+; 20.323 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.375 ;
|
|
|
+; 20.331 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.355 ;
|
|
|
+; 20.358 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.053 ; 7.368 ;
|
|
|
+; 20.378 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.067 ; 7.334 ;
|
|
|
+; 20.385 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.074 ; 7.320 ;
|
|
|
+; 20.393 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.307 ;
|
|
|
+; 20.393 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.081 ; 7.305 ;
|
|
|
+; 20.403 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.283 ;
|
|
|
+; 20.404 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.296 ;
|
|
|
+; 20.414 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.079 ; 7.286 ;
|
|
|
+; 20.418 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.093 ; 7.268 ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 22.850 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.447 ; 2.014 ;
|
|
|
+; 23.026 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.445 ; 1.840 ;
|
|
|
+; 23.109 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.438 ; 1.764 ;
|
|
|
+; 23.149 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.444 ; 1.718 ;
|
|
|
+; 23.255 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.438 ; 1.618 ;
|
|
|
+; 23.276 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.443 ; 1.592 ;
|
|
|
+; 23.348 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.454 ; 1.509 ;
|
|
|
+; 23.358 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.452 ; 1.501 ;
|
|
|
+; 23.392 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.452 ; 1.467 ;
|
|
|
+; 23.435 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 1.415 ;
|
|
|
+; 23.449 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.462 ; 1.400 ;
|
|
|
+; 23.465 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.452 ; 1.394 ;
|
|
|
+; 23.488 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.456 ; 1.367 ;
|
|
|
+; 23.490 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.454 ; 1.367 ;
|
|
|
+; 23.516 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.443 ; 1.352 ;
|
|
|
+; 23.523 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.443 ; 1.345 ;
|
|
|
+; 23.534 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.462 ; 1.315 ;
|
|
|
+; 23.566 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 1.284 ;
|
|
|
+; 23.591 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 1.259 ;
|
|
|
+; 23.646 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.462 ; 1.203 ;
|
|
|
+; 23.838 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.460 ; 1.013 ;
|
|
|
+; 23.880 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.460 ; 0.971 ;
|
|
|
+; 23.884 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 0.966 ;
|
|
|
+; 23.884 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 0.966 ;
|
|
|
+; 24.038 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.460 ; 0.813 ;
|
|
|
+; 24.054 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 0.796 ;
|
|
|
+; 24.054 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.461 ; 0.796 ;
|
|
|
+; 24.055 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.460 ; 0.796 ;
|
|
|
+; 24.055 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.460 ; 0.796 ;
|
|
|
+; 24.070 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -1.448 ; 0.793 ;
|
|
|
+; 25.862 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 27.777 ; -0.070 ; 1.847 ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.419 ; led_ctr[0] ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.684 ;
|
|
|
+; 0.685 ; led_ctr[20] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.951 ;
|
|
|
+; 0.685 ; led_ctr[18] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.951 ;
|
|
|
+; 0.685 ; led_ctr[14] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.950 ;
|
|
|
+; 0.685 ; led_ctr[12] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.950 ;
|
|
|
+; 0.685 ; led_ctr[2] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.950 ;
|
|
|
+; 0.686 ; rst_ctr[4] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.952 ;
|
|
|
+; 0.686 ; led_ctr[28]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.952 ;
|
|
|
+; 0.686 ; led_ctr[26]~_Duplicate_1 ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.952 ;
|
|
|
+; 0.686 ; led_ctr[10] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.951 ;
|
|
|
+; 0.686 ; led_ctr[4] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.951 ;
|
|
|
+; 0.687 ; led_ctr[16] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.953 ;
|
|
|
+; 0.688 ; rst_ctr[0] ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
|
|
|
+; 0.688 ; rst_ctr[11] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
|
|
|
+; 0.688 ; rst_ctr[3] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
|
|
|
+; 0.688 ; rst_ctr[1] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
|
|
|
+; 0.688 ; led_ctr[24] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
|
|
|
+; 0.688 ; led_ctr[21] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
|
|
|
+; 0.688 ; led_ctr[5] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.953 ;
|
|
|
+; 0.689 ; rst_ctr[10] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; rst_ctr[9] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; rst_ctr[8] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; rst_ctr[6] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; rst_ctr[2] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; led_ctr[22] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; led_ctr[15] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
|
|
|
+; 0.689 ; led_ctr[8] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.954 ;
|
|
|
+; 0.689 ; led_ctr[6] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.954 ;
|
|
|
+; 0.690 ; led_ctr[17] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.956 ;
|
|
|
+; 0.691 ; led_ctr[27]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.957 ;
|
|
|
+; 0.691 ; led_ctr[19] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.957 ;
|
|
|
+; 0.691 ; led_ctr[13] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.956 ;
|
|
|
+; 0.691 ; led_ctr[11] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.956 ;
|
|
|
+; 0.691 ; led_ctr[3] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.956 ;
|
|
|
+; 0.692 ; rst_ctr[7] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
|
|
|
+; 0.692 ; rst_ctr[5] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
|
|
|
+; 0.692 ; led_ctr[25] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
|
|
|
+; 0.692 ; led_ctr[23] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
|
|
|
+; 0.692 ; led_ctr[9] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.957 ;
|
|
|
+; 0.692 ; led_ctr[7] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.957 ;
|
|
|
+; 0.709 ; led_ctr[0] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.974 ;
|
|
|
+; 0.851 ; led_ctr[1] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.116 ;
|
|
|
+; 0.897 ; rst_n ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.163 ;
|
|
|
+; 1.006 ; led_ctr[15] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.272 ;
|
|
|
+; 1.006 ; led_ctr[14] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.272 ;
|
|
|
+; 1.007 ; rst_ctr[4] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
|
|
|
+; 1.007 ; led_ctr[17] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
|
|
|
+; 1.007 ; led_ctr[13] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
|
|
|
+; 1.007 ; led_ctr[0] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
|
|
|
+; 1.007 ; led_ctr[20] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
|
|
|
+; 1.007 ; led_ctr[18] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
|
|
|
+; 1.007 ; led_ctr[12] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
|
|
|
+; 1.007 ; led_ctr[2] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
|
|
|
+; 1.007 ; led_ctr[21] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
|
|
|
+; 1.007 ; led_ctr[5] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
|
|
|
+; 1.008 ; rst_ctr[3] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
|
|
|
+; 1.008 ; rst_ctr[0] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
|
|
|
+; 1.008 ; rst_ctr[1] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
|
|
|
+; 1.008 ; led_ctr[19] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
|
|
|
+; 1.008 ; led_ctr[11] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
|
|
|
+; 1.008 ; led_ctr[27]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
|
|
|
+; 1.008 ; led_ctr[3] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
|
|
|
+; 1.008 ; led_ctr[4] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
|
|
|
+; 1.008 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
|
|
|
+; 1.008 ; led_ctr[10] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
|
|
|
+; 1.009 ; rst_ctr[10] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
|
|
|
+; 1.009 ; rst_ctr[2] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
|
|
|
+; 1.009 ; rst_ctr[9] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
|
|
|
+; 1.009 ; led_ctr[25] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
|
|
|
+; 1.009 ; led_ctr[9] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.274 ;
|
|
|
+; 1.009 ; led_ctr[23] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
|
|
|
+; 1.009 ; led_ctr[7] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.274 ;
|
|
|
+; 1.010 ; rst_ctr[8] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.276 ;
|
|
|
+; 1.010 ; rst_ctr[6] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.276 ;
|
|
|
+; 1.011 ; led_ctr[16] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.277 ;
|
|
|
+; 1.012 ; led_ctr[24] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.278 ;
|
|
|
+; 1.013 ; rst_ctr[7] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.279 ;
|
|
|
+; 1.013 ; rst_ctr[5] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.279 ;
|
|
|
+; 1.013 ; led_ctr[22] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.279 ;
|
|
|
+; 1.013 ; led_ctr[8] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.278 ;
|
|
|
+; 1.013 ; led_ctr[6] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.278 ;
|
|
|
+; 1.022 ; rst_ctr[4] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.288 ;
|
|
|
+; 1.022 ; led_ctr[21] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.288 ;
|
|
|
+; 1.022 ; led_ctr[5] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.287 ;
|
|
|
+; 1.023 ; led_ctr[15] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.289 ;
|
|
|
+; 1.024 ; rst_ctr[11] ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ;
|
|
|
+; 1.024 ; led_ctr[13] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ;
|
|
|
+; 1.024 ; led_ctr[17] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ;
|
|
|
+; 1.024 ; led_ctr[0] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.289 ;
|
|
|
+; 1.025 ; rst_ctr[0] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.291 ;
|
|
|
+; 1.025 ; led_ctr[19] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.291 ;
|
|
|
+; 1.025 ; led_ctr[11] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.290 ;
|
|
|
+; 1.025 ; led_ctr[3] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.290 ;
|
|
|
+; 1.026 ; rst_ctr[2] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
|
|
|
+; 1.026 ; rst_ctr[8] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
|
|
|
+; 1.026 ; rst_ctr[6] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
|
|
|
+; 1.026 ; led_ctr[25] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
|
|
|
+; 1.026 ; led_ctr[9] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.291 ;
|
|
|
+; 1.026 ; led_ctr[23] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
|
|
|
+; 1.026 ; led_ctr[7] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.291 ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.471 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.737 ;
|
|
|
+; 0.472 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.738 ;
|
|
|
+; 0.473 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.739 ;
|
|
|
+; 0.478 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.744 ;
|
|
|
+; 0.479 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.745 ;
|
|
|
+; 0.479 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.745 ;
|
|
|
+; 0.480 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.746 ;
|
|
|
+; 0.482 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.748 ;
|
|
|
+; 0.483 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.749 ;
|
|
|
+; 0.483 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.749 ;
|
|
|
+; 0.599 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.865 ;
|
|
|
+; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.866 ;
|
|
|
+; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.866 ;
|
|
|
+; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.866 ;
|
|
|
+; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.866 ;
|
|
|
+; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.867 ;
|
|
|
+; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.867 ;
|
|
|
+; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.867 ;
|
|
|
+; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.867 ;
|
|
|
+; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.867 ;
|
|
|
+; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.867 ;
|
|
|
+; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.868 ;
|
|
|
+; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.868 ;
|
|
|
+; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.868 ;
|
|
|
+; 0.603 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.869 ;
|
|
|
+; 0.603 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.869 ;
|
|
|
+; 0.603 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.869 ;
|
|
|
+; 0.603 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.869 ;
|
|
|
+; 0.604 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.870 ;
|
|
|
+; 0.609 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.875 ;
|
|
|
+; 0.610 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.876 ;
|
|
|
+; 0.615 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.881 ;
|
|
|
+; 0.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.883 ;
|
|
|
+; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.909 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.913 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.913 ;
|
|
|
+; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.913 ;
|
|
|
+; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.914 ;
|
|
|
+; 0.650 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.916 ;
|
|
|
+; 0.650 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.916 ;
|
|
|
+; 0.655 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.921 ;
|
|
|
+; 0.677 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.942 ;
|
|
|
+; 0.679 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.944 ;
|
|
|
+; 0.714 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.979 ;
|
|
|
+; 0.714 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.980 ;
|
|
|
+; 0.714 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.980 ;
|
|
|
+; 0.714 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.980 ;
|
|
|
+; 0.714 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.980 ;
|
|
|
+; 0.716 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.982 ;
|
|
|
+; 0.733 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.999 ;
|
|
|
+; 0.733 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.999 ;
|
|
|
+; 0.734 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.178 ; 1.125 ;
|
|
|
+; 0.737 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.003 ;
|
|
|
+; 0.737 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.003 ;
|
|
|
+; 0.738 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.004 ;
|
|
|
+; 0.738 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.004 ;
|
|
|
+; 0.740 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.006 ;
|
|
|
+; 0.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.179 ; 1.156 ;
|
|
|
+; 0.771 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.179 ; 1.163 ;
|
|
|
+; 0.785 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.179 ; 1.177 ;
|
|
|
+; 0.797 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.168 ; 1.178 ;
|
|
|
+; 0.809 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.179 ; 1.201 ;
|
|
|
+; 0.843 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.166 ; 1.222 ;
|
|
|
+; 0.860 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.168 ; 1.241 ;
|
|
|
+; 0.877 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.259 ;
|
|
|
+; 0.878 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.260 ;
|
|
|
+; 0.894 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.159 ;
|
|
|
+; 0.898 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.163 ;
|
|
|
+; 0.900 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.282 ;
|
|
|
+; 0.902 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.284 ;
|
|
|
+; 0.922 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.304 ;
|
|
|
+; 0.946 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.328 ;
|
|
|
+; 0.948 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.211 ;
|
|
|
+; 0.949 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.169 ; 1.331 ;
|
|
|
+; 0.951 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.180 ; 1.344 ;
|
|
|
+; 0.953 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.216 ;
|
|
|
+; 0.955 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.218 ;
|
|
|
+; 0.958 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.221 ;
|
|
|
+; 0.983 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.180 ; 1.376 ;
|
|
|
+; 0.995 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.179 ; 1.387 ;
|
|
|
+; 1.000 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.265 ;
|
|
|
+; 1.009 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.186 ; 1.408 ;
|
|
|
+; 1.036 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.183 ; 1.432 ;
|
|
|
+; 1.039 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.305 ;
|
|
|
+; 1.045 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.311 ;
|
|
|
+; 1.055 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.186 ; 1.454 ;
|
|
|
+; 1.062 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.185 ; 1.460 ;
|
|
|
+; 1.078 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.176 ; 1.467 ;
|
|
|
+; 1.085 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.351 ;
|
|
|
+; 1.086 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.351 ;
|
|
|
+; 1.090 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.355 ;
|
|
|
+; 1.090 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.355 ;
|
|
|
+; 1.099 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.069 ; 1.363 ;
|
|
|
+; 1.106 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.369 ;
|
|
|
+; 1.108 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.373 ;
|
|
|
+; 1.112 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.377 ;
|
|
|
+; 1.122 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.387 ;
|
|
|
+; 1.162 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.428 ;
|
|
|
+; 1.181 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.446 ;
|
|
|
+; 1.208 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.024 ; 1.344 ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.494 ; dummydata[16] ; dummydata[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.760 ;
|
|
|
+; 0.617 ; dummydata[18] ; dummydata[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.882 ;
|
|
|
+; 0.630 ; dummydata[19] ; dummydata[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.895 ;
|
|
|
+; 0.681 ; dummydata[2] ; dummydata[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.947 ;
|
|
|
+; 0.695 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.960 ;
|
|
|
+; 0.695 ; dummydata[5] ; dummydata[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.961 ;
|
|
|
+; 0.696 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.962 ;
|
|
|
+; 0.697 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.963 ;
|
|
|
+; 0.697 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.963 ;
|
|
|
+; 0.699 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.964 ;
|
|
|
+; 0.700 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.966 ;
|
|
|
+; 0.701 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.966 ;
|
|
|
+; 0.714 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.980 ;
|
|
|
+; 0.728 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.993 ;
|
|
|
+; 0.731 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.996 ;
|
|
|
+; 0.734 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.000 ;
|
|
|
+; 0.740 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.005 ;
|
|
|
+; 0.742 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.008 ;
|
|
|
+; 0.749 ; dummydata[10] ; dummydata[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.015 ;
|
|
|
+; 0.793 ; dummydata[6] ; dummydata[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.059 ;
|
|
|
+; 0.847 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.112 ;
|
|
|
+; 0.847 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.112 ;
|
|
|
+; 0.847 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.112 ;
|
|
|
+; 0.847 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.112 ;
|
|
|
+; 0.871 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.137 ;
|
|
|
+; 0.884 ; dummydata[7] ; dummydata[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.150 ;
|
|
|
+; 0.891 ; dummydata[3] ; dummydata[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.157 ;
|
|
|
+; 0.912 ; dummydata[20] ; dummydata[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.177 ;
|
|
|
+; 0.923 ; dummydata[23] ; dummydata[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.188 ;
|
|
|
+; 0.957 ; dummydata[15] ; dummydata[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.057 ; 1.209 ;
|
|
|
+; 0.999 ; dummydata[21] ; dummydata[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.075 ; 1.269 ;
|
|
|
+; 1.016 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.281 ;
|
|
|
+; 1.016 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.282 ;
|
|
|
+; 1.017 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.283 ;
|
|
|
+; 1.019 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.285 ;
|
|
|
+; 1.020 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.285 ;
|
|
|
+; 1.021 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.286 ;
|
|
|
+; 1.021 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.287 ;
|
|
|
+; 1.022 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.287 ;
|
|
|
+; 1.026 ; dummydata[9] ; dummydata[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.300 ;
|
|
|
+; 1.031 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.296 ;
|
|
|
+; 1.034 ; dummydata[12] ; dummydata[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.300 ;
|
|
|
+; 1.034 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.300 ;
|
|
|
+; 1.035 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.301 ;
|
|
|
+; 1.102 ; dummydata[22] ; dummydata[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.096 ; 1.393 ;
|
|
|
+; 1.105 ; dummydata[13] ; dummydata[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.371 ;
|
|
|
+; 1.114 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.380 ;
|
|
|
+; 1.117 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.382 ;
|
|
|
+; 1.130 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.396 ;
|
|
|
+; 1.139 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.405 ;
|
|
|
+; 1.142 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.407 ;
|
|
|
+; 1.157 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.423 ;
|
|
|
+; 1.175 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.441 ;
|
|
|
+; 1.240 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.506 ;
|
|
|
+; 1.252 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.518 ;
|
|
|
+; 1.291 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.559 ;
|
|
|
+; 1.292 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.560 ;
|
|
|
+; 1.314 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.085 ; 1.594 ;
|
|
|
+; 1.355 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 1.613 ;
|
|
|
+; 1.356 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 1.614 ;
|
|
|
+; 1.360 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 1.618 ;
|
|
|
+; 1.361 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.628 ;
|
|
|
+; 1.366 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.077 ; 1.638 ;
|
|
|
+; 1.375 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.641 ;
|
|
|
+; 1.401 ; dummydata[17] ; dummydata[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.093 ; 1.689 ;
|
|
|
+; 1.408 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.673 ;
|
|
|
+; 1.409 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.680 ;
|
|
|
+; 1.409 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.680 ;
|
|
|
+; 1.409 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.680 ;
|
|
|
+; 1.409 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.680 ;
|
|
|
+; 1.412 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.678 ;
|
|
|
+; 1.442 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.709 ;
|
|
|
+; 1.444 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.710 ;
|
|
|
+; 1.466 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.063 ; 1.724 ;
|
|
|
+; 1.468 ; dummydata[8] ; dummydata[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.062 ; 1.725 ;
|
|
|
+; 1.470 ; dummydata[14] ; dummydata[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.736 ;
|
|
|
+; 1.476 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.054 ; 1.725 ;
|
|
|
+; 1.480 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.747 ;
|
|
|
+; 1.481 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.748 ;
|
|
|
+; 1.484 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.751 ;
|
|
|
+; 1.497 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.763 ;
|
|
|
+; 1.506 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.774 ;
|
|
|
+; 1.506 ; dummydata[12] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.772 ;
|
|
|
+; 1.530 ; dummydata[0] ; dummydata[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.090 ; 1.815 ;
|
|
|
+; 1.541 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.069 ; 1.805 ;
|
|
|
+; 1.546 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.074 ; 1.815 ;
|
|
|
+; 1.571 ; dummydata[1] ; dummydata[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.838 ;
|
|
|
+; 1.577 ; dummydata[11] ; dummydata[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.843 ;
|
|
|
+; 1.607 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.875 ;
|
|
|
+; 1.607 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.875 ;
|
|
|
+; 1.618 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.885 ;
|
|
|
+; 1.632 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.057 ; 1.884 ;
|
|
|
+; 1.632 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.057 ; 1.884 ;
|
|
|
+; 1.632 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.057 ; 1.884 ;
|
|
|
+; 1.632 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.057 ; 1.884 ;
|
|
|
+; 1.658 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.062 ; 1.915 ;
|
|
|
+; 1.658 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.062 ; 1.915 ;
|
|
|
+; 1.658 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.062 ; 1.915 ;
|
|
|
+; 1.658 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.062 ; 1.915 ;
|
|
|
+; 1.675 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.941 ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.446 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.070 ; 1.711 ;
|
|
|
+; 2.799 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.020 ; 0.716 ;
|
|
|
+; 2.808 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.008 ; 0.737 ;
|
|
|
+; 2.822 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.020 ; 0.739 ;
|
|
|
+; 2.822 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.020 ; 0.739 ;
|
|
|
+; 2.823 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 0.739 ;
|
|
|
+; 2.823 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 0.739 ;
|
|
|
+; 2.950 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 0.866 ;
|
|
|
+; 2.950 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 0.866 ;
|
|
|
+; 2.977 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 0.893 ;
|
|
|
+; 2.991 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 0.907 ;
|
|
|
+; 3.161 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 1.077 ;
|
|
|
+; 3.218 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 1.134 ;
|
|
|
+; 3.222 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.023 ; 1.136 ;
|
|
|
+; 3.235 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.023 ; 1.149 ;
|
|
|
+; 3.245 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.003 ; 1.179 ;
|
|
|
+; 3.268 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.015 ; 1.190 ;
|
|
|
+; 3.274 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.017 ; 1.194 ;
|
|
|
+; 3.277 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.003 ; 1.211 ;
|
|
|
+; 3.282 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.012 ; 1.207 ;
|
|
|
+; 3.298 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.023 ; 1.212 ;
|
|
|
+; 3.327 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.021 ; 1.243 ;
|
|
|
+; 3.389 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.012 ; 1.314 ;
|
|
|
+; 3.412 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.012 ; 1.337 ;
|
|
|
+; 3.421 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.015 ; 1.343 ;
|
|
|
+; 3.474 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.999 ; 1.412 ;
|
|
|
+; 3.520 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.004 ; 1.453 ;
|
|
|
+; 3.564 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.005 ; 1.496 ;
|
|
|
+; 3.590 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.999 ; 1.528 ;
|
|
|
+; 3.698 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.629 ;
|
|
|
+; 3.818 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.008 ; 1.747 ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.006 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 2.306 ;
|
|
|
+; 1.006 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 2.306 ;
|
|
|
+; 1.006 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 2.306 ;
|
|
|
+; 1.006 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 2.306 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.193 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.182 ; 2.101 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.212 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.173 ; 2.091 ;
|
|
|
+; 1.225 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.179 ; 2.072 ;
|
|
|
+; 1.225 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.179 ; 2.072 ;
|
|
|
+; 1.225 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.179 ; 2.072 ;
|
|
|
+; 1.225 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.179 ; 2.072 ;
|
|
|
+; 1.237 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.161 ; 2.078 ;
|
|
|
+; 1.237 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.161 ; 2.078 ;
|
|
|
+; 1.237 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.161 ; 2.078 ;
|
|
|
+; 1.237 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.161 ; 2.078 ;
|
|
|
+; 1.252 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.175 ; 2.049 ;
|
|
|
+; 1.252 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.175 ; 2.049 ;
|
|
|
+; 1.252 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.175 ; 2.049 ;
|
|
|
+; 1.252 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.175 ; 2.049 ;
|
|
|
+; 1.262 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.166 ; 2.048 ;
|
|
|
+; 1.262 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.166 ; 2.048 ;
|
|
|
+; 1.262 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.166 ; 2.048 ;
|
|
|
+; 1.262 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.166 ; 2.048 ;
|
|
|
+; 1.262 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.166 ; 2.048 ;
|
|
|
+; 1.262 ; rst_n ; tmdsenc:hdmitmds[0].enc|denreg ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.166 ; 2.048 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
+; 1.357 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.164 ; 1.955 ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 5.880 ; rst_n ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.098 ; 4.313 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.209 ; rst_n ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 4.115 ;
|
|
|
+; 6.279 ; rst_n ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.096 ; 3.916 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.507 ; rst_n ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.094 ; 3.817 ;
|
|
|
+; 6.724 ; rst_n ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.096 ; 3.471 ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.350 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.171 ; 1.739 ;
|
|
|
+; 1.442 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.169 ; 1.829 ;
|
|
|
+; 1.442 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.169 ; 1.829 ;
|
|
|
+; 1.442 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.169 ; 1.829 ;
|
|
|
+; 1.442 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.169 ; 1.829 ;
|
|
|
+; 1.442 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.169 ; 1.829 ;
|
|
|
+; 1.442 ; rst_n ; tmdsenc:hdmitmds[0].enc|denreg ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.169 ; 1.829 ;
|
|
|
+; 1.448 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.161 ; 1.827 ;
|
|
|
+; 1.448 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.161 ; 1.827 ;
|
|
|
+; 1.448 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.161 ; 1.827 ;
|
|
|
+; 1.448 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.161 ; 1.827 ;
|
|
|
+; 1.464 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 1.857 ;
|
|
|
+; 1.464 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 1.857 ;
|
|
|
+; 1.464 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 1.857 ;
|
|
|
+; 1.464 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.175 ; 1.857 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.480 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.162 ; 1.860 ;
|
|
|
+; 1.482 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.156 ; 1.856 ;
|
|
|
+; 1.482 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.156 ; 1.856 ;
|
|
|
+; 1.482 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.156 ; 1.856 ;
|
|
|
+; 1.482 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.156 ; 1.856 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.504 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.153 ; 1.875 ;
|
|
|
+; 1.616 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.172 ; 2.006 ;
|
|
|
+; 1.616 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.172 ; 2.006 ;
|
|
|
+; 1.616 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.172 ; 2.006 ;
|
|
|
+; 1.616 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.172 ; 2.006 ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Slow 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 3.033 ; rst_n ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.054 ; 3.195 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.047 ; rst_n ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.078 ; 3.320 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.278 ; rst_n ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.077 ; 3.550 ;
|
|
|
+; 3.348 ; rst_n ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.054 ; 3.510 ;
|
|
|
+; 3.636 ; rst_n ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.052 ; 3.796 ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
+----------------------------------------------
|
|
|
+; Slow 1200mV 0C Model Metastability Summary ;
|
|
|
+----------------------------------------------
|
|
|
+No synchronizer chains to report.
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Setup Summary ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 3.823 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 8.114 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 23.486 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 24.576 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Hold Summary ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.195 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.195 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.206 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.631 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Recovery Summary ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 2.270 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 8.237 ; 0.000 ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Removal Summary ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.612 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 1.410 ; 0.000 ;
|
|
|
++-------------------------------------------------+-------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; Clock ; Slack ; End Point TNS ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.563 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 4.993 ; 0.000 ;
|
|
|
+; clock_48 ; 10.004 ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 13.673 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 13.673 ; 0.000 ;
|
|
|
++---------------------------------------------------------------+--------+---------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 3.823 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.061 ; 1.420 ;
|
|
|
+; 3.877 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.063 ; 1.555 ;
|
|
|
+; 3.914 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.062 ; 1.328 ;
|
|
|
+; 4.010 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 1.442 ;
|
|
|
+; 4.027 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 1.425 ;
|
|
|
+; 4.030 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.061 ; 1.404 ;
|
|
|
+; 4.030 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.063 ; 1.211 ;
|
|
|
+; 4.060 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 1.392 ;
|
|
|
+; 4.077 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.429 ;
|
|
|
+; 4.142 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 1.310 ;
|
|
|
+; 4.154 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 1.298 ;
|
|
|
+; 4.155 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 1.297 ;
|
|
|
+; 4.163 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.339 ;
|
|
|
+; 4.166 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.336 ;
|
|
|
+; 4.169 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.333 ;
|
|
|
+; 4.177 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.325 ;
|
|
|
+; 4.178 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.324 ;
|
|
|
+; 4.181 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.321 ;
|
|
|
+; 4.182 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.320 ;
|
|
|
+; 4.183 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.319 ;
|
|
|
+; 4.206 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.296 ;
|
|
|
+; 4.220 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.286 ;
|
|
|
+; 4.222 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.284 ;
|
|
|
+; 4.223 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.283 ;
|
|
|
+; 4.223 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.283 ;
|
|
|
+; 4.224 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.282 ;
|
|
|
+; 4.226 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.280 ;
|
|
|
+; 4.228 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.278 ;
|
|
|
+; 4.229 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.277 ;
|
|
|
+; 4.230 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.276 ;
|
|
|
+; 4.230 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.276 ;
|
|
|
+; 4.232 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.274 ;
|
|
|
+; 4.234 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.272 ;
|
|
|
+; 4.271 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.236 ;
|
|
|
+; 4.275 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.227 ;
|
|
|
+; 4.278 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.224 ;
|
|
|
+; 4.288 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.219 ;
|
|
|
+; 4.300 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.207 ;
|
|
|
+; 4.305 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.202 ;
|
|
|
+; 4.313 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.072 ; 1.110 ;
|
|
|
+; 4.316 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.191 ;
|
|
|
+; 4.331 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.120 ;
|
|
|
+; 4.338 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.164 ;
|
|
|
+; 4.338 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.169 ;
|
|
|
+; 4.340 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.162 ;
|
|
|
+; 4.343 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 1.159 ;
|
|
|
+; 4.351 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.145 ;
|
|
|
+; 4.351 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.156 ;
|
|
|
+; 4.352 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.155 ;
|
|
|
+; 4.354 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.142 ;
|
|
|
+; 4.356 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.140 ;
|
|
|
+; 4.357 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.139 ;
|
|
|
+; 4.357 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.139 ;
|
|
|
+; 4.359 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.137 ;
|
|
|
+; 4.360 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.136 ;
|
|
|
+; 4.365 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.142 ;
|
|
|
+; 4.366 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.141 ;
|
|
|
+; 4.368 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.139 ;
|
|
|
+; 4.391 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.105 ;
|
|
|
+; 4.392 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 1.104 ;
|
|
|
+; 4.393 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.113 ;
|
|
|
+; 4.402 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.105 ;
|
|
|
+; 4.420 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.087 ;
|
|
|
+; 4.424 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.086 ; 1.032 ;
|
|
|
+; 4.430 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.077 ;
|
|
|
+; 4.432 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.075 ;
|
|
|
+; 4.433 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.074 ;
|
|
|
+; 4.453 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.053 ;
|
|
|
+; 4.461 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.080 ; 1.001 ;
|
|
|
+; 4.462 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.044 ;
|
|
|
+; 4.473 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.034 ;
|
|
|
+; 4.483 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 1.024 ;
|
|
|
+; 4.494 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 0.967 ;
|
|
|
+; 4.503 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 0.958 ;
|
|
|
+; 4.505 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 0.956 ;
|
|
|
+; 4.509 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.087 ; 0.946 ;
|
|
|
+; 4.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 0.991 ;
|
|
|
+; 4.524 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.046 ; 0.972 ;
|
|
|
+; 4.535 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 0.967 ;
|
|
|
+; 4.535 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 0.967 ;
|
|
|
+; 4.537 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.040 ; 0.965 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.540 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.911 ;
|
|
|
+; 4.545 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.906 ;
|
|
|
+; 4.548 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 0.958 ;
|
|
|
+; 4.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 0.951 ;
|
|
|
+; 4.557 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.035 ; 0.950 ;
|
|
|
+; 4.557 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.087 ; 0.898 ;
|
|
|
+; 4.559 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.090 ; 0.893 ;
|
|
|
+; 4.561 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.890 ;
|
|
|
+; 4.564 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.086 ; 0.892 ;
|
|
|
+; 4.577 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.056 ; 0.671 ;
|
|
|
+; 4.581 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.870 ;
|
|
|
+; 4.581 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.870 ;
|
|
|
+; 4.581 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.870 ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 8.114 ; led_ctr[1] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.203 ;
|
|
|
+; 8.141 ; led_ctr[1] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 2.174 ;
|
|
|
+; 8.148 ; led_ctr[2] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.169 ;
|
|
|
+; 8.192 ; led_ctr[0] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.125 ;
|
|
|
+; 8.199 ; led_ctr[2] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 2.116 ;
|
|
|
+; 8.200 ; led_ctr[1] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.117 ;
|
|
|
+; 8.209 ; led_ctr[0] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 2.106 ;
|
|
|
+; 8.216 ; led_ctr[4] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.101 ;
|
|
|
+; 8.258 ; led_ctr[2] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.059 ;
|
|
|
+; 8.260 ; led_ctr[3] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.057 ;
|
|
|
+; 8.267 ; led_ctr[4] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 2.048 ;
|
|
|
+; 8.268 ; led_ctr[0] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.049 ;
|
|
|
+; 8.277 ; led_ctr[3] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 2.038 ;
|
|
|
+; 8.280 ; led_ctr[6] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 2.037 ;
|
|
|
+; 8.326 ; led_ctr[4] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.991 ;
|
|
|
+; 8.329 ; led_ctr[5] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.988 ;
|
|
|
+; 8.331 ; led_ctr[6] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.984 ;
|
|
|
+; 8.336 ; led_ctr[3] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.981 ;
|
|
|
+; 8.345 ; led_ctr[5] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.970 ;
|
|
|
+; 8.348 ; led_ctr[8] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.969 ;
|
|
|
+; 8.390 ; led_ctr[6] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.927 ;
|
|
|
+; 8.396 ; led_ctr[7] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.921 ;
|
|
|
+; 8.399 ; led_ctr[8] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.916 ;
|
|
|
+; 8.404 ; led_ctr[5] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.913 ;
|
|
|
+; 8.413 ; led_ctr[7] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.902 ;
|
|
|
+; 8.420 ; led_ctr[10] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.897 ;
|
|
|
+; 8.458 ; led_ctr[8] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.859 ;
|
|
|
+; 8.464 ; led_ctr[9] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.853 ;
|
|
|
+; 8.471 ; led_ctr[10] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.844 ;
|
|
|
+; 8.472 ; led_ctr[7] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.845 ;
|
|
|
+; 8.480 ; led_ctr[9] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.835 ;
|
|
|
+; 8.488 ; led_ctr[12] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.829 ;
|
|
|
+; 8.530 ; led_ctr[10] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.787 ;
|
|
|
+; 8.532 ; led_ctr[11] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.785 ;
|
|
|
+; 8.539 ; led_ctr[12] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.776 ;
|
|
|
+; 8.539 ; led_ctr[9] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.778 ;
|
|
|
+; 8.549 ; led_ctr[11] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.766 ;
|
|
|
+; 8.556 ; led_ctr[14] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.761 ;
|
|
|
+; 8.598 ; led_ctr[12] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.719 ;
|
|
|
+; 8.600 ; led_ctr[13] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.717 ;
|
|
|
+; 8.607 ; led_ctr[14] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.708 ;
|
|
|
+; 8.608 ; led_ctr[11] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.709 ;
|
|
|
+; 8.617 ; led_ctr[13] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.040 ; 1.698 ;
|
|
|
+; 8.619 ; led_ctr[16] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.697 ;
|
|
|
+; 8.666 ; led_ctr[14] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.651 ;
|
|
|
+; 8.668 ; led_ctr[15] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.648 ;
|
|
|
+; 8.670 ; led_ctr[16] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.644 ;
|
|
|
+; 8.671 ; led_ctr[1] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.697 ;
|
|
|
+; 8.676 ; led_ctr[13] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.038 ; 1.641 ;
|
|
|
+; 8.685 ; led_ctr[15] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.629 ;
|
|
|
+; 8.690 ; led_ctr[18] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.626 ;
|
|
|
+; 8.699 ; led_ctr[1] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.669 ;
|
|
|
+; 8.729 ; led_ctr[16] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.587 ;
|
|
|
+; 8.729 ; led_ctr[2] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.639 ;
|
|
|
+; 8.733 ; led_ctr[2] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.635 ;
|
|
|
+; 8.735 ; led_ctr[17] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.581 ;
|
|
|
+; 8.739 ; led_ctr[1] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.629 ;
|
|
|
+; 8.739 ; led_ctr[0] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.629 ;
|
|
|
+; 8.741 ; led_ctr[18] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.573 ;
|
|
|
+; 8.744 ; led_ctr[15] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.572 ;
|
|
|
+; 8.752 ; led_ctr[17] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.562 ;
|
|
|
+; 8.758 ; led_ctr[20] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.558 ;
|
|
|
+; 8.767 ; led_ctr[1] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.601 ;
|
|
|
+; 8.777 ; led_ctr[0] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.591 ;
|
|
|
+; 8.797 ; led_ctr[4] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.571 ;
|
|
|
+; 8.797 ; led_ctr[2] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.571 ;
|
|
|
+; 8.800 ; led_ctr[18] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.516 ;
|
|
|
+; 8.801 ; led_ctr[4] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.567 ;
|
|
|
+; 8.801 ; led_ctr[2] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.567 ;
|
|
|
+; 8.803 ; led_ctr[19] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.513 ;
|
|
|
+; 8.807 ; led_ctr[1] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.561 ;
|
|
|
+; 8.807 ; led_ctr[3] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.561 ;
|
|
|
+; 8.807 ; led_ctr[0] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.561 ;
|
|
|
+; 8.809 ; led_ctr[20] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.505 ;
|
|
|
+; 8.811 ; led_ctr[17] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.505 ;
|
|
|
+; 8.819 ; led_ctr[19] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.495 ;
|
|
|
+; 8.822 ; led_ctr[22] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.494 ;
|
|
|
+; 8.835 ; led_ctr[1] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.533 ;
|
|
|
+; 8.845 ; led_ctr[3] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.523 ;
|
|
|
+; 8.845 ; led_ctr[0] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.523 ;
|
|
|
+; 8.861 ; led_ctr[6] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.507 ;
|
|
|
+; 8.865 ; led_ctr[6] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.503 ;
|
|
|
+; 8.865 ; led_ctr[4] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.503 ;
|
|
|
+; 8.865 ; led_ctr[2] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.503 ;
|
|
|
+; 8.868 ; led_ctr[20] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.448 ;
|
|
|
+; 8.869 ; led_ctr[4] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.499 ;
|
|
|
+; 8.869 ; led_ctr[2] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.499 ;
|
|
|
+; 8.872 ; led_ctr[21] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.444 ;
|
|
|
+; 8.873 ; led_ctr[22] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.441 ;
|
|
|
+; 8.875 ; led_ctr[1] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.493 ;
|
|
|
+; 8.875 ; led_ctr[5] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.493 ;
|
|
|
+; 8.875 ; led_ctr[3] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.493 ;
|
|
|
+; 8.875 ; led_ctr[0] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.493 ;
|
|
|
+; 8.878 ; led_ctr[19] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.438 ;
|
|
|
+; 8.887 ; led_ctr[21] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.041 ; 1.427 ;
|
|
|
+; 8.890 ; led_ctr[24] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.039 ; 1.426 ;
|
|
|
+; 8.903 ; led_ctr[1] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.465 ;
|
|
|
+; 8.913 ; led_ctr[3] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.455 ;
|
|
|
+; 8.913 ; led_ctr[0] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.455 ;
|
|
|
+; 8.914 ; led_ctr[5] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.035 ; 1.454 ;
|
|
|
++-------+-------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 23.486 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.038 ; 4.240 ;
|
|
|
+; 23.539 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.038 ; 4.187 ;
|
|
|
+; 23.603 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.038 ; 4.123 ;
|
|
|
+; 23.607 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.038 ; 4.119 ;
|
|
|
+; 23.651 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 4.076 ;
|
|
|
+; 23.790 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 3.937 ;
|
|
|
+; 23.888 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 3.839 ;
|
|
|
+; 23.937 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 3.790 ;
|
|
|
+; 23.973 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.751 ;
|
|
|
+; 23.979 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.750 ;
|
|
|
+; 23.994 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.735 ;
|
|
|
+; 24.006 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.718 ;
|
|
|
+; 24.007 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.722 ;
|
|
|
+; 24.011 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.718 ;
|
|
|
+; 24.018 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.706 ;
|
|
|
+; 24.021 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 3.706 ;
|
|
|
+; 24.022 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.707 ;
|
|
|
+; 24.026 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.698 ;
|
|
|
+; 24.026 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.703 ;
|
|
|
+; 24.033 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.696 ;
|
|
|
+; 24.037 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.692 ;
|
|
|
+; 24.038 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.686 ;
|
|
|
+; 24.047 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.682 ;
|
|
|
+; 24.059 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.665 ;
|
|
|
+; 24.062 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.667 ;
|
|
|
+; 24.071 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.653 ;
|
|
|
+; 24.075 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.654 ;
|
|
|
+; 24.077 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 3.650 ;
|
|
|
+; 24.079 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.650 ;
|
|
|
+; 24.081 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.651 ;
|
|
|
+; 24.082 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.642 ;
|
|
|
+; 24.090 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.634 ;
|
|
|
+; 24.090 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.639 ;
|
|
|
+; 24.091 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.633 ;
|
|
|
+; 24.094 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.630 ;
|
|
|
+; 24.094 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.638 ;
|
|
|
+; 24.094 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.635 ;
|
|
|
+; 24.096 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.636 ;
|
|
|
+; 24.098 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.634 ;
|
|
|
+; 24.101 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.628 ;
|
|
|
+; 24.105 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.624 ;
|
|
|
+; 24.122 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.037 ; 3.605 ;
|
|
|
+; 24.123 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.601 ;
|
|
|
+; 24.124 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.605 ;
|
|
|
+; 24.127 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.597 ;
|
|
|
+; 24.128 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.596 ;
|
|
|
+; 24.128 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.601 ;
|
|
|
+; 24.134 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.582 ;
|
|
|
+; 24.135 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.589 ;
|
|
|
+; 24.135 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.589 ;
|
|
|
+; 24.138 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.587 ;
|
|
|
+; 24.139 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.585 ;
|
|
|
+; 24.140 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.026 ; 3.598 ;
|
|
|
+; 24.144 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.026 ; 3.594 ;
|
|
|
+; 24.149 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.567 ;
|
|
|
+; 24.149 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.583 ;
|
|
|
+; 24.155 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.569 ;
|
|
|
+; 24.159 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.565 ;
|
|
|
+; 24.162 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.570 ;
|
|
|
+; 24.164 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.568 ;
|
|
|
+; 24.166 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.032 ; 3.566 ;
|
|
|
+; 24.171 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.554 ;
|
|
|
+; 24.175 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.541 ;
|
|
|
+; 24.176 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.548 ;
|
|
|
+; 24.181 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.543 ;
|
|
|
+; 24.183 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.546 ;
|
|
|
+; 24.183 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.546 ;
|
|
|
+; 24.183 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.542 ;
|
|
|
+; 24.190 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.526 ;
|
|
|
+; 24.192 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.537 ;
|
|
|
+; 24.196 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.533 ;
|
|
|
+; 24.199 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.525 ;
|
|
|
+; 24.202 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.514 ;
|
|
|
+; 24.203 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.522 ;
|
|
|
+; 24.203 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.521 ;
|
|
|
+; 24.208 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.026 ; 3.530 ;
|
|
|
+; 24.212 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.026 ; 3.526 ;
|
|
|
+; 24.217 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.499 ;
|
|
|
+; 24.227 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.502 ;
|
|
|
+; 24.229 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.495 ;
|
|
|
+; 24.231 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.498 ;
|
|
|
+; 24.243 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.473 ;
|
|
|
+; 24.245 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.479 ;
|
|
|
+; 24.247 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.478 ;
|
|
|
+; 24.249 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.475 ;
|
|
|
+; 24.258 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.458 ;
|
|
|
+; 24.277 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.448 ;
|
|
|
+; 24.293 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.432 ;
|
|
|
+; 24.293 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.431 ;
|
|
|
+; 24.295 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.434 ;
|
|
|
+; 24.297 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.040 ; 3.427 ;
|
|
|
+; 24.299 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.035 ; 3.430 ;
|
|
|
+; 24.306 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.410 ;
|
|
|
+; 24.310 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.415 ;
|
|
|
+; 24.321 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.395 ;
|
|
|
+; 24.322 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.403 ;
|
|
|
+; 24.339 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.377 ;
|
|
|
+; 24.341 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.384 ;
|
|
|
+; 24.342 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.039 ; 3.383 ;
|
|
|
+; 24.354 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.777 ; -0.048 ; 3.362 ;
|
|
|
++--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 24.576 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.764 ; 0.956 ;
|
|
|
+; 24.711 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.762 ; 0.823 ;
|
|
|
+; 24.747 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.755 ; 0.794 ;
|
|
|
+; 24.760 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.761 ; 0.775 ;
|
|
|
+; 24.790 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.755 ; 0.751 ;
|
|
|
+; 24.805 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.760 ; 0.731 ;
|
|
|
+; 24.835 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.771 ; 0.690 ;
|
|
|
+; 24.838 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.769 ; 0.689 ;
|
|
|
+; 24.852 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.769 ; 0.675 ;
|
|
|
+; 24.875 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.774 ; 0.647 ;
|
|
|
+; 24.877 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.769 ; 0.650 ;
|
|
|
+; 24.883 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.768 ; 0.645 ;
|
|
|
+; 24.883 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.771 ; 0.642 ;
|
|
|
+; 24.894 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.773 ; 0.629 ;
|
|
|
+; 24.902 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.760 ; 0.634 ;
|
|
|
+; 24.905 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.760 ; 0.631 ;
|
|
|
+; 24.910 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.774 ; 0.612 ;
|
|
|
+; 24.942 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.773 ; 0.581 ;
|
|
|
+; 24.956 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.773 ; 0.567 ;
|
|
|
+; 24.984 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.774 ; 0.538 ;
|
|
|
+; 25.064 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.772 ; 0.460 ;
|
|
|
+; 25.074 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.772 ; 0.450 ;
|
|
|
+; 25.079 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.772 ; 0.445 ;
|
|
|
+; 25.079 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.772 ; 0.445 ;
|
|
|
+; 25.150 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.772 ; 0.374 ;
|
|
|
+; 25.150 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.772 ; 0.374 ;
|
|
|
+; 25.151 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.771 ; 0.374 ;
|
|
|
+; 25.151 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.771 ; 0.374 ;
|
|
|
+; 25.156 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.771 ; 0.369 ;
|
|
|
+; 25.161 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.389 ; -0.764 ; 0.371 ;
|
|
|
+; 26.911 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 27.777 ; -0.035 ; 0.818 ;
|
|
|
++--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.195 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.314 ;
|
|
|
+; 0.195 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.314 ;
|
|
|
+; 0.195 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.314 ;
|
|
|
+; 0.198 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.317 ;
|
|
|
+; 0.199 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.318 ;
|
|
|
+; 0.199 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.318 ;
|
|
|
+; 0.200 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.319 ;
|
|
|
+; 0.201 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.320 ;
|
|
|
+; 0.202 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.321 ;
|
|
|
+; 0.203 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.322 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.372 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.373 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.373 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.373 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.374 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.374 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.374 ;
|
|
|
+; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.374 ;
|
|
|
+; 0.255 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.375 ;
|
|
|
+; 0.256 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.375 ;
|
|
|
+; 0.256 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.376 ;
|
|
|
+; 0.256 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.376 ;
|
|
|
+; 0.257 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.376 ;
|
|
|
+; 0.259 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.378 ;
|
|
|
+; 0.263 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.383 ;
|
|
|
+; 0.264 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.383 ;
|
|
|
+; 0.268 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.387 ;
|
|
|
+; 0.268 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.387 ;
|
|
|
+; 0.269 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.388 ;
|
|
|
+; 0.269 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.388 ;
|
|
|
+; 0.271 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.390 ;
|
|
|
+; 0.271 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.390 ;
|
|
|
+; 0.272 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.391 ;
|
|
|
+; 0.275 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.394 ;
|
|
|
+; 0.289 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.408 ;
|
|
|
+; 0.290 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.409 ;
|
|
|
+; 0.301 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.421 ;
|
|
|
+; 0.301 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.421 ;
|
|
|
+; 0.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.422 ;
|
|
|
+; 0.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.422 ;
|
|
|
+; 0.303 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.423 ;
|
|
|
+; 0.307 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.427 ;
|
|
|
+; 0.308 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.096 ; 0.506 ;
|
|
|
+; 0.308 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.096 ; 0.506 ;
|
|
|
+; 0.308 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.096 ; 0.506 ;
|
|
|
+; 0.309 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.096 ; 0.507 ;
|
|
|
+; 0.316 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.436 ;
|
|
|
+; 0.317 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.436 ;
|
|
|
+; 0.317 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.436 ;
|
|
|
+; 0.317 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.437 ;
|
|
|
+; 0.318 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.438 ;
|
|
|
+; 0.319 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.438 ;
|
|
|
+; 0.321 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.440 ;
|
|
|
+; 0.323 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.096 ; 0.521 ;
|
|
|
+; 0.328 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.090 ; 0.520 ;
|
|
|
+; 0.344 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.090 ; 0.536 ;
|
|
|
+; 0.353 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.546 ;
|
|
|
+; 0.356 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.549 ;
|
|
|
+; 0.357 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.090 ; 0.549 ;
|
|
|
+; 0.361 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.480 ;
|
|
|
+; 0.363 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.482 ;
|
|
|
+; 0.381 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.574 ;
|
|
|
+; 0.381 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.574 ;
|
|
|
+; 0.384 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.577 ;
|
|
|
+; 0.384 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.577 ;
|
|
|
+; 0.385 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.091 ; 0.578 ;
|
|
|
+; 0.412 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.097 ; 0.611 ;
|
|
|
+; 0.423 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.098 ; 0.623 ;
|
|
|
+; 0.425 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.543 ;
|
|
|
+; 0.426 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.544 ;
|
|
|
+; 0.429 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.547 ;
|
|
|
+; 0.429 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.547 ;
|
|
|
+; 0.432 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.098 ; 0.632 ;
|
|
|
+; 0.453 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.103 ; 0.658 ;
|
|
|
+; 0.457 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.577 ;
|
|
|
+; 0.464 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.583 ;
|
|
|
+; 0.467 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.587 ;
|
|
|
+; 0.467 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.586 ;
|
|
|
+; 0.467 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.100 ; 0.669 ;
|
|
|
+; 0.468 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.587 ;
|
|
|
+; 0.469 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.588 ;
|
|
|
+; 0.473 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.102 ; 0.677 ;
|
|
|
+; 0.474 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.594 ;
|
|
|
+; 0.474 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.103 ; 0.679 ;
|
|
|
+; 0.483 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.094 ; 0.679 ;
|
|
|
+; 0.484 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.603 ;
|
|
|
+; 0.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.090 ; 0.707 ;
|
|
|
+; 0.516 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.635 ;
|
|
|
+; 0.517 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.090 ; 0.709 ;
|
|
|
+; 0.519 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.638 ;
|
|
|
+; 0.520 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.639 ;
|
|
|
+; 0.523 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.643 ;
|
|
|
+; 0.526 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.002 ; 0.090 ; 0.718 ;
|
|
|
++-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.195 ; led_ctr[0] ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.314 ;
|
|
|
+; 0.293 ; led_ctr[20] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.413 ;
|
|
|
+; 0.293 ; led_ctr[18] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.413 ;
|
|
|
+; 0.293 ; led_ctr[14] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.412 ;
|
|
|
+; 0.294 ; led_ctr[28]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; led_ctr[26]~_Duplicate_1 ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; led_ctr[22] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; led_ctr[21] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; led_ctr[16] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; led_ctr[15] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; led_ctr[12] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
|
|
|
+; 0.294 ; led_ctr[10] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
|
|
|
+; 0.294 ; led_ctr[4] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
|
|
|
+; 0.294 ; led_ctr[2] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
|
|
|
+; 0.294 ; rst_ctr[0] ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; rst_ctr[4] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.294 ; rst_ctr[1] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
|
|
|
+; 0.295 ; led_ctr[24] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; led_ctr[23] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; led_ctr[17] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; led_ctr[8] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.414 ;
|
|
|
+; 0.295 ; led_ctr[6] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.414 ;
|
|
|
+; 0.295 ; led_ctr[5] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.414 ;
|
|
|
+; 0.295 ; rst_ctr[11] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; rst_ctr[10] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; rst_ctr[9] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; rst_ctr[8] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; rst_ctr[6] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; rst_ctr[3] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.295 ; rst_ctr[2] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
|
|
|
+; 0.296 ; led_ctr[27]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
|
|
|
+; 0.296 ; led_ctr[25] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
|
|
|
+; 0.296 ; led_ctr[19] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
|
|
|
+; 0.296 ; led_ctr[13] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
|
|
|
+; 0.296 ; led_ctr[11] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
|
|
|
+; 0.296 ; led_ctr[9] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
|
|
|
+; 0.296 ; led_ctr[7] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
|
|
|
+; 0.296 ; led_ctr[3] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
|
|
|
+; 0.296 ; rst_ctr[7] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
|
|
|
+; 0.296 ; rst_ctr[5] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
|
|
|
+; 0.300 ; led_ctr[0] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.419 ;
|
|
|
+; 0.366 ; led_ctr[1] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.485 ;
|
|
|
+; 0.376 ; rst_n ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.496 ;
|
|
|
+; 0.441 ; led_ctr[14] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.561 ;
|
|
|
+; 0.442 ; led_ctr[20] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
|
|
|
+; 0.442 ; led_ctr[18] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
|
|
|
+; 0.442 ; rst_ctr[1] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
|
|
|
+; 0.443 ; led_ctr[22] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
|
|
|
+; 0.443 ; led_ctr[16] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
|
|
|
+; 0.443 ; led_ctr[4] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
|
|
|
+; 0.443 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
|
|
|
+; 0.443 ; led_ctr[12] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
|
|
|
+; 0.443 ; led_ctr[10] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
|
|
|
+; 0.443 ; led_ctr[2] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
|
|
|
+; 0.443 ; rst_ctr[3] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
|
|
|
+; 0.443 ; rst_ctr[9] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
|
|
|
+; 0.444 ; led_ctr[24] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.564 ;
|
|
|
+; 0.444 ; led_ctr[8] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.563 ;
|
|
|
+; 0.444 ; led_ctr[6] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.563 ;
|
|
|
+; 0.444 ; rst_ctr[7] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.564 ;
|
|
|
+; 0.444 ; rst_ctr[5] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.564 ;
|
|
|
+; 0.452 ; led_ctr[21] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.572 ;
|
|
|
+; 0.452 ; led_ctr[15] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.572 ;
|
|
|
+; 0.453 ; led_ctr[17] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
|
|
|
+; 0.453 ; led_ctr[0] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.572 ;
|
|
|
+; 0.453 ; led_ctr[23] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
|
|
|
+; 0.453 ; led_ctr[5] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.572 ;
|
|
|
+; 0.453 ; rst_ctr[0] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
|
|
|
+; 0.453 ; rst_ctr[4] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
|
|
|
+; 0.454 ; led_ctr[19] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.454 ; led_ctr[13] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
|
|
|
+; 0.454 ; led_ctr[27]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.454 ; led_ctr[25] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.454 ; led_ctr[11] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
|
|
|
+; 0.454 ; led_ctr[9] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
|
|
|
+; 0.454 ; led_ctr[3] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
|
|
|
+; 0.454 ; led_ctr[7] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
|
|
|
+; 0.454 ; rst_ctr[10] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.454 ; rst_ctr[8] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.454 ; rst_ctr[2] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.454 ; rst_ctr[6] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
|
|
|
+; 0.455 ; led_ctr[21] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.575 ;
|
|
|
+; 0.455 ; led_ctr[15] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.575 ;
|
|
|
+; 0.456 ; led_ctr[13] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
|
|
|
+; 0.456 ; led_ctr[17] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
|
|
|
+; 0.456 ; led_ctr[0] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.575 ;
|
|
|
+; 0.456 ; led_ctr[23] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
|
|
|
+; 0.456 ; led_ctr[5] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.575 ;
|
|
|
+; 0.456 ; rst_ctr[0] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
|
|
|
+; 0.456 ; rst_ctr[4] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
|
|
|
+; 0.457 ; led_ctr[19] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
|
|
|
+; 0.457 ; led_ctr[3] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
|
|
|
+; 0.457 ; led_ctr[25] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
|
|
|
+; 0.457 ; led_ctr[11] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
|
|
|
+; 0.457 ; led_ctr[9] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
|
|
|
+; 0.457 ; led_ctr[7] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
|
|
|
+; 0.457 ; rst_ctr[2] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
|
|
|
+; 0.457 ; rst_ctr[8] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
|
|
|
+; 0.457 ; rst_ctr[6] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
|
|
|
+; 0.461 ; rst_ctr[11] ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.581 ;
|
|
|
++-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.206 ; dummydata[16] ; dummydata[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.325 ;
|
|
|
+; 0.274 ; dummydata[18] ; dummydata[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.393 ;
|
|
|
+; 0.278 ; dummydata[19] ; dummydata[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.397 ;
|
|
|
+; 0.285 ; dummydata[2] ; dummydata[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.404 ;
|
|
|
+; 0.295 ; dummydata[5] ; dummydata[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.414 ;
|
|
|
+; 0.299 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.418 ;
|
|
|
+; 0.300 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.419 ;
|
|
|
+; 0.301 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
|
|
|
+; 0.301 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
|
|
|
+; 0.301 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
|
|
|
+; 0.301 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
|
|
|
+; 0.301 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
|
|
|
+; 0.309 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.428 ;
|
|
|
+; 0.317 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.436 ;
|
|
|
+; 0.318 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.437 ;
|
|
|
+; 0.320 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.439 ;
|
|
|
+; 0.322 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.441 ;
|
|
|
+; 0.325 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.444 ;
|
|
|
+; 0.325 ; dummydata[10] ; dummydata[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.445 ;
|
|
|
+; 0.333 ; dummydata[6] ; dummydata[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.452 ;
|
|
|
+; 0.348 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.467 ;
|
|
|
+; 0.348 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.467 ;
|
|
|
+; 0.348 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.467 ;
|
|
|
+; 0.348 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.467 ;
|
|
|
+; 0.360 ; dummydata[7] ; dummydata[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.479 ;
|
|
|
+; 0.364 ; dummydata[3] ; dummydata[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.483 ;
|
|
|
+; 0.375 ; dummydata[20] ; dummydata[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.494 ;
|
|
|
+; 0.375 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.494 ;
|
|
|
+; 0.379 ; dummydata[23] ; dummydata[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.498 ;
|
|
|
+; 0.427 ; dummydata[15] ; dummydata[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.027 ; 0.538 ;
|
|
|
+; 0.435 ; dummydata[12] ; dummydata[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.555 ;
|
|
|
+; 0.440 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.559 ;
|
|
|
+; 0.448 ; dummydata[21] ; dummydata[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.571 ;
|
|
|
+; 0.448 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.567 ;
|
|
|
+; 0.449 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
|
|
|
+; 0.449 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
|
|
|
+; 0.449 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
|
|
|
+; 0.449 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
|
|
|
+; 0.454 ; dummydata[9] ; dummydata[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.038 ; 0.576 ;
|
|
|
+; 0.457 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.576 ;
|
|
|
+; 0.458 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.577 ;
|
|
|
+; 0.460 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.579 ;
|
|
|
+; 0.461 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.580 ;
|
|
|
+; 0.463 ; dummydata[22] ; dummydata[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.051 ; 0.598 ;
|
|
|
+; 0.463 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.582 ;
|
|
|
+; 0.468 ; dummydata[13] ; dummydata[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.588 ;
|
|
|
+; 0.512 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.631 ;
|
|
|
+; 0.512 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.631 ;
|
|
|
+; 0.515 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.634 ;
|
|
|
+; 0.515 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.634 ;
|
|
|
+; 0.520 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.639 ;
|
|
|
+; 0.523 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.642 ;
|
|
|
+; 0.534 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.653 ;
|
|
|
+; 0.537 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.656 ;
|
|
|
+; 0.554 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.675 ;
|
|
|
+; 0.579 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.699 ;
|
|
|
+; 0.579 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.699 ;
|
|
|
+; 0.579 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.699 ;
|
|
|
+; 0.579 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.699 ;
|
|
|
+; 0.592 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.045 ; 0.721 ;
|
|
|
+; 0.593 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.714 ;
|
|
|
+; 0.593 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.714 ;
|
|
|
+; 0.613 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.734 ;
|
|
|
+; 0.620 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.741 ;
|
|
|
+; 0.621 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.033 ; 0.738 ;
|
|
|
+; 0.621 ; dummydata[17] ; dummydata[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.048 ; 0.753 ;
|
|
|
+; 0.623 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.033 ; 0.740 ;
|
|
|
+; 0.625 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.744 ;
|
|
|
+; 0.626 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.033 ; 0.743 ;
|
|
|
+; 0.630 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.749 ;
|
|
|
+; 0.633 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.752 ;
|
|
|
+; 0.634 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.755 ;
|
|
|
+; 0.653 ; dummydata[14] ; dummydata[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.773 ;
|
|
|
+; 0.655 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.775 ;
|
|
|
+; 0.660 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.781 ;
|
|
|
+; 0.660 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.781 ;
|
|
|
+; 0.670 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.033 ; 0.787 ;
|
|
|
+; 0.675 ; dummydata[12] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.796 ;
|
|
|
+; 0.675 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.796 ;
|
|
|
+; 0.678 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.032 ; 0.794 ;
|
|
|
+; 0.678 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.032 ; 0.794 ;
|
|
|
+; 0.678 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.032 ; 0.794 ;
|
|
|
+; 0.678 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.032 ; 0.794 ;
|
|
|
+; 0.678 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.799 ;
|
|
|
+; 0.679 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.027 ; 0.790 ;
|
|
|
+; 0.679 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.027 ; 0.790 ;
|
|
|
+; 0.679 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.027 ; 0.790 ;
|
|
|
+; 0.679 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.027 ; 0.790 ;
|
|
|
+; 0.680 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.801 ;
|
|
|
+; 0.685 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.804 ;
|
|
|
+; 0.686 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.807 ;
|
|
|
+; 0.688 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.024 ; 0.796 ;
|
|
|
+; 0.690 ; dummydata[11] ; dummydata[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.810 ;
|
|
|
+; 0.696 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.815 ;
|
|
|
+; 0.702 ; dummydata[0] ; dummydata[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.050 ; 0.836 ;
|
|
|
+; 0.703 ; dummydata[1] ; dummydata[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.824 ;
|
|
|
+; 0.705 ; dummydata[8] ; dummydata[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.031 ; 0.820 ;
|
|
|
+; 0.716 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.839 ;
|
|
|
+; 0.732 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.852 ;
|
|
|
+; 0.740 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.861 ;
|
|
|
++-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.631 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.035 ; 0.750 ;
|
|
|
+; 2.012 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.313 ;
|
|
|
+; 2.021 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.315 ;
|
|
|
+; 2.021 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.315 ;
|
|
|
+; 2.021 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.315 ;
|
|
|
+; 2.021 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.315 ;
|
|
|
+; 2.024 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.318 ;
|
|
|
+; 2.079 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.373 ;
|
|
|
+; 2.079 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.373 ;
|
|
|
+; 2.093 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.387 ;
|
|
|
+; 2.093 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.387 ;
|
|
|
+; 2.179 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.534 ; 0.471 ;
|
|
|
+; 2.189 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.483 ;
|
|
|
+; 2.204 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.498 ;
|
|
|
+; 2.225 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.534 ; 0.517 ;
|
|
|
+; 2.226 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.520 ; 0.532 ;
|
|
|
+; 2.233 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.520 ; 0.539 ;
|
|
|
+; 2.242 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.527 ; 0.541 ;
|
|
|
+; 2.246 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.531 ; 0.541 ;
|
|
|
+; 2.247 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.529 ; 0.544 ;
|
|
|
+; 2.248 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.534 ; 0.540 ;
|
|
|
+; 2.255 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.532 ; 0.549 ;
|
|
|
+; 2.294 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.529 ; 0.591 ;
|
|
|
+; 2.302 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.529 ; 0.599 ;
|
|
|
+; 2.309 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.531 ; 0.604 ;
|
|
|
+; 2.324 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.521 ; 0.629 ;
|
|
|
+; 2.335 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.515 ; 0.646 ;
|
|
|
+; 2.381 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.522 ; 0.685 ;
|
|
|
+; 2.389 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.515 ; 0.700 ;
|
|
|
+; 2.410 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.522 ; 0.714 ;
|
|
|
+; 2.515 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.524 ; 0.817 ;
|
|
|
++-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 2.270 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.099 ;
|
|
|
+; 2.270 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.099 ;
|
|
|
+; 2.270 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.099 ;
|
|
|
+; 2.270 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.099 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.333 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.104 ; 1.024 ;
|
|
|
+; 2.344 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.025 ;
|
|
|
+; 2.344 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.025 ;
|
|
|
+; 2.344 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.025 ;
|
|
|
+; 2.344 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 1.025 ;
|
|
|
+; 2.345 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.101 ; 1.015 ;
|
|
|
+; 2.345 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.101 ; 1.015 ;
|
|
|
+; 2.345 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.101 ; 1.015 ;
|
|
|
+; 2.345 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.101 ; 1.015 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.352 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.095 ; 1.014 ;
|
|
|
+; 2.370 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.096 ; 0.995 ;
|
|
|
+; 2.370 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.096 ; 0.995 ;
|
|
|
+; 2.370 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.096 ; 0.995 ;
|
|
|
+; 2.370 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.096 ; 0.995 ;
|
|
|
+; 2.384 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.093 ; 0.984 ;
|
|
|
+; 2.384 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.093 ; 0.984 ;
|
|
|
+; 2.384 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.093 ; 0.984 ;
|
|
|
+; 2.384 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.093 ; 0.984 ;
|
|
|
+; 2.384 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.093 ; 0.984 ;
|
|
|
+; 2.384 ; rst_n ; tmdsenc:hdmitmds[0].enc|denreg ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.093 ; 0.984 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
+; 2.427 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 3.474 ; -0.092 ; 0.942 ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 8.237 ; rst_n ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.053 ; 2.065 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.346 ; rst_n ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 2.009 ;
|
|
|
+; 8.409 ; rst_n ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.051 ; 1.895 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.479 ; rst_n ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.048 ; 1.876 ;
|
|
|
+; 8.587 ; rst_n ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.416 ; -0.051 ; 1.717 ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.612 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.809 ;
|
|
|
+; 0.643 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.088 ; 0.838 ;
|
|
|
+; 0.643 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.088 ; 0.838 ;
|
|
|
+; 0.643 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.088 ; 0.838 ;
|
|
|
+; 0.643 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.088 ; 0.838 ;
|
|
|
+; 0.643 ; rst_n ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.088 ; 0.838 ;
|
|
|
+; 0.643 ; rst_n ; tmdsenc:hdmitmds[0].enc|denreg ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.088 ; 0.838 ;
|
|
|
+; 0.658 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.085 ; 0.850 ;
|
|
|
+; 0.658 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.085 ; 0.850 ;
|
|
|
+; 0.658 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.085 ; 0.850 ;
|
|
|
+; 0.658 ; rst_n ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.085 ; 0.850 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.676 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.086 ; 0.869 ;
|
|
|
+; 0.678 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.080 ; 0.865 ;
|
|
|
+; 0.678 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.080 ; 0.865 ;
|
|
|
+; 0.678 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.080 ; 0.865 ;
|
|
|
+; 0.678 ; rst_n ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.080 ; 0.865 ;
|
|
|
+; 0.681 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.089 ; 0.877 ;
|
|
|
+; 0.681 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.089 ; 0.877 ;
|
|
|
+; 0.681 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.089 ; 0.877 ;
|
|
|
+; 0.681 ; rst_n ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.089 ; 0.877 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.685 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.077 ; 0.869 ;
|
|
|
+; 0.749 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.946 ;
|
|
|
+; 0.749 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.946 ;
|
|
|
+; 0.749 ; rst_n ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.946 ;
|
|
|
+; 0.749 ; rst_n ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.003 ; 0.090 ; 0.946 ;
|
|
|
++-------+-----------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Fast 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+; 1.410 ; rst_n ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.029 ; 1.495 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.455 ; rst_n ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.044 ; 1.583 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.572 ; rst_n ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.043 ; 1.699 ;
|
|
|
+; 1.573 ; rst_n ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.029 ; 1.658 ;
|
|
|
+; 1.712 ; rst_n ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.027 ; 1.795 ;
|
|
|
++-------+-----------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
|
|
|
+
|
|
|
+
|
|
|
+----------------------------------------------
|
|
|
+; Fast 1200mV 0C Model Metastability Summary ;
|
|
|
+----------------------------------------------
|
|
|
+No synchronizer chains to report.
|
|
|
+
|
|
|
+
|
|
|
++----------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Multicorner Timing Analysis Summary ;
|
|
|
++----------------------------------------------------------------+--------+-------+----------+---------+---------------------+
|
|
|
+; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ;
|
|
|
++----------------------------------------------------------------+--------+-------+----------+---------+---------------------+
|
|
|
+; Worst-case Slack ; 1.854 ; 0.195 ; 0.827 ; 0.612 ; 2.476 ;
|
|
|
+; clock_48 ; N/A ; N/A ; N/A ; N/A ; 10.004 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 1.854 ; 0.195 ; N/A ; N/A ; 2.476 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 22.554 ; 0.631 ; N/A ; N/A ; 13.587 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.088 ; 0.195 ; 5.665 ; 1.410 ; 4.907 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 18.084 ; 0.206 ; 0.827 ; 0.612 ; 13.584 ;
|
|
|
+; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ;
|
|
|
+; clock_48 ; N/A ; N/A ; N/A ; N/A ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.000 ; 0.000 ; 0.000 ; 0.000 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.000 ; 0.000 ; 0.000 ; 0.000 ;
|
|
|
++----------------------------------------------------------------+--------+-------+----------+---------+---------------------+
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Board Trace Model Assignments ;
|
|
|
++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
|
|
|
+; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
|
|
|
++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
|
|
|
+; abc_d_oe ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_rdy_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_resin_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_int80_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_int800_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_nmi_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_xm_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_master ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_a_oe ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d_ce_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_cke ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_ba[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_ba[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[6] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[7] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[8] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[9] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[10] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[11] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_a[12] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dqm[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dqm[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_cs_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_we_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_cas_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_ras_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sd_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sd_cmd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; tty_rxd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; tty_cts ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; flash_cs_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; flash_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; flash_mosi ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; led[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; led[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; led[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_d[0] ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_d[1] ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_d[2] ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_clk ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[6] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; abc_d[7] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_sda ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[6] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[7] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[8] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[9] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[10] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[11] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[12] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[13] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[14] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sr_dq[15] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sd_dat[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sd_dat[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sd_dat[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; sd_dat[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; spi_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; spi_miso ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; spi_mosi ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; spi_cs_esp_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; esp_io0 ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; esp_int ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; i2c_scl ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; i2c_sda ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; gpio[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; gpio[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; gpio[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; gpio[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; gpio[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; gpio[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_scl ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_hpd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_d[0](n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_d[1](n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_d[2](n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
+; hdmi_clk(n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
|
|
|
++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------+
|
|
|
+; Input Transition Times ;
|
|
|
++----------------+--------------+-----------------+-----------------+
|
|
|
+; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
|
|
|
++----------------+--------------+-----------------+-----------------+
|
|
|
+; abc_clk ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[8] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[9] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[10] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[11] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[12] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[13] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[14] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_a[15] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_rst_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_cs_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_out_n[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_out_n[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_out_n[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_out_n[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_out_n[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_inp_n[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_inp_n[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_xmemfl_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_xmemw800_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_xmemw80_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_xinpstb_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_xoutpstb_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; tty_txd ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; tty_rts ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; tty_dtr ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; flash_miso ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; rtc_32khz ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; rtc_int_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; abc_d[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; hdmi_sda ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[8] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[9] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[10] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[11] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[12] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[13] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[14] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sr_dq[15] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sd_dat[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sd_dat[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sd_dat[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; sd_dat[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; spi_clk ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; spi_miso ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; spi_mosi ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; spi_cs_esp_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; esp_io0 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; esp_int ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; i2c_scl ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; i2c_sda ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; gpio[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; gpio[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; gpio[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; gpio[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; gpio[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; gpio[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; hdmi_scl ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; hdmi_hpd ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
|
|
|
+; clock_48 ; 2.5 V ; 2000 ps ; 2000 ps ;
|
|
|
++----------------+--------------+-----------------+-----------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Signal Integrity Metrics (Slow 1200mv 0c Model) ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+; abc_d_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_rdy_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_resin_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_int80_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_int800_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
|
|
|
+; abc_nmi_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_xm_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; abc_master ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_a_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; abc_d_ce_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_cke ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_ba[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
|
|
|
+; sr_ba[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_a[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dqm[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dqm[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_we_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_cas_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_ras_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sd_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; sd_cmd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; tty_rxd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ;
|
|
|
+; tty_cts ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; flash_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ;
|
|
|
+; flash_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 3.63e-09 V ; 3.17 V ; -0.033 V ; 0.146 V ; 0.089 V ; 4.42e-10 s ; 4e-10 s ; No ; Yes ; 3.08 V ; 3.63e-09 V ; 3.17 V ; -0.033 V ; 0.146 V ; 0.089 V ; 4.42e-10 s ; 4e-10 s ; No ; Yes ;
|
|
|
+; flash_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ;
|
|
|
+; led[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; led[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; led[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; hdmi_d[0] ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[1] ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[2] ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_clk ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_d[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_d[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_d[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_d[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_d[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
|
|
|
+; abc_d[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; abc_d[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; hdmi_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
|
|
|
+; sr_dq[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[13] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[14] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
|
|
|
+; sr_dq[15] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sd_dat[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; sd_dat[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; sd_dat[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ;
|
|
|
+; sd_dat[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; spi_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
|
|
|
+; spi_miso ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; spi_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; spi_cs_esp_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; esp_io0 ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; esp_int ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; i2c_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; i2c_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
|
|
|
+; gpio[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; gpio[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; gpio[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; gpio[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; gpio[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; gpio[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; hdmi_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; hdmi_hpd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
|
|
|
+; hdmi_d[0](n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[1](n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[2](n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_clk(n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Signal Integrity Metrics (Slow 1200mv 85c Model) ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+; abc_d_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_rdy_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_resin_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_int80_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_int800_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
|
|
|
+; abc_nmi_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_xm_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; abc_master ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_a_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; abc_d_ce_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_cke ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_ba[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
|
|
|
+; sr_ba[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_a[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dqm[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dqm[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_we_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_cas_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_ras_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sd_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; sd_cmd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; tty_rxd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ;
|
|
|
+; tty_cts ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; flash_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ;
|
|
|
+; flash_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 2.58e-07 V ; 3.13 V ; -0.0413 V ; 0.178 V ; 0.078 V ; 4.81e-10 s ; 4.67e-10 s ; Yes ; Yes ; 3.08 V ; 2.58e-07 V ; 3.13 V ; -0.0413 V ; 0.178 V ; 0.078 V ; 4.81e-10 s ; 4.67e-10 s ; Yes ; Yes ;
|
|
|
+; flash_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ;
|
|
|
+; led[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; led[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; led[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[0] ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[1] ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[2] ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_clk ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
|
|
|
+; abc_d[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
|
|
|
+; sr_dq[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[13] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sr_dq[14] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
|
|
|
+; sr_dq[15] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sd_dat[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; sd_dat[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; sd_dat[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ;
|
|
|
+; sd_dat[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; spi_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
|
|
|
+; spi_miso ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; spi_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; spi_cs_esp_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; esp_io0 ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; esp_int ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; i2c_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; i2c_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
|
|
|
+; gpio[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; gpio[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; gpio[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; gpio[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; gpio[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; gpio[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_hpd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[0](n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[1](n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[2](n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_clk(n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+
|
|
|
+
|
|
|
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Signal Integrity Metrics (Fast 1200mv 0c Model) ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+; abc_d_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_rdy_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_resin_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_int80_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_int800_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
|
|
|
+; abc_nmi_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_xm_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; abc_master ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_a_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; abc_d_ce_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_cke ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_ba[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
|
|
|
+; sr_ba[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_a[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dqm[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dqm[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_we_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_cas_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_ras_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sd_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; sd_cmd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; tty_rxd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ;
|
|
|
+; tty_cts ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; flash_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ;
|
|
|
+; flash_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 6.59e-08 V ; 3.58 V ; -0.0705 V ; 0.234 V ; 0.092 V ; 2.93e-10 s ; 3.09e-10 s ; Yes ; Yes ; 3.46 V ; 6.59e-08 V ; 3.58 V ; -0.0705 V ; 0.234 V ; 0.092 V ; 2.93e-10 s ; 3.09e-10 s ; Yes ; Yes ;
|
|
|
+; flash_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ;
|
|
|
+; led[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; led[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; led[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; hdmi_d[0] ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[1] ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[2] ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_clk ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; abc_d[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_d[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_d[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_d[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_d[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_d[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
|
|
|
+; abc_d[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; abc_d[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; hdmi_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
|
|
|
+; sr_dq[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[13] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sr_dq[14] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
|
|
|
+; sr_dq[15] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sd_dat[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; sd_dat[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; sd_dat[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ;
|
|
|
+; sd_dat[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; spi_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
|
|
|
+; spi_miso ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; spi_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; spi_cs_esp_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; esp_io0 ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; esp_int ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; i2c_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; i2c_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
|
|
|
+; gpio[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; gpio[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; gpio[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; gpio[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; gpio[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; gpio[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; hdmi_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; hdmi_hpd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
|
|
|
+; hdmi_d[0](n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[1](n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_d[2](n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
+; hdmi_clk(n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
|
|
|
++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Setup Transfers ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 180 ; 0 ; 0 ; 0 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 98 ; 0 ; 0 ; 0 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 1 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 30 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 622 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 9603 ; 0 ; 0 ; 0 ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+----------+----------+----------+----------+
|
|
|
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Hold Transfers ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 180 ; 0 ; 0 ; 0 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 98 ; 0 ; 0 ; 0 ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 1 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 30 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 622 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 9603 ; 0 ; 0 ; 0 ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+----------+----------+----------+----------+
|
|
|
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Recovery Transfers ;
|
|
|
++-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
|
|
|
++-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 32 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 43 ; 0 ; 0 ; 0 ;
|
|
|
++-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+
|
|
|
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
|
|
|
+
|
|
|
+
|
|
|
++-----------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Removal Transfers ;
|
|
|
++-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
|
|
|
++-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 32 ; 0 ; 0 ; 0 ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 43 ; 0 ; 0 ; 0 ;
|
|
|
++-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+
|
|
|
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
|
|
|
+
|
|
|
+
|
|
|
+---------------
|
|
|
+; Report TCCS ;
|
|
|
+---------------
|
|
|
+No dedicated SERDES Transmitter circuitry present in device or used in design
|
|
|
+
|
|
|
+
|
|
|
+---------------
|
|
|
+; Report RSKM ;
|
|
|
+---------------
|
|
|
+No non-DPA dedicated SERDES Receiver circuitry present in device or used in design
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------+
|
|
|
+; Unconstrained Paths Summary ;
|
|
|
++---------------------------------+-------+------+
|
|
|
+; Property ; Setup ; Hold ;
|
|
|
++---------------------------------+-------+------+
|
|
|
+; Illegal Clocks ; 0 ; 0 ;
|
|
|
+; Unconstrained Clocks ; 0 ; 0 ;
|
|
|
+; Unconstrained Input Ports ; 0 ; 0 ;
|
|
|
+; Unconstrained Input Port Paths ; 0 ; 0 ;
|
|
|
+; Unconstrained Output Ports ; 12 ; 12 ;
|
|
|
+; Unconstrained Output Port Paths ; 12 ; 12 ;
|
|
|
++---------------------------------+-------+------+
|
|
|
+
|
|
|
+
|
|
|
++---------------------------------------------------------------------------------------------------------------------------------------------------------+
|
|
|
+; Clock Status Summary ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+-----------+-------------+
|
|
|
+; Target ; Clock ; Type ; Status ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+-----------+-------------+
|
|
|
+; clock_48 ; clock_48 ; Base ; Constrained ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; Generated ; Constrained ;
|
|
|
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; Generated ; Constrained ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; Generated ; Constrained ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; Generated ; Constrained ;
|
|
|
+; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; Generated ; Constrained ;
|
|
|
++---------------------------------------------------------------+---------------------------------------------------------------+-----------+-------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------+
|
|
|
+; Unconstrained Output Ports ;
|
|
|
++--------------+---------------------------------------------------------------------------------------+
|
|
|
+; Output Port ; Comment ;
|
|
|
++--------------+---------------------------------------------------------------------------------------+
|
|
|
+; hdmi_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_clk(n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[0](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[1](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[2](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; led[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; led[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; led[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; sr_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
++--------------+---------------------------------------------------------------------------------------+
|
|
|
+
|
|
|
+
|
|
|
++------------------------------------------------------------------------------------------------------+
|
|
|
+; Unconstrained Output Ports ;
|
|
|
++--------------+---------------------------------------------------------------------------------------+
|
|
|
+; Output Port ; Comment ;
|
|
|
++--------------+---------------------------------------------------------------------------------------+
|
|
|
+; hdmi_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_clk(n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[0](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[1](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; hdmi_d[2](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; led[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; led[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; led[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
+; sr_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
|
|
|
++--------------+---------------------------------------------------------------------------------------+
|
|
|
+
|
|
|
+
|
|
|
++--------------------------+
|
|
|
+; Timing Analyzer Messages ;
|
|
|
++--------------------------+
|
|
|
+Info: *******************************************************************
|
|
|
+Info: Running Quartus Prime Timing Analyzer
|
|
|
+ Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
|
|
|
+ Info: Processing started: Wed Jul 28 12:56:13 2021
|
|
|
+Info: Command: quartus_sta max80 -c max80
|
|
|
+Info: qsta_default_script.tcl version: #1
|
|
|
+Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
|
|
+Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
|
|
|
+Info (21077): Low junction temperature is 0 degrees C
|
|
|
+Info (21077): High junction temperature is 85 degrees C
|
|
|
+Info (332164): Evaluating HDL-embedded SDC commands
|
|
|
+ Info (332165): Entity pll_altpll
|
|
|
+ Info (332166): set_false_path -from ** -to *phasedone_state*
|
|
|
+ Info (332166): set_false_path -from ** -to *internal_phasestep*
|
|
|
+Warning (332174): Ignored filter at qsta_default_script.tcl(1297): *phasedone_state* could not be matched with a clock or keeper or register or port or pin or cell or partition File: /opt/altera/18.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
|
|
|
+Warning (332049): Ignored set_false_path at qsta_default_script.tcl(1297): Argument <to> is not an object ID File: /opt/altera/18.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
|
|
|
+ Info (332050): read_sdc File: /opt/altera/18.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
|
|
|
+Warning (332174): Ignored filter at qsta_default_script.tcl(1297): *internal_phasestep* could not be matched with a clock or keeper or register or port or pin or cell or partition File: /opt/altera/18.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
|
|
|
+Warning (332049): Ignored set_false_path at qsta_default_script.tcl(1297): Argument <to> is not an object ID File: /opt/altera/18.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
|
|
|
+ Info (332050): read_sdc File: /opt/altera/18.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
|
|
|
+Critical Warning (332012): Synopsys Design Constraints File file not found: 'max80.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
|
|
|
+Info (332142): No user constrained generated clocks found in the design. Calling "derive_pll_clocks -create_base_clocks"
|
|
|
+Info (332110): Deriving PLL clocks
|
|
|
+ Info (332110): create_clock -period 20.833 -waveform {0.000 10.416} -name clock_48 clock_48
|
|
|
+ Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]}
|
|
|
+ Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[1]} {pll|altpll_component|auto_generated|pll1|clk[1]}
|
|
|
+ Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 4 -multiply_by 3 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[2]} {pll|altpll_component|auto_generated|pll1|clk[2]}
|
|
|
+ Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -multiply_by 5 -phase -90.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]}
|
|
|
+ Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -phase -18.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]}
|
|
|
+Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
|
|
|
+Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed.
|
|
|
+Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
|
|
|
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
|
|
|
+Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
|
|
|
+Info: Analyzing Slow 1200mV 85C Model
|
|
|
+Info (332146): Worst-case setup slack is 1.854
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 1.854 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 5.088 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 18.084 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 22.554 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info (332146): Worst-case hold slack is 0.467
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 0.467 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 0.503 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 0.529 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 1.560 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info (332146): Worst-case recovery slack is 0.827
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 0.827 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 5.665 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+Info (332146): Worst-case removal slack is 1.509
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 1.509 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 3.366 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+Info (332146): Worst-case minimum pulse width slack is 2.476
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 2.476 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 4.907 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 10.341 0.000 clock_48
|
|
|
+ Info (332119): 13.584 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 13.587 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info: Analyzing Slow 1200mV 0C Model
|
|
|
+Info (334003): Started post-fitting delay annotation
|
|
|
+Info (334004): Delay annotation completed successfully
|
|
|
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
|
|
|
+Info (332146): Worst-case setup slack is 2.062
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 2.062 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 5.555 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 18.708 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 22.850 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info (332146): Worst-case hold slack is 0.419
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 0.419 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 0.471 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 0.494 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 1.446 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info (332146): Worst-case recovery slack is 1.006
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 1.006 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 5.880 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+Info (332146): Worst-case removal slack is 1.350
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 1.350 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 3.033 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+Info (332146): Worst-case minimum pulse width slack is 2.476
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 2.476 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 4.909 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 10.354 0.000 clock_48
|
|
|
+ Info (332119): 13.586 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 13.588 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info: Analyzing Fast 1200mV 0C Model
|
|
|
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
|
|
|
+Info (332146): Worst-case setup slack is 3.823
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 3.823 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 8.114 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 23.486 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 24.576 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info (332146): Worst-case hold slack is 0.195
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 0.195 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 0.195 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 0.206 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 0.631 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+Info (332146): Worst-case recovery slack is 2.270
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 2.270 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 8.237 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+Info (332146): Worst-case removal slack is 0.612
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 0.612 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+ Info (332119): 1.410 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+Info (332146): Worst-case minimum pulse width slack is 2.563
|
|
|
+ Info (332119): Slack End Point TNS Clock
|
|
|
+ Info (332119): ========= =================== =====================
|
|
|
+ Info (332119): 2.563 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
|
|
|
+ Info (332119): 4.993 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
|
|
|
+ Info (332119): 10.004 0.000 clock_48
|
|
|
+ Info (332119): 13.673 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
|
|
|
+ Info (332119): 13.673 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
|
|
|
+Info (332102): Design is not fully constrained for setup requirements
|
|
|
+Info (332102): Design is not fully constrained for hold requirements
|
|
|
+Info: Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings
|
|
|
+ Info: Peak virtual memory: 898 megabytes
|
|
|
+ Info: Processing ended: Wed Jul 28 12:56:15 2021
|
|
|
+ Info: Elapsed time: 00:00:02
|
|
|
+ Info: Total CPU time (on all processors): 00:00:02
|
|
|
+
|
|
|
+
|