max80.pow.rpt 45 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441
  1. Power Analyzer report for max80
  2. Fri Aug 6 20:12:54 2021
  3. Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
  4. ---------------------
  5. ; Table of Contents ;
  6. ---------------------
  7. 1. Legal Notice
  8. 2. Parallel Compilation
  9. 3. Power Analyzer Summary
  10. 4. Power Analyzer Settings
  11. 5. Indeterminate Toggle Rates
  12. 6. Operating Conditions Used
  13. 7. Thermal Power Dissipation by Block
  14. 8. Thermal Power Dissipation by Block Type
  15. 9. Thermal Power Dissipation by Hierarchy
  16. 10. Core Dynamic Thermal Power Dissipation by Clock Domain
  17. 11. Current Drawn from Voltage Supplies Summary
  18. 12. VCCIO Supply Current Drawn by I/O Bank
  19. 13. VCCIO Supply Current Drawn by Voltage
  20. 14. Confidence Metric Details
  21. 15. Signal Activities
  22. 16. Power Analyzer Messages
  23. ----------------
  24. ; Legal Notice ;
  25. ----------------
  26. Copyright (C) 2020 Intel Corporation. All rights reserved.
  27. Your use of Intel Corporation's design tools, logic functions
  28. and other software and tools, and any partner logic
  29. functions, and any output files from any of the foregoing
  30. (including device programming or simulation files), and any
  31. associated documentation or information are expressly subject
  32. to the terms and conditions of the Intel Program License
  33. Subscription Agreement, the Intel Quartus Prime License Agreement,
  34. the Intel FPGA IP License Agreement, or other applicable license
  35. agreement, including, without limitation, that your use is for
  36. the sole purpose of programming logic devices manufactured by
  37. Intel and sold by Intel or its authorized distributors. Please
  38. refer to the applicable agreement for further details, at
  39. https://fpgasoftware.intel.com/eula.
  40. +------------------------------------------+
  41. ; Parallel Compilation ;
  42. +----------------------------+-------------+
  43. ; Processors ; Number ;
  44. +----------------------------+-------------+
  45. ; Number detected on machine ; 16 ;
  46. ; Maximum allowed ; 8 ;
  47. ; ; ;
  48. ; Average used ; 1.04 ;
  49. ; Maximum used ; 8 ;
  50. ; ; ;
  51. ; Usage by Processor ; % Time Used ;
  52. ; Processor 1 ; 100.0% ;
  53. ; Processors 2-8 ; 0.6% ;
  54. +----------------------------+-------------+
  55. +-------------------------------------------------------------------------------------------+
  56. ; Power Analyzer Summary ;
  57. +----------------------------------------+--------------------------------------------------+
  58. ; Power Analyzer Status ; Successful - Fri Aug 6 20:12:54 2021 ;
  59. ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
  60. ; Revision Name ; max80 ;
  61. ; Top-level Entity Name ; max80 ;
  62. ; Family ; Cyclone IV E ;
  63. ; Device ; EP4CE15F17C8 ;
  64. ; Power Models ; Final ;
  65. ; Total Thermal Power Dissipation ; 217.59 mW ;
  66. ; Core Dynamic Thermal Power Dissipation ; 37.20 mW ;
  67. ; Core Static Thermal Power Dissipation ; 60.20 mW ;
  68. ; I/O Thermal Power Dissipation ; 120.19 mW ;
  69. ; Power Estimation Confidence ; Low: user provided insufficient toggle rate data ;
  70. +----------------------------------------+--------------------------------------------------+
  71. +----------------------------------------------------------------------------------------------------------------+
  72. ; Power Analyzer Settings ;
  73. +------------------------------------------------------------------+-----------------------------+---------------+
  74. ; Option ; Setting ; Default Value ;
  75. +------------------------------------------------------------------+-----------------------------+---------------+
  76. ; Use smart compilation ; Off ; Off ;
  77. ; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ;
  78. ; Enable compact report table ; Off ; Off ;
  79. ; Default Power Input I/O Toggle Rate ; 12.5% ; 12.5% ;
  80. ; Preset Cooling Solution ; No Heat Sink With Still Air ; ;
  81. ; Board thermal model ; None (CONSERVATIVE) ; ;
  82. ; VCCA voltage ; 2.5V ; ;
  83. ; Default Power Toggle Rate ; 12.5% ; 12.5% ;
  84. ; Use vectorless estimation ; On ; On ;
  85. ; Use Input Files ; Off ; Off ;
  86. ; Filter Glitches in VCD File Reader ; On ; On ;
  87. ; Power Analyzer Report Signal Activity ; Off ; Off ;
  88. ; Power Analyzer Report Power Dissipation ; Off ; Off ;
  89. ; Device Power Characteristics ; TYPICAL ; TYPICAL ;
  90. ; Automatically Compute Junction Temperature ; On ; On ;
  91. ; Specified Junction Temperature ; 25 ; 25 ;
  92. ; Ambient Temperature ; 25 ; 25 ;
  93. ; Use Custom Cooling Solution ; Off ; Off ;
  94. ; Board Temperature ; 25 ; 25 ;
  95. +------------------------------------------------------------------+-----------------------------+---------------+
  96. +----------------------------------------------+
  97. ; Indeterminate Toggle Rates ;
  98. +----------------+-----------------------------+
  99. ; Node ; Reason ;
  100. +----------------+-----------------------------+
  101. ; abc_clk ; No valid clock domain found ;
  102. ; abc_a[0] ; No valid clock domain found ;
  103. ; abc_a[1] ; No valid clock domain found ;
  104. ; abc_a[2] ; No valid clock domain found ;
  105. ; abc_a[3] ; No valid clock domain found ;
  106. ; abc_a[4] ; No valid clock domain found ;
  107. ; abc_a[5] ; No valid clock domain found ;
  108. ; abc_a[6] ; No valid clock domain found ;
  109. ; abc_a[7] ; No valid clock domain found ;
  110. ; abc_a[8] ; No valid clock domain found ;
  111. ; abc_a[9] ; No valid clock domain found ;
  112. ; abc_a[10] ; No valid clock domain found ;
  113. ; abc_a[11] ; No valid clock domain found ;
  114. ; abc_a[12] ; No valid clock domain found ;
  115. ; abc_a[13] ; No valid clock domain found ;
  116. ; abc_a[14] ; No valid clock domain found ;
  117. ; abc_a[15] ; No valid clock domain found ;
  118. ; abc_rst_n ; No valid clock domain found ;
  119. ; abc_cs_n ; No valid clock domain found ;
  120. ; abc_out_n[0] ; No valid clock domain found ;
  121. ; abc_out_n[1] ; No valid clock domain found ;
  122. ; abc_out_n[2] ; No valid clock domain found ;
  123. ; abc_out_n[3] ; No valid clock domain found ;
  124. ; abc_out_n[4] ; No valid clock domain found ;
  125. ; abc_inp_n[0] ; No valid clock domain found ;
  126. ; abc_inp_n[1] ; No valid clock domain found ;
  127. ; abc_xmemfl_n ; No valid clock domain found ;
  128. ; abc_xmemw800_n ; No valid clock domain found ;
  129. ; abc_xmemw80_n ; No valid clock domain found ;
  130. ; abc_xinpstb_n ; No valid clock domain found ;
  131. ; abc_xoutpstb_n ; No valid clock domain found ;
  132. ; exth_hc ; No valid clock domain found ;
  133. ; exth_hh ; No valid clock domain found ;
  134. ; tty_txd ; No valid clock domain found ;
  135. ; tty_rts ; No valid clock domain found ;
  136. ; tty_dtr ; No valid clock domain found ;
  137. ; flash_miso ; No valid clock domain found ;
  138. ; rtc_int_n ; No valid clock domain found ;
  139. ; abc_d[0] ; No valid clock domain found ;
  140. ; abc_d[1] ; No valid clock domain found ;
  141. ; abc_d[2] ; No valid clock domain found ;
  142. ; abc_d[3] ; No valid clock domain found ;
  143. ; abc_d[4] ; No valid clock domain found ;
  144. ; abc_d[5] ; No valid clock domain found ;
  145. ; abc_d[6] ; No valid clock domain found ;
  146. ; abc_d[7] ; No valid clock domain found ;
  147. ; hdmi_sda ; No valid clock domain found ;
  148. ; exth_ha ; No valid clock domain found ;
  149. ; exth_hb ; No valid clock domain found ;
  150. ; exth_hd ; No valid clock domain found ;
  151. ; exth_he ; No valid clock domain found ;
  152. ; exth_hf ; No valid clock domain found ;
  153. ; exth_hg ; No valid clock domain found ;
  154. ; sr_dq[0] ; No valid clock domain found ;
  155. ; sr_dq[1] ; No valid clock domain found ;
  156. ; sr_dq[2] ; No valid clock domain found ;
  157. ; sr_dq[3] ; No valid clock domain found ;
  158. ; sr_dq[4] ; No valid clock domain found ;
  159. ; sr_dq[5] ; No valid clock domain found ;
  160. ; sr_dq[6] ; No valid clock domain found ;
  161. ; sr_dq[7] ; No valid clock domain found ;
  162. ; sr_dq[8] ; No valid clock domain found ;
  163. ; sr_dq[9] ; No valid clock domain found ;
  164. ; sr_dq[10] ; No valid clock domain found ;
  165. ; sr_dq[11] ; No valid clock domain found ;
  166. ; sr_dq[12] ; No valid clock domain found ;
  167. ; sr_dq[13] ; No valid clock domain found ;
  168. ; sr_dq[14] ; No valid clock domain found ;
  169. ; sr_dq[15] ; No valid clock domain found ;
  170. ; sd_dat[0] ; No valid clock domain found ;
  171. ; sd_dat[1] ; No valid clock domain found ;
  172. ; sd_dat[2] ; No valid clock domain found ;
  173. ; sd_dat[3] ; No valid clock domain found ;
  174. ; spi_clk ; No valid clock domain found ;
  175. ; spi_miso ; No valid clock domain found ;
  176. ; spi_mosi ; No valid clock domain found ;
  177. ; spi_cs_esp_n ; No valid clock domain found ;
  178. ; spi_cs_flash_n ; No valid clock domain found ;
  179. ; esp_io0 ; No valid clock domain found ;
  180. ; esp_int ; No valid clock domain found ;
  181. ; i2c_scl ; No valid clock domain found ;
  182. ; i2c_sda ; No valid clock domain found ;
  183. ; gpio[0] ; No valid clock domain found ;
  184. ; gpio[1] ; No valid clock domain found ;
  185. ; gpio[2] ; No valid clock domain found ;
  186. ; gpio[3] ; No valid clock domain found ;
  187. ; gpio[4] ; No valid clock domain found ;
  188. ; gpio[5] ; No valid clock domain found ;
  189. ; hdmi_scl ; No valid clock domain found ;
  190. ; hdmi_hpd ; No valid clock domain found ;
  191. +----------------+-----------------------------+
  192. +----------------------------------------------------------------------+
  193. ; Operating Conditions Used ;
  194. +-----------------------------------------+----------------------------+
  195. ; Setting ; Value ;
  196. +-----------------------------------------+----------------------------+
  197. ; Device power characteristics ; Typical ;
  198. ; ; ;
  199. ; Voltages ; ;
  200. ; VCCINT ; 1.20 V ;
  201. ; VCCA ; 2.50 V ;
  202. ; VCCD ; 1.20 V ;
  203. ; 3.3-V LVTTL I/O Standard ; 3.3 V ;
  204. ; 2.5 V I/O Standard ; 2.5 V ;
  205. ; LVDS I/O Standard ; 2.5 V ;
  206. ; ; ;
  207. ; Auto computed junction temperature ; 31.4 degrees Celsius ;
  208. ; Ambient temperature ; 25.0 degrees Celsius ;
  209. ; Junction-to-Case thermal resistance ; 7.30 degrees Celsius/Watt ;
  210. ; Case-to-Ambient thermal resistance ; 22.30 degrees Celsius/Watt ;
  211. ; ; ;
  212. ; Board model used ; Typical ;
  213. +-----------------------------------------+----------------------------+
  214. +----------------------------------------------------------------------------------------------------------------------------------------------+
  215. ; Thermal Power Dissipation by Block ;
  216. +------------+------------+---------------------+-----------------------------+--------------------------------+-------------------------------+
  217. ; Block Name ; Block Type ; Total Thermal Power ; Block Thermal Dynamic Power ; Block Thermal Static Power (1) ; Routing Thermal Dynamic Power ;
  218. +------------+------------+---------------------+-----------------------------+--------------------------------+-------------------------------+
  219. (1) The "Thermal Power Dissipation by Block" Table has been hidden. To show this table, please select the "Write power dissipation by block to report file" option under "PowerPlay Power Analyzer Settings".
  220. +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
  221. ; Thermal Power Dissipation by Block Type ;
  222. +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
  223. ; Block Type ; Total Thermal Power by Block Type ; Block Thermal Dynamic Power ; Block Thermal Static Power (1) ; Routing Thermal Dynamic Power ; Block Average Toggle Rate (millions of transitions / sec) ;
  224. +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
  225. ; PLL ; 22.56 mW ; 22.56 mW ; -- ; 0.00 mW ; 111.003 ;
  226. ; Combinational cell ; 0.41 mW ; 0.34 mW ; -- ; 0.07 mW ; 7.976 ;
  227. ; Clock control block ; 11.75 mW ; 0.00 mW ; -- ; 11.75 mW ; 180.003 ;
  228. ; Register cell ; 2.48 mW ; 1.87 mW ; -- ; 0.61 mW ; 13.191 ;
  229. ; Double Data Rate I/O Output Circuitry ; 0.49 mW ; 0.49 mW ; -- ; 0.00 mW ; 0.000 ;
  230. ; I/O register ; 0.21 mW ; 0.21 mW ; -- ; 0.00 mW ; 12.000 ;
  231. ; I/O ; 93.12 mW ; 3.58 mW ; 89.55 mW ; 0.00 mW ; 2.266 ;
  232. +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
  233. (1) The "Block Thermal Static Power" for all block types except Pins and the Voltage Regulator, if one exists, is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing.
  234. +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
  235. ; Thermal Power Dissipation by Hierarchy ;
  236. +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
  237. ; Compilation Hierarchy Node ; Total Thermal Power by Hierarchy (1) ; Block Thermal Dynamic Power (1) ; Block Thermal Static Power (1)(2) ; Routing Thermal Dynamic Power (1) ; Full Hierarchy Name ;
  238. +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
  239. ; |max80 ; 131.02 mW (96.32 mW) ; 29.05 mW (4.25 mW) ; 89.55 mW (89.55 mW) ; 12.43 mW (2.52 mW) ; |max80 ;
  240. ; |hard_block:auto_generated_inst ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|hard_block:auto_generated_inst ;
  241. ; |tmdsenc:hdmitmds[0].enc ; 0.16 mW (0.16 mW) ; 0.13 mW (0.13 mW) ; -- ; 0.03 mW (0.03 mW) ; |max80|tmdsenc:hdmitmds[0].enc ;
  242. ; |tmdsenc:hdmitmds[1].enc ; 0.14 mW (0.14 mW) ; 0.12 mW (0.12 mW) ; -- ; 0.02 mW (0.02 mW) ; |max80|tmdsenc:hdmitmds[1].enc ;
  243. ; |tmdsenc:hdmitmds[2].enc ; 0.15 mW (0.15 mW) ; 0.12 mW (0.12 mW) ; -- ; 0.03 mW (0.03 mW) ; |max80|tmdsenc:hdmitmds[2].enc ;
  244. ; |transpose:hdmitranspose ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|transpose:hdmitranspose ;
  245. ; |hdmitx:hdmitx ; 18.88 mW (0.00 mW) ; 13.23 mW (0.00 mW) ; -- ; 5.65 mW (0.00 mW) ; |max80|hdmitx:hdmitx ;
  246. ; |altlvds_tx:ALTLVDS_TX_component ; 18.88 mW (0.00 mW) ; 13.23 mW (0.00 mW) ; -- ; 5.65 mW (0.00 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component ;
  247. ; |hdmitx_lvds_tx:auto_generated ; 18.88 mW (17.24 mW) ; 13.23 mW (11.89 mW) ; -- ; 5.65 mW (5.35 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated ;
  248. ; |hdmitx_cntr:cntr2 ; 0.10 mW (0.10 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.02 mW (0.02 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2 ;
  249. ; |hdmitx_cntr:cntr13 ; 0.10 mW (0.10 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.02 mW (0.02 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13 ;
  250. ; |hdmitx_ddio_out:ddio_out ; 0.37 mW (0.37 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out ;
  251. ; |hdmitx_shift_reg:outclk_shift_h ; 0.11 mW (0.11 mW) ; 0.09 mW (0.09 mW) ; -- ; 0.02 mW (0.02 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h ;
  252. ; |hdmitx_shift_reg:outclk_shift_l ; 0.09 mW (0.09 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.02 mW (0.02 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l ;
  253. ; |hdmitx_ddio_out1:outclock_ddio ; 0.12 mW (0.12 mW) ; 0.12 mW (0.12 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio ;
  254. ; |hdmitx_shift_reg1:shift_reg23 ; 0.12 mW (0.12 mW) ; 0.09 mW (0.09 mW) ; -- ; 0.03 mW (0.03 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23 ;
  255. ; |hdmitx_shift_reg1:shift_reg24 ; 0.12 mW (0.12 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.04 mW (0.04 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24 ;
  256. ; |hdmitx_shift_reg1:shift_reg25 ; 0.12 mW (0.12 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.04 mW (0.04 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25 ;
  257. ; |hdmitx_shift_reg1:shift_reg26 ; 0.12 mW (0.12 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.04 mW (0.04 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26 ;
  258. ; |hdmitx_shift_reg1:shift_reg27 ; 0.14 mW (0.14 mW) ; 0.10 mW (0.10 mW) ; -- ; 0.04 mW (0.04 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27 ;
  259. ; |hdmitx_shift_reg1:shift_reg28 ; 0.13 mW (0.13 mW) ; 0.09 mW (0.09 mW) ; -- ; 0.04 mW (0.04 mW) ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28 ;
  260. ; |pll:pll ; 15.38 mW (0.00 mW) ; 11.21 mW (0.00 mW) ; -- ; 4.17 mW (0.00 mW) ; |max80|pll:pll ;
  261. ; |altpll:altpll_component ; 15.38 mW (0.00 mW) ; 11.21 mW (0.00 mW) ; -- ; 4.17 mW (0.00 mW) ; |max80|pll:pll|altpll:altpll_component ;
  262. ; |pll_altpll:auto_generated ; 15.38 mW (15.38 mW) ; 11.21 mW (11.21 mW) ; -- ; 4.17 mW (4.17 mW) ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated ;
  263. ; |pll_altpll_dyn_phase_le:altpll_dyn_phase_le2 ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le:altpll_dyn_phase_le2 ;
  264. ; |pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4 ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4 ;
  265. ; |pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5 ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5 ;
  266. ; |pll_cntr:phasestep_counter ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_cntr:phasestep_counter ;
  267. ; |pll_cntr1:pll_internal_phasestep ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_cntr1:pll_internal_phasestep ;
  268. +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
  269. (1) Value in parentheses is the power consumed at that level of hierarchy. Value not in parentheses is the power consumed at that level of hierarchy plus the power consumed by all levels of hierarchy below it.
  270. (2) The "Block Thermal Static Power" for all levels of hierarchy except the top-level hierarchy is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing.
  271. +--------------------------------------------------------------------------------------------------------------------------------------------------------+
  272. ; Core Dynamic Thermal Power Dissipation by Clock Domain ;
  273. +-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
  274. ; Clock Domain ; Clock Frequency (MHz) ; Total Core Dynamic Power ;
  275. +-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
  276. ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; 96.00 ; 12.46 ;
  277. ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1] ; 96.00 ; 2.68 ;
  278. ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2] ; 36.00 ; 1.39 ;
  279. ; clock_48 ; 48.00 ; 0.00 ;
  280. ; rst_n ; 96.00 ; 2.49 ;
  281. ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock ; 180.02 ; 18.12 ;
  282. ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; 36.00 ; 0.75 ;
  283. ; rtc_32khz ; 0.03 ; 0.00 ;
  284. +-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
  285. +------------------------------------------------------------------------------------------------------------------------------------+
  286. ; Current Drawn from Voltage Supplies Summary ;
  287. +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
  288. ; Voltage Supply ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ;
  289. +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
  290. ; VCCINT ; 56.74 mA ; 13.06 mA ; 43.69 mA ; 56.74 mA ;
  291. ; VCCIO ; 28.27 mA ; 1.01 mA ; 27.26 mA ; 28.27 mA ;
  292. ; VCCA ; 21.83 mA ; 3.55 mA ; 18.28 mA ; 21.83 mA ;
  293. ; VCCD ; 19.19 mA ; 11.40 mA ; 7.78 mA ; 19.19 mA ;
  294. +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
  295. (1) Currents reported in columns "Total Current Drawn", "Dynamic Current Drawn", and "Static Current Drawn" are sufficient for user operation of the device.
  296. (2) Currents reported in column "Minimum Power Supply Current" are sufficient for power-up, configuration, and user operation of the device.
  297. +-----------------------------------------------------------------------------------------------+
  298. ; VCCIO Supply Current Drawn by I/O Bank ;
  299. +----------+---------------+---------------------+-----------------------+----------------------+
  300. ; I/O Bank ; VCCIO Voltage ; Total Current Drawn ; Dynamic Current Drawn ; Static Current Drawn ;
  301. +----------+---------------+---------------------+-----------------------+----------------------+
  302. ; 1 ; 3.3V ; 1.27 mA ; 0.00 mA ; 1.27 mA ;
  303. ; 2 ; 3.3V ; 1.31 mA ; 0.00 mA ; 1.31 mA ;
  304. ; 3 ; 3.3V ; 1.46 mA ; 0.00 mA ; 1.46 mA ;
  305. ; 4 ; 3.3V ; 1.53 mA ; 0.15 mA ; 1.38 mA ;
  306. ; 5 ; 2.5V ; 17.77 mA ; 0.03 mA ; 17.74 mA ;
  307. ; 6 ; 3.3V ; 1.25 mA ; 0.00 mA ; 1.25 mA ;
  308. ; 7 ; 3.3V ; 1.43 mA ; 0.00 mA ; 1.43 mA ;
  309. ; 8 ; 3.3V ; 2.25 mA ; 0.82 mA ; 1.43 mA ;
  310. +----------+---------------+---------------------+-----------------------+----------------------+
  311. +-----------------------------------------------------------------------------------------------------------------------------------+
  312. ; VCCIO Supply Current Drawn by Voltage ;
  313. +---------------+-------------------------+---------------------------+--------------------------+----------------------------------+
  314. ; VCCIO Voltage ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ;
  315. +---------------+-------------------------+---------------------------+--------------------------+----------------------------------+
  316. ; 2.5V ; 17.77 mA ; 0.03 mA ; 17.74 mA ; 17.77 mA ;
  317. ; 3.3V ; 10.50 mA ; 0.98 mA ; 9.53 mA ; 10.50 mA ;
  318. +---------------+-------------------------+---------------------------+--------------------------+----------------------------------+
  319. (1) Currents reported in columns "Total Current Drawn", "Dynamic Current Drawn", and "Static Current Drawn" are sufficient for user operation of the device.
  320. (2) Currents reported in column "Minimum Power Supply Current" are sufficient for power-up, configuration, and user operation of the device.
  321. +--------------------------------------------------------------------------------------------------------------------------------------------------+
  322. ; Confidence Metric Details ;
  323. +----------------------------------------------------------------------------------------+-------------+-------------+-------------+---------------+
  324. ; Data Source ; Total ; Pin ; Registered ; Combinational ;
  325. +----------------------------------------------------------------------------------------+-------------+-------------+-------------+---------------+
  326. ; Simulation (from file) ; ; ; ; ;
  327. ; -- Number of signals with Toggle Rate from Simulation ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ;
  328. ; -- Number of signals with Static Probability from Simulation ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ;
  329. ; ; ; ; ; ;
  330. ; Node, entity or clock assignment ; ; ; ; ;
  331. ; -- Number of signals with Toggle Rate from Node, entity or clock assignment ; 8 (0.9%) ; 2 (1.0%) ; 1 (0.5%) ; 5 (1.0%) ;
  332. ; -- Number of signals with Static Probability from Node, entity or clock assignment ; 8 (0.9%) ; 2 (1.0%) ; 1 (0.5%) ; 5 (1.0%) ;
  333. ; ; ; ; ; ;
  334. ; Vectorless estimation ; ; ; ; ;
  335. ; -- Number of signals with Toggle Rate from Vectorless estimation ; 813 (89.2%) ; 103 (52.8%) ; 220 (99.5%) ; 490 (99.0%) ;
  336. ; -- Number of signals with Zero toggle rate, from Vectorless estimation ; 214 (23.5%) ; 99 (50.8%) ; 1 (0.5%) ; 114 (23.0%) ;
  337. ; -- Number of signals with Static Probability from Vectorless estimation ; 813 (89.2%) ; 103 (52.8%) ; 220 (99.5%) ; 490 (99.0%) ;
  338. ; ; ; ; ; ;
  339. ; Default assignment ; ; ; ; ;
  340. ; -- Number of signals with Toggle Rate from Default assignment ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ;
  341. ; -- Number of signals with Static Probability from Default assignment ; 90 (9.9%) ; 90 (46.2%) ; 0 (0.0%) ; 0 (0.0%) ;
  342. ; ; ; ; ; ;
  343. ; Assumed 0 ; ; ; ; ;
  344. ; -- Number of signals with Toggle Rate assumed 0 ; 90 (9.9%) ; 90 (46.2%) ; 0 (0.0%) ; 0 (0.0%) ;
  345. +----------------------------------------------------------------------------------------+-------------+-------------+-------------+---------------+
  346. +---------------------------------------------------------------------------------------------------------------------------------------------+
  347. ; Signal Activities ;
  348. +--------+------+---------------------------------------------+-------------------------+--------------------+--------------------------------+
  349. ; Signal ; Type ; Toggle Rate (millions of transitions / sec) ; Toggle Rate Data Source ; Static Probability ; Static Probability Data Source ;
  350. +--------+------+---------------------------------------------+-------------------------+--------------------+--------------------------------+
  351. (1) The "Signal Activity" Table has been hidden. To show this table, please select the "Write signal activities to report file" option under "PowerPlay Power Analyzer Settings".
  352. +-------------------------+
  353. ; Power Analyzer Messages ;
  354. +-------------------------+
  355. Info: *******************************************************************
  356. Info: Running Quartus Prime Power Analyzer
  357. Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
  358. Info: Processing started: Fri Aug 6 20:12:52 2021
  359. Info: Command: quartus_pow --lower_priority --read_settings_files=on --write_settings_files=off max80 -c max80
  360. Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
  361. Info (21077): Low junction temperature is 0 degrees C
  362. Info (21077): High junction temperature is 85 degrees C
  363. Info (332164): Evaluating HDL-embedded SDC commands
  364. Info (332165): Entity pll_altpll
  365. Info (332166): set_false_path -from ** -to *phasedone_state*
  366. Info (332166): set_false_path -from ** -to *internal_phasestep*
  367. Warning (332173): Ignored filter: *phasedone_state* could not be matched with a clock or keeper or register or port or pin or cell or partition
  368. Warning (332048): Ignored set_false_path: Argument <to> is not an object ID
  369. Warning (332173): Ignored filter: *internal_phasestep* could not be matched with a clock or keeper or register or port or pin or cell or partition
  370. Warning (332048): Ignored set_false_path: Argument <to> is not an object ID
  371. Info (332104): Reading SDC File: 'max80.sdc'
  372. Info (332110): Deriving PLL clocks
  373. Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]}
  374. Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[1]} {pll|altpll_component|auto_generated|pll1|clk[1]}
  375. Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 4 -multiply_by 3 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[2]} {pll|altpll_component|auto_generated|pll1|clk[2]}
  376. Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -multiply_by 5 -phase -90.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]}
  377. Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -phase -18.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]}
  378. Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
  379. Warning (332174): Ignored filter at max80.sdc(30): *|synchronizer:*|qreg0* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 30
  380. Warning (332049): Ignored set_multicycle_path at max80.sdc(31): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
  381. Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
  382. -start -setup 2 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
  383. Warning (332049): Ignored set_multicycle_path at max80.sdc(33): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
  384. Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
  385. -start -hold -1 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
  386. Warning (332174): Ignored filter at max80.sdc(37): sld_signaltap:* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
  387. Warning (332049): Ignored set_false_path at max80.sdc(37): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
  388. Info (332050): set_false_path -to [get_registers sld_signaltap:*] File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
  389. Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
  390. Info (223000): Starting Vectorless Power Activity Estimation
  391. Warning (222013): Relative toggle rates could not be calculated because no clock domain could be identified for some nodes
  392. Info (223001): Completed Vectorless Power Activity Estimation
  393. Info (218000): Using Advanced I/O Power to simulate I/O buffers with the specified board trace model
  394. Info (334003): Started post-fitting delay annotation
  395. Info (334004): Delay annotation completed successfully
  396. Info (215049): Average toggle rate for this design is 10.833 millions of transitions / sec
  397. Info (215031): Total thermal power estimate for the design is 217.59 mW
  398. Info: Quartus Prime Power Analyzer was successful. 0 errors, 11 warnings
  399. Info: Peak virtual memory: 1021 megabytes
  400. Info: Processing ended: Fri Aug 6 20:12:54 2021
  401. Info: Elapsed time: 00:00:02
  402. Info: Total CPU time (on all processors): 00:00:01