123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796279727982799280028012802280328042805280628072808280928102811281228132814281528162817281828192820282128222823282428252826282728282829283028312832283328342835283628372838283928402841284228432844284528462847284828492850285128522853285428552856285728582859286028612862286328642865286628672868286928702871287228732874287528762877287828792880288128822883288428852886288728882889289028912892289328942895289628972898289929002901290229032904290529062907290829092910291129122913291429152916291729182919292029212922292329242925292629272928292929302931293229332934293529362937293829392940294129422943294429452946294729482949295029512952295329542955295629572958295929602961296229632964296529662967296829692970297129722973297429752976297729782979298029812982298329842985298629872988298929902991299229932994299529962997299829993000300130023003300430053006300730083009301030113012301330143015301630173018301930203021302230233024302530263027302830293030303130323033303430353036303730383039304030413042304330443045304630473048304930503051305230533054305530563057305830593060306130623063306430653066306730683069307030713072307330743075307630773078307930803081308230833084308530863087308830893090309130923093309430953096309730983099310031013102310331043105310631073108310931103111311231133114311531163117311831193120312131223123312431253126312731283129313031313132313331343135313631373138313931403141314231433144314531463147314831493150315131523153315431553156315731583159316031613162316331643165316631673168316931703171317231733174317531763177317831793180318131823183318431853186318731883189319031913192319331943195319631973198319932003201320232033204320532063207320832093210321132123213321432153216321732183219322032213222322332243225322632273228322932303231323232333234323532363237323832393240324132423243324432453246324732483249325032513252325332543255325632573258325932603261326232633264326532663267326832693270327132723273327432753276327732783279328032813282328332843285328632873288328932903291329232933294329532963297329832993300330133023303330433053306330733083309331033113312331333143315331633173318331933203321332233233324332533263327332833293330333133323333333433353336333733383339334033413342334333443345334633473348334933503351335233533354335533563357335833593360336133623363336433653366336733683369337033713372 |
- Timing Analyzer report for max80
- Fri Aug 6 20:12:56 2021
- Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
- ---------------------
- ; Table of Contents ;
- ---------------------
- 1. Legal Notice
- 2. Timing Analyzer Summary
- 3. Parallel Compilation
- 4. SDC File List
- 5. Clocks
- 6. Slow 1200mV 85C Model Fmax Summary
- 7. Timing Closure Recommendations
- 8. Slow 1200mV 85C Model Setup Summary
- 9. Slow 1200mV 85C Model Hold Summary
- 10. Slow 1200mV 85C Model Recovery Summary
- 11. Slow 1200mV 85C Model Removal Summary
- 12. Slow 1200mV 85C Model Minimum Pulse Width Summary
- 13. Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
- 14. Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]'
- 15. Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]'
- 16. Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
- 17. Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]'
- 18. Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
- 19. Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]'
- 20. Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
- 21. Slow 1200mV 85C Model Metastability Summary
- 22. Slow 1200mV 0C Model Fmax Summary
- 23. Slow 1200mV 0C Model Setup Summary
- 24. Slow 1200mV 0C Model Hold Summary
- 25. Slow 1200mV 0C Model Recovery Summary
- 26. Slow 1200mV 0C Model Removal Summary
- 27. Slow 1200mV 0C Model Minimum Pulse Width Summary
- 28. Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
- 29. Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]'
- 30. Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]'
- 31. Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
- 32. Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]'
- 33. Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
- 34. Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]'
- 35. Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
- 36. Slow 1200mV 0C Model Metastability Summary
- 37. Fast 1200mV 0C Model Setup Summary
- 38. Fast 1200mV 0C Model Hold Summary
- 39. Fast 1200mV 0C Model Recovery Summary
- 40. Fast 1200mV 0C Model Removal Summary
- 41. Fast 1200mV 0C Model Minimum Pulse Width Summary
- 42. Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
- 43. Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]'
- 44. Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]'
- 45. Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
- 46. Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
- 47. Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]'
- 48. Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]'
- 49. Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
- 50. Fast 1200mV 0C Model Metastability Summary
- 51. Multicorner Timing Analysis Summary
- 52. Board Trace Model Assignments
- 53. Input Transition Times
- 54. Signal Integrity Metrics (Slow 1200mv 0c Model)
- 55. Signal Integrity Metrics (Slow 1200mv 85c Model)
- 56. Signal Integrity Metrics (Fast 1200mv 0c Model)
- 57. Setup Transfers
- 58. Hold Transfers
- 59. Recovery Transfers
- 60. Removal Transfers
- 61. Report TCCS
- 62. Report RSKM
- 63. Unconstrained Paths Summary
- 64. Clock Status Summary
- 65. Unconstrained Output Ports
- 66. Unconstrained Output Ports
- 67. Timing Analyzer Messages
- ----------------
- ; Legal Notice ;
- ----------------
- Copyright (C) 2020 Intel Corporation. All rights reserved.
- Your use of Intel Corporation's design tools, logic functions
- and other software and tools, and any partner logic
- functions, and any output files from any of the foregoing
- (including device programming or simulation files), and any
- associated documentation or information are expressly subject
- to the terms and conditions of the Intel Program License
- Subscription Agreement, the Intel Quartus Prime License Agreement,
- the Intel FPGA IP License Agreement, or other applicable license
- agreement, including, without limitation, that your use is for
- the sole purpose of programming logic devices manufactured by
- Intel and sold by Intel or its authorized distributors. Please
- refer to the applicable agreement for further details, at
- https://fpgasoftware.intel.com/eula.
- +-----------------------------------------------------------------------------+
- ; Timing Analyzer Summary ;
- +-----------------------+-----------------------------------------------------+
- ; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
- ; Timing Analyzer ; Legacy Timing Analyzer ;
- ; Revision Name ; max80 ;
- ; Device Family ; Cyclone IV E ;
- ; Device Name ; EP4CE15F17C8 ;
- ; Timing Models ; Final ;
- ; Delay Model ; Combined ;
- ; Rise/Fall Delays ; Enabled ;
- +-----------------------+-----------------------------------------------------+
- +------------------------------------------+
- ; Parallel Compilation ;
- +----------------------------+-------------+
- ; Processors ; Number ;
- +----------------------------+-------------+
- ; Number detected on machine ; 16 ;
- ; Maximum allowed ; 8 ;
- ; ; ;
- ; Average used ; 1.05 ;
- ; Maximum used ; 8 ;
- ; ; ;
- ; Usage by Processor ; % Time Used ;
- ; Processor 1 ; 100.0% ;
- ; Processor 2 ; 1.2% ;
- ; Processor 3 ; 0.7% ;
- ; Processors 4-8 ; 0.7% ;
- +----------------------------+-------------+
- +---------------------------------------------------+
- ; SDC File List ;
- +---------------+--------+--------------------------+
- ; SDC File Path ; Status ; Read at ;
- +---------------+--------+--------------------------+
- ; max80.sdc ; OK ; Fri Aug 6 20:12:55 2021 ;
- +---------------+--------+--------------------------+
- +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Clocks ;
- +---------------------------------------------------------------+-----------+-----------+------------+--------+-----------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------------------------------------------+-----------------------------------------------------------------+-------------------------------------------------------------------+
- ; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
- +---------------------------------------------------------------+-----------+-----------+------------+--------+-----------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------------------------------------------+-----------------------------------------------------------------+-------------------------------------------------------------------+
- ; clock_48 ; Base ; 20.834 ; 48.0 MHz ; 0.000 ; 10.417 ; ; ; ; ; ; ; ; ; ; ; { clock_48 } ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; Generated ; 5.555 ; 180.02 MHz ; -1.388 ; 1.389 ; 50.00 ; 1 ; 5 ; -90.0 ; ; ; ; false ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0] ; { hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] } ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; Generated ; 27.778 ; 36.0 MHz ; -1.388 ; 12.501 ; 50.00 ; 1 ; 1 ; -18.0 ; ; ; ; false ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0] ; { hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] } ;
- ; pll|altpll_component|auto_generated|pll1|clk[0] ; Generated ; 10.417 ; 96.0 MHz ; 0.000 ; 5.208 ; 50.00 ; 1 ; 2 ; ; ; ; ; false ; clock_48 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[0] } ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; Generated ; 10.417 ; 96.0 MHz ; 0.000 ; 5.208 ; 50.00 ; 1 ; 2 ; ; ; ; ; false ; clock_48 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[1] } ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; Generated ; 27.778 ; 36.0 MHz ; 0.000 ; 13.889 ; 50.00 ; 4 ; 3 ; ; ; ; ; false ; clock_48 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[2] } ;
- ; rst_n ; Generated ; 10.417 ; 96.0 MHz ; 0.000 ; 5.208 ; ; 1 ; 1 ; ; ; ; ; false ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; { rst_n } ;
- ; rtc_32khz ; Base ; 30517.579 ; 0.03 MHz ; 0.000 ; 15258.789 ; ; ; ; ; ; ; ; ; ; ; { rtc_32khz } ;
- +---------------------------------------------------------------+-----------+-----------+------------+--------+-----------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------------------------------------------+-----------------------------------------------------------------+-------------------------------------------------------------------+
- +-----------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Fmax Summary ;
- +------------+-----------------+---------------------------------------------------------------+------+
- ; Fmax ; Restricted Fmax ; Clock Name ; Note ;
- +------------+-----------------+---------------------------------------------------------------+------+
- ; 96.2 MHz ; 96.2 MHz ; pll|altpll_component|auto_generated|pll1|clk[2] ; ;
- ; 187.69 MHz ; 187.69 MHz ; pll|altpll_component|auto_generated|pll1|clk[1] ; ;
- ; 274.05 MHz ; 274.05 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; ;
- ; 341.88 MHz ; 341.88 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; ;
- +------------+-----------------+---------------------------------------------------------------+------+
- This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
- ----------------------------------
- ; Timing Closure Recommendations ;
- ----------------------------------
- HTML report is unavailable in plain text report export.
- +----------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Setup Summary ;
- +---------------------------------------------------------------+--------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+--------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 1.906 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.089 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 17.383 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 22.698 ; 0.000 ;
- +---------------------------------------------------------------+--------+---------------+
- +---------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Hold Summary ;
- +---------------------------------------------------------------+-------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+-------+---------------+
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.466 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.504 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.576 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 2.295 ; 0.000 ;
- +---------------------------------------------------------------+-------+---------------+
- ------------------------------------------
- ; Slow 1200mV 85C Model Recovery Summary ;
- ------------------------------------------
- No paths to report.
- -----------------------------------------
- ; Slow 1200mV 85C Model Removal Summary ;
- -----------------------------------------
- No paths to report.
- +-------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
- +---------------------------------------------------------------+-----------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+-----------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.477 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 4.909 ; 0.000 ;
- ; clock_48 ; 10.341 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 13.586 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 13.589 ; 0.000 ;
- ; rtc_32khz ; 30513.579 ; 0.000 ;
- +---------------------------------------------------------------+-----------+---------------+
- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 1.906 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.118 ; 3.402 ;
- ; 1.985 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.124 ; 2.861 ;
- ; 1.998 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.190 ; 3.368 ;
- ; 2.020 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.117 ; 2.833 ;
- ; 2.042 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.190 ; 3.324 ;
- ; 2.046 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.190 ; 3.320 ;
- ; 2.101 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.115 ; 2.754 ;
- ; 2.242 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.226 ;
- ; 2.242 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.226 ;
- ; 2.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.215 ;
- ; 2.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.215 ;
- ; 2.278 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.190 ;
- ; 2.278 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.190 ;
- ; 2.278 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.190 ;
- ; 2.279 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.189 ;
- ; 2.280 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.188 ;
- ; 2.280 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.188 ;
- ; 2.281 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.187 ;
- ; 2.307 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 3.161 ;
- ; 2.368 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.190 ; 2.998 ;
- ; 2.412 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.190 ; 2.954 ;
- ; 2.412 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.190 ; 2.954 ;
- ; 2.478 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.118 ; 2.830 ;
- ; 2.509 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.855 ;
- ; 2.585 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.892 ;
- ; 2.621 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.088 ; 2.847 ;
- ; 2.629 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.848 ;
- ; 2.633 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.844 ;
- ; 2.702 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.775 ;
- ; 2.711 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.124 ; 2.591 ;
- ; 2.721 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.756 ;
- ; 2.723 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.754 ;
- ; 2.746 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.731 ;
- ; 2.746 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.731 ;
- ; 2.751 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.726 ;
- ; 2.767 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.710 ;
- ; 2.771 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.706 ;
- ; 2.818 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.659 ;
- ; 2.823 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.654 ;
- ; 2.846 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.631 ;
- ; 2.866 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.108 ; 1.996 ;
- ; 2.895 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.582 ;
- ; 2.902 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.575 ;
- ; 2.925 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.550 ;
- ; 2.928 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.547 ;
- ; 2.932 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.545 ;
- ; 2.945 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.532 ;
- ; 3.026 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.338 ;
- ; 3.060 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.415 ;
- ; 3.082 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.393 ;
- ; 3.164 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.313 ;
- ; 3.166 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.311 ;
- ; 3.178 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.108 ; 2.140 ;
- ; 3.196 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 2.281 ;
- ; 3.225 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.249 ;
- ; 3.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.221 ;
- ; 3.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.191 ; 2.112 ;
- ; 3.256 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.108 ;
- ; 3.267 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.208 ;
- ; 3.275 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.199 ;
- ; 3.279 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 2.195 ;
- ; 3.346 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.018 ;
- ; 3.346 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.018 ;
- ; 3.346 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.018 ;
- ; 3.346 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.018 ;
- ; 3.346 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.018 ;
- ; 3.346 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.018 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.125 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.192 ; 2.014 ;
- ; 3.405 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 2.070 ;
- ; 3.435 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.932 ;
- ; 3.435 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.932 ;
- ; 3.435 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.932 ;
- ; 3.435 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.932 ;
- ; 3.435 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.932 ;
- ; 3.435 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.932 ;
- ; 3.487 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 1.988 ;
- ; 3.506 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 1.971 ;
- ; 3.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.852 ;
- ; 3.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.852 ;
- ; 3.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.852 ;
- ; 3.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.852 ;
- ; 3.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.852 ;
- ; 3.515 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.189 ; 1.852 ;
- ; 3.580 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 1.895 ;
- ; 3.581 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 1.894 ;
- ; 3.582 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 1.893 ;
- ; 3.584 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.185 ; 1.787 ;
- ; 3.588 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.079 ; 1.889 ;
- ; 3.595 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 1.879 ;
- ; 3.597 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.082 ; 1.877 ;
- ; 3.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 1.875 ;
- ; 3.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.081 ; 1.875 ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 5.089 ; led_ctr[1] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 5.119 ;
- ; 5.110 ; led_ctr[1] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 5.099 ;
- ; 5.183 ; led_ctr[2] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 5.026 ;
- ; 5.235 ; led_ctr[1] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.974 ;
- ; 5.279 ; led_ctr[0] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.930 ;
- ; 5.291 ; led_ctr[0] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.917 ;
- ; 5.328 ; led_ctr[4] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.881 ;
- ; 5.404 ; led_ctr[2] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.804 ;
- ; 5.423 ; led_ctr[3] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.786 ;
- ; 5.436 ; led_ctr[3] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.772 ;
- ; 5.437 ; led_ctr[0] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.772 ;
- ; 5.470 ; led_ctr[6] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.739 ;
- ; 5.549 ; led_ctr[4] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.659 ;
- ; 5.550 ; led_ctr[2] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.659 ;
- ; 5.561 ; led_ctr[5] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.648 ;
- ; 5.582 ; led_ctr[3] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.627 ;
- ; 5.585 ; led_ctr[5] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.623 ;
- ; 5.617 ; led_ctr[8] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.592 ;
- ; 5.691 ; led_ctr[6] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.517 ;
- ; 5.695 ; led_ctr[4] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.514 ;
- ; 5.714 ; led_ctr[7] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.495 ;
- ; 5.727 ; led_ctr[7] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.481 ;
- ; 5.731 ; led_ctr[5] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.478 ;
- ; 5.766 ; led_ctr[10] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.443 ;
- ; 5.837 ; led_ctr[6] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.372 ;
- ; 5.838 ; led_ctr[8] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.370 ;
- ; 5.861 ; led_ctr[9] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.348 ;
- ; 5.873 ; led_ctr[7] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.336 ;
- ; 5.874 ; led_ctr[9] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.334 ;
- ; 5.913 ; led_ctr[12] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.296 ;
- ; 5.984 ; led_ctr[8] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.225 ;
- ; 5.987 ; led_ctr[10] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.221 ;
- ; 6.007 ; led_ctr[11] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.202 ;
- ; 6.020 ; led_ctr[11] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.188 ;
- ; 6.020 ; led_ctr[9] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.189 ;
- ; 6.059 ; led_ctr[14] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.150 ;
- ; 6.133 ; led_ctr[10] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.076 ;
- ; 6.134 ; led_ctr[12] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.074 ;
- ; 6.154 ; led_ctr[13] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.055 ;
- ; 6.166 ; led_ctr[11] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.043 ;
- ; 6.167 ; led_ctr[13] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 4.041 ;
- ; 6.202 ; led_ctr[16] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 4.007 ;
- ; 6.280 ; led_ctr[14] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.928 ;
- ; 6.280 ; led_ctr[12] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.929 ;
- ; 6.300 ; led_ctr[15] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.909 ;
- ; 6.313 ; led_ctr[15] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.895 ;
- ; 6.313 ; led_ctr[13] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.896 ;
- ; 6.350 ; led_ctr[18] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.859 ;
- ; 6.414 ; led_ctr[1] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.925 ;
- ; 6.423 ; led_ctr[16] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.785 ;
- ; 6.426 ; led_ctr[14] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.783 ;
- ; 6.446 ; led_ctr[17] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.763 ;
- ; 6.458 ; led_ctr[17] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.750 ;
- ; 6.459 ; led_ctr[15] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.750 ;
- ; 6.496 ; led_ctr[20] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.713 ;
- ; 6.560 ; led_ctr[1] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.779 ;
- ; 6.569 ; led_ctr[16] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.640 ;
- ; 6.571 ; led_ctr[18] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.637 ;
- ; 6.578 ; led_ctr[1] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.761 ;
- ; 6.591 ; led_ctr[19] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.618 ;
- ; 6.603 ; led_ctr[19] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.605 ;
- ; 6.604 ; led_ctr[17] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.605 ;
- ; 6.616 ; led_ctr[0] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.723 ;
- ; 6.638 ; led_ctr[22] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.571 ;
- ; 6.651 ; led_ctr[2] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.688 ;
- ; 6.681 ; led_ctr[2] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.658 ;
- ; 6.706 ; led_ctr[1] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.633 ;
- ; 6.717 ; led_ctr[20] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.491 ;
- ; 6.717 ; led_ctr[18] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.492 ;
- ; 6.724 ; led_ctr[1] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.615 ;
- ; 6.728 ; led_ctr[21] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.481 ;
- ; 6.747 ; led_ctr[0] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.592 ;
- ; 6.749 ; led_ctr[19] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.460 ;
- ; 6.752 ; led_ctr[21] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.456 ;
- ; 6.761 ; led_ctr[3] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.578 ;
- ; 6.762 ; led_ctr[0] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.577 ;
- ; 6.764 ; rst_ctr[0] ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.080 ; 3.574 ;
- ; 6.784 ; led_ctr[24] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.425 ;
- ; 6.796 ; led_ctr[4] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.543 ;
- ; 6.797 ; led_ctr[2] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.542 ;
- ; 6.826 ; led_ctr[4] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.513 ;
- ; 6.827 ; led_ctr[2] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.512 ;
- ; 6.852 ; led_ctr[1] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.487 ;
- ; 6.859 ; led_ctr[22] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.349 ;
- ; 6.863 ; led_ctr[20] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.346 ;
- ; 6.870 ; led_ctr[1] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.469 ;
- ; 6.882 ; led_ctr[23] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.327 ;
- ; 6.891 ; led_ctr[3] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.448 ;
- ; 6.893 ; led_ctr[0] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.446 ;
- ; 6.895 ; led_ctr[23] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.077 ; 3.313 ;
- ; 6.898 ; led_ctr[21] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.311 ;
- ; 6.907 ; led_ctr[3] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.432 ;
- ; 6.908 ; led_ctr[0] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.431 ;
- ; 6.910 ; led_ctr[5] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.429 ;
- ; 6.933 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.076 ; 3.276 ;
- ; 6.938 ; led_ctr[6] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.401 ;
- ; 6.942 ; led_ctr[4] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.397 ;
- ; 6.943 ; led_ctr[2] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.396 ;
- ; 6.968 ; led_ctr[6] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.371 ;
- ; 6.972 ; led_ctr[4] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.079 ; 3.367 ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 17.383 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 10.312 ;
- ; 17.892 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 9.811 ;
- ; 17.922 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 9.781 ;
- ; 17.932 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 9.763 ;
- ; 18.008 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.700 ;
- ; 18.038 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 9.665 ;
- ; 18.038 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.670 ;
- ; 18.060 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 9.635 ;
- ; 18.068 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 9.635 ;
- ; 18.535 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 9.160 ;
- ; 18.604 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.104 ;
- ; 18.609 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 9.086 ;
- ; 18.634 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.074 ;
- ; 18.640 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.068 ;
- ; 18.670 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.038 ;
- ; 18.670 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 9.038 ;
- ; 18.700 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.996 ;
- ; 18.705 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.990 ;
- ; 18.717 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.979 ;
- ; 18.798 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.898 ;
- ; 18.801 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.895 ;
- ; 18.858 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.850 ;
- ; 18.888 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.820 ;
- ; 18.990 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.718 ;
- ; 19.004 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.691 ;
- ; 19.004 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.691 ;
- ; 19.020 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.688 ;
- ; 19.030 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.678 ;
- ; 19.060 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.648 ;
- ; 19.070 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.625 ;
- ; 19.081 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.627 ;
- ; 19.094 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.602 ;
- ; 19.111 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.597 ;
- ; 19.154 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.542 ;
- ; 19.186 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.509 ;
- ; 19.212 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.483 ;
- ; 19.266 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.442 ;
- ; 19.293 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.402 ;
- ; 19.302 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.406 ;
- ; 19.325 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.370 ;
- ; 19.382 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.313 ;
- ; 19.457 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.251 ;
- ; 19.475 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.221 ;
- ; 19.478 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 8.218 ;
- ; 19.487 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.221 ;
- ; 19.501 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 8.202 ;
- ; 19.520 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.188 ;
- ; 19.521 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 8.182 ;
- ; 19.561 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 8.142 ;
- ; 19.577 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 8.122 ;
- ; 19.617 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 8.082 ;
- ; 19.617 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 8.082 ;
- ; 19.647 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 8.056 ;
- ; 19.652 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.056 ;
- ; 19.667 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 8.036 ;
- ; 19.681 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.014 ;
- ; 19.681 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 8.014 ;
- ; 19.692 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 8.016 ;
- ; 19.735 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.960 ;
- ; 19.743 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.965 ;
- ; 19.747 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.948 ;
- ; 19.781 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.914 ;
- ; 19.831 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 7.865 ;
- ; 19.838 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.857 ;
- ; 19.840 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.855 ;
- ; 19.842 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.853 ;
- ; 19.850 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.853 ;
- ; 19.857 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.846 ;
- ; 19.874 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.821 ;
- ; 19.921 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.774 ;
- ; 19.927 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.776 ;
- ; 19.940 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.763 ;
- ; 19.954 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.749 ;
- ; 19.974 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.729 ;
- ; 19.983 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.716 ;
- ; 19.996 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.699 ;
- ; 20.073 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.630 ;
- ; 20.086 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.617 ;
- ; 20.092 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.616 ;
- ; 20.100 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.603 ;
- ; 20.119 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.589 ;
- ; 20.120 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.583 ;
- ; 20.157 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.546 ;
- ; 20.179 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.520 ;
- ; 20.182 ; dummydata[12] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.513 ;
- ; 20.193 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.510 ;
- ; 20.238 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.461 ;
- ; 20.254 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.441 ;
- ; 20.268 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.431 ;
- ; 20.294 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 7.402 ;
- ; 20.311 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.083 ; 7.385 ;
- ; 20.324 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.078 ; 7.377 ;
- ; 20.338 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.084 ; 7.357 ;
- ; 20.357 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.342 ;
- ; 20.358 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.341 ;
- ; 20.384 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.080 ; 7.315 ;
- ; 20.385 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.078 ; 7.316 ;
- ; 20.387 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.078 ; 7.314 ;
- ; 20.411 ; dummydata[20] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.292 ;
- ; 20.411 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.076 ; 7.292 ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 22.698 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.636 ; 1.957 ;
- ; 22.751 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.635 ; 1.905 ;
- ; 22.868 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.642 ; 1.781 ;
- ; 22.918 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.636 ; 1.737 ;
- ; 22.980 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.635 ; 1.676 ;
- ; 23.040 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.614 ;
- ; 23.093 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.561 ;
- ; 23.183 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.638 ; 1.470 ;
- ; 23.189 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.465 ;
- ; 23.203 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.451 ;
- ; 23.231 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.423 ;
- ; 23.232 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.422 ;
- ; 23.233 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.638 ; 1.420 ;
- ; 23.242 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.412 ;
- ; 23.246 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.408 ;
- ; 23.263 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.641 ; 1.387 ;
- ; 23.263 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.638 ; 1.390 ;
- ; 23.266 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.636 ; 1.389 ;
- ; 23.274 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.637 ; 1.380 ;
- ; 23.278 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.638 ; 1.375 ;
- ; 23.563 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.640 ; 1.088 ;
- ; 23.574 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.641 ; 1.076 ;
- ; 23.583 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.640 ; 1.068 ;
- ; 23.584 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.641 ; 1.066 ;
- ; 23.600 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.641 ; 1.050 ;
- ; 23.603 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.640 ; 1.048 ;
- ; 23.603 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.641 ; 1.047 ;
- ; 23.609 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.638 ; 1.044 ;
- ; 23.769 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.640 ; 0.882 ;
- ; 23.770 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.640 ; 0.881 ;
- ; 24.853 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 27.778 ; -0.080 ; 2.846 ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 0.466 ; rst_ctr[0] ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.758 ;
- ; 0.467 ; led_ctr[0] ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 0.758 ;
- ; 0.736 ; led_ctr[14] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.027 ;
- ; 0.737 ; led_ctr[12] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.028 ;
- ; 0.737 ; led_ctr[2] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.028 ;
- ; 0.737 ; rst_ctr[10] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.029 ;
- ; 0.737 ; rst_ctr[4] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.029 ;
- ; 0.737 ; rst_ctr[2] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.029 ;
- ; 0.738 ; led_ctr[26]~_Duplicate_1 ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.738 ; led_ctr[20] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.738 ; led_ctr[18] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.738 ; led_ctr[16] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.738 ; led_ctr[10] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.738 ; led_ctr[4] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.739 ; led_ctr[28]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.030 ;
- ; 0.739 ; led_ctr[8] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.030 ;
- ; 0.739 ; led_ctr[6] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.030 ;
- ; 0.739 ; rst_ctr[8] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.031 ;
- ; 0.739 ; rst_ctr[6] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.031 ;
- ; 0.739 ; rst_ctr[5] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.031 ;
- ; 0.740 ; led_ctr[24] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
- ; 0.740 ; led_ctr[22] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
- ; 0.740 ; led_ctr[21] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
- ; 0.740 ; led_ctr[15] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
- ; 0.740 ; led_ctr[13] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
- ; 0.740 ; led_ctr[5] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.031 ;
- ; 0.740 ; rst_ctr[3] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.032 ;
- ; 0.741 ; led_ctr[19] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
- ; 0.741 ; led_ctr[17] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
- ; 0.741 ; led_ctr[11] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
- ; 0.741 ; led_ctr[9] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
- ; 0.741 ; led_ctr[7] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
- ; 0.741 ; led_ctr[3] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.032 ;
- ; 0.741 ; rst_ctr[11] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.033 ;
- ; 0.741 ; rst_ctr[9] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.033 ;
- ; 0.741 ; rst_ctr[7] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.033 ;
- ; 0.742 ; led_ctr[27]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.033 ;
- ; 0.742 ; led_ctr[25] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.033 ;
- ; 0.742 ; led_ctr[23] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.033 ;
- ; 0.758 ; led_ctr[0] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.049 ;
- ; 0.758 ; rst_ctr[1] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.050 ;
- ; 0.955 ; led_ctr[1] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.246 ;
- ; 0.986 ; rst_ctr[0] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.278 ;
- ; 1.091 ; led_ctr[14] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.382 ;
- ; 1.092 ; led_ctr[12] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.383 ;
- ; 1.092 ; led_ctr[16] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.383 ;
- ; 1.092 ; led_ctr[2] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.383 ;
- ; 1.092 ; rst_ctr[4] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.384 ;
- ; 1.092 ; rst_ctr[2] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.384 ;
- ; 1.092 ; rst_ctr[10] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.384 ;
- ; 1.093 ; led_ctr[20] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; led_ctr[4] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; led_ctr[18] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; led_ctr[10] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; led_ctr[8] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; led_ctr[6] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.384 ;
- ; 1.093 ; rst_ctr[8] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.385 ;
- ; 1.093 ; rst_ctr[6] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.385 ;
- ; 1.094 ; led_ctr[24] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.385 ;
- ; 1.094 ; led_ctr[22] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.385 ;
- ; 1.100 ; rst_ctr[5] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.392 ;
- ; 1.101 ; led_ctr[13] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
- ; 1.101 ; led_ctr[0] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
- ; 1.101 ; led_ctr[15] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
- ; 1.101 ; led_ctr[5] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
- ; 1.101 ; led_ctr[21] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.392 ;
- ; 1.101 ; rst_ctr[3] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.393 ;
- ; 1.101 ; rst_ctr[1] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.393 ;
- ; 1.102 ; led_ctr[11] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; led_ctr[19] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; led_ctr[17] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; led_ctr[9] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; led_ctr[3] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; led_ctr[7] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; rst_ctr[9] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.394 ;
- ; 1.102 ; rst_ctr[7] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.394 ;
- ; 1.103 ; led_ctr[25] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.394 ;
- ; 1.103 ; led_ctr[27]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.394 ;
- ; 1.103 ; led_ctr[23] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.394 ;
- ; 1.109 ; rst_ctr[5] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.401 ;
- ; 1.110 ; led_ctr[13] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
- ; 1.110 ; led_ctr[15] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
- ; 1.110 ; led_ctr[0] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
- ; 1.110 ; led_ctr[5] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
- ; 1.110 ; led_ctr[21] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.401 ;
- ; 1.110 ; rst_ctr[3] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.402 ;
- ; 1.110 ; rst_ctr[1] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.402 ;
- ; 1.111 ; led_ctr[11] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; led_ctr[19] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; led_ctr[3] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; led_ctr[17] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; led_ctr[9] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; led_ctr[7] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; rst_ctr[9] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.403 ;
- ; 1.111 ; rst_ctr[7] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.403 ;
- ; 1.112 ; led_ctr[25] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.403 ;
- ; 1.112 ; led_ctr[23] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.403 ;
- ; 1.222 ; led_ctr[14] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.513 ;
- ; 1.222 ; led_ctr[2] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.513 ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 0.504 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.795 ;
- ; 0.507 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.797 ;
- ; 0.510 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.800 ;
- ; 0.511 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.802 ;
- ; 0.511 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.801 ;
- ; 0.512 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.803 ;
- ; 0.512 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.803 ;
- ; 0.513 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.803 ;
- ; 0.545 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.836 ;
- ; 0.643 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.934 ;
- ; 0.643 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.934 ;
- ; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.934 ;
- ; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.934 ;
- ; 0.644 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.935 ;
- ; 0.645 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.935 ;
- ; 0.646 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.936 ;
- ; 0.646 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.936 ;
- ; 0.646 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.937 ;
- ; 0.646 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.937 ;
- ; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.938 ;
- ; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.938 ;
- ; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.938 ;
- ; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.939 ;
- ; 0.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.943 ;
- ; 0.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.943 ;
- ; 0.693 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.983 ;
- ; 0.694 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.984 ;
- ; 0.695 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.986 ;
- ; 0.697 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.988 ;
- ; 0.697 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.988 ;
- ; 0.698 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.988 ;
- ; 0.699 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.989 ;
- ; 0.700 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.990 ;
- ; 0.701 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.991 ;
- ; 0.701 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.991 ;
- ; 0.701 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.992 ;
- ; 0.702 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.993 ;
- ; 0.702 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.992 ;
- ; 0.703 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.994 ;
- ; 0.703 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 0.994 ;
- ; 0.708 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 0.998 ;
- ; 0.710 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.001 ;
- ; 0.713 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.004 ;
- ; 0.730 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.021 ;
- ; 0.731 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.022 ;
- ; 0.731 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.022 ;
- ; 0.732 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.023 ;
- ; 0.736 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.027 ;
- ; 0.738 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.029 ;
- ; 0.763 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.053 ;
- ; 0.763 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.054 ;
- ; 0.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.054 ;
- ; 0.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.054 ;
- ; 0.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.054 ;
- ; 0.764 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.055 ;
- ; 0.765 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.055 ;
- ; 0.792 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.078 ; 1.082 ;
- ; 0.793 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.084 ;
- ; 0.798 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.089 ;
- ; 0.798 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.089 ;
- ; 0.799 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.090 ;
- ; 0.822 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.113 ;
- ; 0.860 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.281 ;
- ; 0.867 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.189 ; 1.285 ;
- ; 0.869 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.194 ; 1.292 ;
- ; 0.876 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.194 ; 1.299 ;
- ; 0.877 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.195 ; 1.301 ;
- ; 0.885 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.197 ; 1.311 ;
- ; 0.893 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.184 ;
- ; 0.915 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.194 ; 1.338 ;
- ; 0.925 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.346 ;
- ; 0.926 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.347 ;
- ; 0.965 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.385 ;
- ; 0.974 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.394 ;
- ; 0.974 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.394 ;
- ; 0.995 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.416 ;
- ; 0.996 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.417 ;
- ; 0.997 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.417 ;
- ; 0.999 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.419 ;
- ; 1.000 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.291 ;
- ; 1.002 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.293 ;
- ; 1.004 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.425 ;
- ; 1.007 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.428 ;
- ; 1.011 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.431 ;
- ; 1.023 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.444 ;
- ; 1.037 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.458 ;
- ; 1.037 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.457 ;
- ; 1.042 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.079 ; 1.333 ;
- ; 1.048 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.336 ;
- ; 1.050 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.338 ;
- ; 1.051 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.191 ; 1.471 ;
- ; 1.060 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.077 ; 1.349 ;
- ; 1.060 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.077 ; 1.349 ;
- ; 1.060 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.481 ;
- ; 1.090 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.511 ;
- ; 1.098 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.192 ; 1.519 ;
- ; 1.106 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.077 ; 1.395 ;
- ; 1.110 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.194 ; 1.533 ;
- ; 1.137 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.076 ; 1.425 ;
- ; 1.137 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.194 ; 1.560 ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 0.576 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 0.868 ;
- ; 0.673 ; dummydata[21] ; dummydata[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 0.965 ;
- ; 0.673 ; dummydata[10] ; dummydata[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 0.965 ;
- ; 0.746 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.038 ;
- ; 0.748 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.040 ;
- ; 0.748 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.040 ;
- ; 0.748 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.039 ;
- ; 0.749 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.040 ;
- ; 0.749 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.041 ;
- ; 0.750 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.042 ;
- ; 0.750 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.042 ;
- ; 0.757 ; dummydata[1] ; dummydata[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.048 ;
- ; 0.791 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.083 ;
- ; 0.793 ; dummydata[6] ; dummydata[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.084 ;
- ; 0.799 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.090 ;
- ; 0.807 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.099 ;
- ; 0.835 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.127 ;
- ; 0.852 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.144 ;
- ; 0.853 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.145 ;
- ; 0.860 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.152 ;
- ; 0.915 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.207 ;
- ; 0.915 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.207 ;
- ; 0.931 ; dummydata[22] ; dummydata[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.223 ;
- ; 0.933 ; dummydata[12] ; dummydata[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.225 ;
- ; 0.938 ; dummydata[7] ; dummydata[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.229 ;
- ; 0.944 ; dummydata[3] ; dummydata[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.235 ;
- ; 0.945 ; dummydata[11] ; dummydata[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.237 ;
- ; 0.947 ; dummydata[14] ; dummydata[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.239 ;
- ; 0.952 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.243 ;
- ; 0.985 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.277 ;
- ; 1.055 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.345 ;
- ; 1.068 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.358 ;
- ; 1.069 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.359 ;
- ; 1.098 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.389 ;
- ; 1.098 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.389 ;
- ; 1.098 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.389 ;
- ; 1.098 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.389 ;
- ; 1.101 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.393 ;
- ; 1.102 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.394 ;
- ; 1.102 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.394 ;
- ; 1.102 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.393 ;
- ; 1.102 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.394 ;
- ; 1.109 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.401 ;
- ; 1.111 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.402 ;
- ; 1.111 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.403 ;
- ; 1.118 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.410 ;
- ; 1.119 ; dummydata[19] ; dummydata[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.407 ;
- ; 1.120 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.412 ;
- ; 1.120 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.411 ;
- ; 1.121 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.413 ;
- ; 1.193 ; dummydata[9] ; dummydata[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.485 ;
- ; 1.207 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.499 ;
- ; 1.211 ; dummydata[4] ; dummydata[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.502 ;
- ; 1.222 ; dummydata[23] ; dummydata[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.514 ;
- ; 1.232 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.524 ;
- ; 1.233 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.525 ;
- ; 1.234 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.526 ;
- ; 1.241 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.533 ;
- ; 1.242 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.534 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.254 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.546 ;
- ; 1.258 ; dummydata[18] ; dummydata[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.554 ;
- ; 1.305 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.596 ;
- ; 1.319 ; dummydata[15] ; dummydata[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.611 ;
- ; 1.339 ; dummydata[8] ; dummydata[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.086 ; 1.637 ;
- ; 1.341 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.631 ;
- ; 1.343 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.639 ;
- ; 1.344 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.640 ;
- ; 1.344 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.640 ;
- ; 1.347 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.643 ;
- ; 1.357 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.649 ;
- ; 1.361 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.651 ;
- ; 1.365 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.661 ;
- ; 1.367 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.655 ;
- ; 1.385 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.677 ;
- ; 1.392 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.683 ;
- ; 1.408 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.698 ;
- ; 1.408 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.078 ; 1.698 ;
- ; 1.410 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.702 ;
- ; 1.445 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.736 ;
- ; 1.455 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.747 ;
- ; 1.455 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.747 ;
- ; 1.457 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.749 ;
- ; 1.458 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.750 ;
- ; 1.460 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.752 ;
- ; 1.478 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.770 ;
- ; 1.479 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.086 ; 1.777 ;
- ; 1.479 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.086 ; 1.777 ;
- ; 1.479 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.086 ; 1.777 ;
- ; 1.479 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.086 ; 1.777 ;
- ; 1.528 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.080 ; 1.820 ;
- ; 1.538 ; dummydata[2] ; dummydata[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.079 ; 1.829 ;
- ; 1.540 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.084 ; 1.836 ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 85C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 2.295 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.080 ; 2.587 ;
- ; 2.971 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.141 ; 0.794 ;
- ; 2.972 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.141 ; 0.795 ;
- ; 3.114 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.141 ; 0.937 ;
- ; 3.147 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 0.971 ;
- ; 3.156 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 0.980 ;
- ; 3.159 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 0.985 ;
- ; 3.165 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 0.989 ;
- ; 3.176 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 1.000 ;
- ; 3.180 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 1.004 ;
- ; 3.188 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 1.012 ;
- ; 3.452 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.140 ; 1.276 ;
- ; 3.461 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.287 ;
- ; 3.478 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.304 ;
- ; 3.489 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.317 ;
- ; 3.490 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.316 ;
- ; 3.493 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.321 ;
- ; 3.493 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.319 ;
- ; 3.493 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.139 ; 1.318 ;
- ; 3.508 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.137 ; 1.335 ;
- ; 3.520 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.346 ;
- ; 3.528 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.137 ; 1.355 ;
- ; 3.530 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.356 ;
- ; 3.542 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.137 ; 1.369 ;
- ; 3.681 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.138 ; 1.507 ;
- ; 3.712 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.137 ; 1.539 ;
- ; 3.729 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.557 ;
- ; 3.805 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.633 ;
- ; 3.809 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.141 ; 1.632 ;
- ; 3.975 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.135 ; 1.804 ;
- ; 4.013 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.136 ; 1.841 ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- -----------------------------------------------
- ; Slow 1200mV 85C Model Metastability Summary ;
- -----------------------------------------------
- No synchronizer chains to report.
- +-----------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Fmax Summary ;
- +------------+-----------------+---------------------------------------------------------------+------+
- ; Fmax ; Restricted Fmax ; Clock Name ; Note ;
- +------------+-----------------+---------------------------------------------------------------+------+
- ; 101.61 MHz ; 101.61 MHz ; pll|altpll_component|auto_generated|pll1|clk[2] ; ;
- ; 205.72 MHz ; 205.72 MHz ; pll|altpll_component|auto_generated|pll1|clk[1] ; ;
- ; 287.6 MHz ; 287.6 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; ;
- ; 373.41 MHz ; 373.41 MHz ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; ;
- +------------+-----------------+---------------------------------------------------------------+------+
- This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
- +----------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Setup Summary ;
- +---------------------------------------------------------------+--------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+--------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.078 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.556 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 17.936 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 22.985 ; 0.000 ;
- +---------------------------------------------------------------+--------+---------------+
- +---------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Hold Summary ;
- +---------------------------------------------------------------+-------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+-------+---------------+
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.418 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.473 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.537 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 2.143 ; 0.000 ;
- +---------------------------------------------------------------+-------+---------------+
- -----------------------------------------
- ; Slow 1200mV 0C Model Recovery Summary ;
- -----------------------------------------
- No paths to report.
- ----------------------------------------
- ; Slow 1200mV 0C Model Removal Summary ;
- ----------------------------------------
- No paths to report.
- +-------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
- +---------------------------------------------------------------+-----------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+-----------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.476 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 4.909 ; 0.000 ;
- ; clock_48 ; 10.354 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 13.586 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 13.588 ; 0.000 ;
- ; rtc_32khz ; 30513.579 ; 0.000 ;
- +---------------------------------------------------------------+-----------+---------------+
- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 2.078 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.103 ; 3.250 ;
- ; 2.212 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.105 ; 2.705 ;
- ; 2.241 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.110 ; 2.671 ;
- ; 2.259 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 3.131 ;
- ; 2.304 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.101 ; 2.617 ;
- ; 2.307 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 3.083 ;
- ; 2.311 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 3.079 ;
- ; 2.400 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.081 ;
- ; 2.400 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.081 ;
- ; 2.407 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.074 ;
- ; 2.407 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.074 ;
- ; 2.426 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.055 ;
- ; 2.426 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.055 ;
- ; 2.426 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.055 ;
- ; 2.427 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.054 ;
- ; 2.428 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.053 ;
- ; 2.429 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.052 ;
- ; 2.430 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.051 ;
- ; 2.461 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 3.020 ;
- ; 2.667 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 2.723 ;
- ; 2.669 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.105 ; 2.657 ;
- ; 2.672 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 2.716 ;
- ; 2.707 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 2.683 ;
- ; 2.707 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 2.683 ;
- ; 2.742 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.076 ; 2.739 ;
- ; 2.856 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.630 ;
- ; 2.856 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.110 ; 2.465 ;
- ; 2.904 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.582 ;
- ; 2.908 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.578 ;
- ; 2.959 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.527 ;
- ; 2.975 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.511 ;
- ; 2.999 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.487 ;
- ; 3.000 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.486 ;
- ; 3.017 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.469 ;
- ; 3.021 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.465 ;
- ; 3.023 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.463 ;
- ; 3.027 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.459 ;
- ; 3.034 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.097 ; 1.891 ;
- ; 3.084 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.402 ;
- ; 3.104 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.380 ;
- ; 3.107 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.377 ;
- ; 3.108 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.378 ;
- ; 3.112 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.374 ;
- ; 3.142 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.344 ;
- ; 3.154 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.332 ;
- ; 3.157 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 2.231 ;
- ; 3.176 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.310 ;
- ; 3.210 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.071 ; 2.276 ;
- ; 3.235 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.249 ;
- ; 3.252 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.232 ;
- ; 3.299 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.097 ; 2.035 ;
- ; 3.318 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.169 ;
- ; 3.322 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.165 ;
- ; 3.361 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.123 ;
- ; 3.369 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 2.118 ;
- ; 3.372 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 2.016 ;
- ; 3.392 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.998 ;
- ; 3.397 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.087 ;
- ; 3.401 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.083 ;
- ; 3.403 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.081 ;
- ; 3.406 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 2.078 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.999 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.903 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.903 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.903 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.903 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.903 ;
- ; 3.485 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.903 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.491 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.169 ; 1.897 ;
- ; 3.537 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.947 ;
- ; 3.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.834 ;
- ; 3.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.834 ;
- ; 3.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.834 ;
- ; 3.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.834 ;
- ; 3.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.834 ;
- ; 3.556 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.834 ;
- ; 3.615 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.869 ;
- ; 3.622 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 1.865 ;
- ; 3.637 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.753 ;
- ; 3.637 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.753 ;
- ; 3.637 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.753 ;
- ; 3.637 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.753 ;
- ; 3.637 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.753 ;
- ; 3.637 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.167 ; 1.753 ;
- ; 3.673 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.162 ; 1.722 ;
- ; 3.693 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.791 ;
- ; 3.696 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.788 ;
- ; 3.699 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.070 ; 1.788 ;
- ; 3.708 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.776 ;
- ; 3.709 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.775 ;
- ; 3.710 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.774 ;
- ; 3.711 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.164 ; 1.682 ;
- ; 3.728 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.073 ; 1.756 ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 5.556 ; led_ctr[1] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.662 ;
- ; 5.630 ; led_ctr[1] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.590 ;
- ; 5.690 ; led_ctr[2] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.530 ;
- ; 5.720 ; led_ctr[1] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.500 ;
- ; 5.759 ; led_ctr[0] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.459 ;
- ; 5.777 ; led_ctr[0] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.443 ;
- ; 5.816 ; led_ctr[4] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.404 ;
- ; 5.883 ; led_ctr[2] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.335 ;
- ; 5.884 ; led_ctr[3] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.334 ;
- ; 5.902 ; led_ctr[3] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.318 ;
- ; 5.923 ; led_ctr[0] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.297 ;
- ; 5.937 ; led_ctr[6] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.283 ;
- ; 6.009 ; led_ctr[4] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.209 ;
- ; 6.014 ; led_ctr[5] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.204 ;
- ; 6.020 ; led_ctr[5] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.200 ;
- ; 6.047 ; led_ctr[2] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.173 ;
- ; 6.048 ; led_ctr[3] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.172 ;
- ; 6.063 ; led_ctr[8] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.157 ;
- ; 6.130 ; led_ctr[6] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.088 ;
- ; 6.135 ; led_ctr[7] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 4.083 ;
- ; 6.153 ; led_ctr[7] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.067 ;
- ; 6.173 ; led_ctr[4] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.047 ;
- ; 6.178 ; led_ctr[5] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.042 ;
- ; 6.193 ; led_ctr[10] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 4.027 ;
- ; 6.256 ; led_ctr[8] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.962 ;
- ; 6.262 ; led_ctr[9] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.956 ;
- ; 6.279 ; led_ctr[9] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.941 ;
- ; 6.294 ; led_ctr[6] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.926 ;
- ; 6.299 ; led_ctr[7] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.921 ;
- ; 6.320 ; led_ctr[12] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.900 ;
- ; 6.386 ; led_ctr[10] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.832 ;
- ; 6.388 ; led_ctr[11] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.830 ;
- ; 6.406 ; led_ctr[11] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.814 ;
- ; 6.420 ; led_ctr[8] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.800 ;
- ; 6.426 ; led_ctr[9] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.794 ;
- ; 6.447 ; led_ctr[14] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.773 ;
- ; 6.513 ; led_ctr[12] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.705 ;
- ; 6.515 ; led_ctr[13] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.703 ;
- ; 6.533 ; led_ctr[13] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.687 ;
- ; 6.550 ; led_ctr[10] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.670 ;
- ; 6.552 ; led_ctr[11] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.668 ;
- ; 6.568 ; led_ctr[16] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.651 ;
- ; 6.640 ; led_ctr[15] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.577 ;
- ; 6.640 ; led_ctr[14] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.074 ; 3.578 ;
- ; 6.658 ; led_ctr[15] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.561 ;
- ; 6.677 ; led_ctr[12] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.543 ;
- ; 6.679 ; led_ctr[13] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.541 ;
- ; 6.696 ; led_ctr[18] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.523 ;
- ; 6.761 ; led_ctr[16] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.456 ;
- ; 6.765 ; led_ctr[17] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.452 ;
- ; 6.783 ; led_ctr[17] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.436 ;
- ; 6.804 ; led_ctr[15] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.415 ;
- ; 6.804 ; led_ctr[14] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.072 ; 3.416 ;
- ; 6.822 ; led_ctr[20] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.397 ;
- ; 6.841 ; led_ctr[1] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.508 ;
- ; 6.889 ; led_ctr[18] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.328 ;
- ; 6.890 ; led_ctr[19] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.327 ;
- ; 6.908 ; led_ctr[19] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.311 ;
- ; 6.925 ; led_ctr[16] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.294 ;
- ; 6.929 ; led_ctr[17] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.290 ;
- ; 6.943 ; led_ctr[22] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.276 ;
- ; 6.967 ; led_ctr[1] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.382 ;
- ; 7.006 ; led_ctr[1] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.343 ;
- ; 7.015 ; led_ctr[20] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.202 ;
- ; 7.021 ; led_ctr[21] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.196 ;
- ; 7.027 ; led_ctr[21] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.192 ;
- ; 7.044 ; led_ctr[0] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.305 ;
- ; 7.053 ; led_ctr[18] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.166 ;
- ; 7.054 ; led_ctr[19] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.165 ;
- ; 7.070 ; led_ctr[24] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.149 ;
- ; 7.072 ; rst_ctr[0] ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.071 ; 3.276 ;
- ; 7.093 ; led_ctr[1] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.256 ;
- ; 7.095 ; led_ctr[2] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.254 ;
- ; 7.132 ; led_ctr[1] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.217 ;
- ; 7.134 ; led_ctr[2] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.215 ;
- ; 7.136 ; led_ctr[22] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.081 ;
- ; 7.142 ; led_ctr[23] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 3.075 ;
- ; 7.159 ; led_ctr[23] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.060 ;
- ; 7.169 ; led_ctr[3] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.180 ;
- ; 7.170 ; led_ctr[0] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.179 ;
- ; 7.179 ; led_ctr[20] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.040 ;
- ; 7.182 ; led_ctr[0] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.167 ;
- ; 7.185 ; led_ctr[21] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.034 ;
- ; 7.199 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 3.020 ;
- ; 7.219 ; led_ctr[1] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.130 ;
- ; 7.221 ; led_ctr[4] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.128 ;
- ; 7.221 ; led_ctr[2] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.128 ;
- ; 7.258 ; led_ctr[1] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.091 ;
- ; 7.260 ; led_ctr[4] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.089 ;
- ; 7.260 ; led_ctr[2] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.089 ;
- ; 7.263 ; led_ctr[24] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 2.954 ;
- ; 7.268 ; led_ctr[25] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.075 ; 2.949 ;
- ; 7.286 ; led_ctr[25] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 2.933 ;
- ; 7.295 ; led_ctr[3] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.054 ;
- ; 7.296 ; led_ctr[0] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.053 ;
- ; 7.299 ; led_ctr[5] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.050 ;
- ; 7.300 ; led_ctr[22] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 2.919 ;
- ; 7.306 ; led_ctr[23] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.073 ; 2.913 ;
- ; 7.307 ; led_ctr[3] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.042 ;
- ; 7.308 ; led_ctr[0] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.070 ; 3.041 ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 17.936 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 9.771 ;
- ; 18.388 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 9.319 ;
- ; 18.466 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 9.251 ;
- ; 18.505 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 9.212 ;
- ; 18.569 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 9.142 ;
- ; 18.597 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 9.110 ;
- ; 18.652 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 9.059 ;
- ; 18.695 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 9.016 ;
- ; 18.778 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 8.933 ;
- ; 18.955 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.752 ;
- ; 19.049 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.658 ;
- ; 19.051 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.666 ;
- ; 19.108 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.609 ;
- ; 19.131 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.576 ;
- ; 19.137 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.072 ; 8.571 ;
- ; 19.139 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.072 ; 8.569 ;
- ; 19.147 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.570 ;
- ; 19.161 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.074 ; 8.545 ;
- ; 19.176 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.074 ; 8.530 ;
- ; 19.183 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.534 ;
- ; 19.222 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.495 ;
- ; 19.340 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.377 ;
- ; 19.379 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.338 ;
- ; 19.400 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.307 ;
- ; 19.400 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.307 ;
- ; 19.447 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.260 ;
- ; 19.469 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.072 ; 8.239 ;
- ; 19.508 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.209 ;
- ; 19.530 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.074 ; 8.176 ;
- ; 19.536 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.181 ;
- ; 19.547 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.170 ;
- ; 19.575 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.142 ;
- ; 19.578 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.139 ;
- ; 19.616 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 8.091 ;
- ; 19.617 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.100 ;
- ; 19.693 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 8.024 ;
- ; 19.716 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.991 ;
- ; 19.768 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.949 ;
- ; 19.792 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.915 ;
- ; 19.798 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.072 ; 7.910 ;
- ; 19.800 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.072 ; 7.908 ;
- ; 19.815 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.892 ;
- ; 19.878 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 7.836 ;
- ; 19.893 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.814 ;
- ; 19.925 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.792 ;
- ; 19.953 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.764 ;
- ; 19.992 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.725 ;
- ; 20.022 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.687 ;
- ; 20.027 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.684 ;
- ; 20.038 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.671 ;
- ; 20.039 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.670 ;
- ; 20.061 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.646 ;
- ; 20.061 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.646 ;
- ; 20.081 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.630 ;
- ; 20.086 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.621 ;
- ; 20.093 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.624 ;
- ; 20.108 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.599 ;
- ; 20.121 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.596 ;
- ; 20.130 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.072 ; 7.578 ;
- ; 20.138 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 7.576 ;
- ; 20.149 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 7.565 ;
- ; 20.151 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.556 ;
- ; 20.153 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.558 ;
- ; 20.163 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.554 ;
- ; 20.168 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.539 ;
- ; 20.172 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.535 ;
- ; 20.207 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.504 ;
- ; 20.267 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.440 ;
- ; 20.322 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.385 ;
- ; 20.345 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.362 ;
- ; 20.394 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.315 ;
- ; 20.440 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 7.267 ;
- ; 20.485 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.226 ;
- ; 20.520 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 7.194 ;
- ; 20.538 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.179 ;
- ; 20.539 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.172 ;
- ; 20.540 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.171 ;
- ; 20.583 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 7.126 ;
- ; 20.594 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.117 ;
- ; 20.595 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 7.119 ;
- ; 20.611 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.100 ;
- ; 20.619 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.074 ; 7.087 ;
- ; 20.624 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.063 ; 7.093 ;
- ; 20.631 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.068 ; 7.081 ;
- ; 20.634 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.074 ; 7.072 ;
- ; 20.665 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.046 ;
- ; 20.666 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 7.045 ;
- ; 20.687 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.068 ; 7.025 ;
- ; 20.689 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.068 ; 7.023 ;
- ; 20.720 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.069 ; 6.991 ;
- ; 20.735 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 6.972 ;
- ; 20.736 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 6.973 ;
- ; 20.737 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.071 ; 6.972 ;
- ; 20.747 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 6.960 ;
- ; 20.748 ; dummydata[12] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 6.959 ;
- ; 20.752 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 6.962 ;
- ; 20.780 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 6.934 ;
- ; 20.791 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.066 ; 6.923 ;
- ; 20.799 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 6.908 ;
- ; 20.812 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.073 ; 6.895 ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 22.985 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.445 ; 1.862 ;
- ; 23.035 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.445 ; 1.812 ;
- ; 23.136 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 1.707 ;
- ; 23.188 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.445 ; 1.659 ;
- ; 23.235 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.445 ; 1.612 ;
- ; 23.309 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.536 ;
- ; 23.367 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.478 ;
- ; 23.439 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.406 ;
- ; 23.442 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.403 ;
- ; 23.460 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.446 ; 1.386 ;
- ; 23.482 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.446 ; 1.364 ;
- ; 23.484 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.361 ;
- ; 23.492 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.353 ;
- ; 23.502 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.343 ;
- ; 23.504 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.446 ; 1.342 ;
- ; 23.522 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 1.321 ;
- ; 23.522 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.323 ;
- ; 23.524 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.321 ;
- ; 23.536 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.444 ; 1.312 ;
- ; 23.542 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 1.303 ;
- ; 23.828 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 1.015 ;
- ; 23.833 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.448 ; 1.011 ;
- ; 23.842 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 1.001 ;
- ; 23.852 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.448 ; 0.992 ;
- ; 23.856 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.447 ; 0.989 ;
- ; 23.868 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 0.975 ;
- ; 23.874 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 0.969 ;
- ; 23.875 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 0.968 ;
- ; 24.047 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 0.796 ;
- ; 24.048 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -1.449 ; 0.795 ;
- ; 25.100 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 27.778 ; -0.071 ; 2.609 ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 0.418 ; rst_ctr[0] ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.684 ;
- ; 0.419 ; led_ctr[0] ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.684 ;
- ; 0.685 ; rst_ctr[4] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.951 ;
- ; 0.685 ; rst_ctr[2] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.951 ;
- ; 0.685 ; led_ctr[20] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.951 ;
- ; 0.685 ; led_ctr[18] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.951 ;
- ; 0.685 ; led_ctr[14] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.950 ;
- ; 0.685 ; led_ctr[12] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.950 ;
- ; 0.685 ; led_ctr[2] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.950 ;
- ; 0.686 ; rst_ctr[10] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.952 ;
- ; 0.686 ; led_ctr[28]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.952 ;
- ; 0.686 ; led_ctr[26]~_Duplicate_1 ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.952 ;
- ; 0.686 ; led_ctr[10] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.951 ;
- ; 0.686 ; led_ctr[4] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.951 ;
- ; 0.687 ; led_ctr[16] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.953 ;
- ; 0.688 ; rst_ctr[5] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
- ; 0.688 ; led_ctr[24] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
- ; 0.688 ; led_ctr[21] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.954 ;
- ; 0.688 ; led_ctr[5] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.953 ;
- ; 0.689 ; rst_ctr[8] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
- ; 0.689 ; rst_ctr[6] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
- ; 0.689 ; led_ctr[22] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
- ; 0.689 ; led_ctr[15] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.955 ;
- ; 0.689 ; led_ctr[8] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.954 ;
- ; 0.689 ; led_ctr[6] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.954 ;
- ; 0.690 ; led_ctr[17] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.956 ;
- ; 0.691 ; rst_ctr[11] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.957 ;
- ; 0.691 ; rst_ctr[3] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.957 ;
- ; 0.691 ; led_ctr[27]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.957 ;
- ; 0.691 ; led_ctr[19] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.957 ;
- ; 0.691 ; led_ctr[13] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.956 ;
- ; 0.691 ; led_ctr[11] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.956 ;
- ; 0.691 ; led_ctr[3] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.956 ;
- ; 0.692 ; rst_ctr[9] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
- ; 0.692 ; rst_ctr[7] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
- ; 0.692 ; led_ctr[25] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
- ; 0.692 ; led_ctr[23] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.958 ;
- ; 0.692 ; led_ctr[9] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.957 ;
- ; 0.692 ; led_ctr[7] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.957 ;
- ; 0.709 ; rst_ctr[1] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.975 ;
- ; 0.709 ; led_ctr[0] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.974 ;
- ; 0.851 ; led_ctr[1] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.116 ;
- ; 0.875 ; rst_ctr[0] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.141 ;
- ; 1.006 ; led_ctr[15] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.272 ;
- ; 1.006 ; led_ctr[14] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.272 ;
- ; 1.007 ; rst_ctr[1] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; rst_ctr[4] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; rst_ctr[2] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; rst_ctr[5] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; led_ctr[17] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; led_ctr[13] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
- ; 1.007 ; led_ctr[0] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
- ; 1.007 ; led_ctr[20] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; led_ctr[18] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; led_ctr[12] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
- ; 1.007 ; led_ctr[2] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
- ; 1.007 ; led_ctr[21] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.273 ;
- ; 1.007 ; led_ctr[5] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.272 ;
- ; 1.008 ; rst_ctr[3] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
- ; 1.008 ; rst_ctr[10] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
- ; 1.008 ; led_ctr[19] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
- ; 1.008 ; led_ctr[11] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
- ; 1.008 ; led_ctr[27]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
- ; 1.008 ; led_ctr[3] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
- ; 1.008 ; led_ctr[4] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
- ; 1.008 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ;
- ; 1.008 ; led_ctr[10] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.273 ;
- ; 1.009 ; rst_ctr[9] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
- ; 1.009 ; rst_ctr[7] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
- ; 1.009 ; led_ctr[25] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
- ; 1.009 ; led_ctr[9] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.274 ;
- ; 1.009 ; led_ctr[23] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.275 ;
- ; 1.009 ; led_ctr[7] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.274 ;
- ; 1.011 ; led_ctr[16] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.277 ;
- ; 1.012 ; led_ctr[24] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.278 ;
- ; 1.013 ; rst_ctr[8] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.279 ;
- ; 1.013 ; rst_ctr[6] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.279 ;
- ; 1.013 ; led_ctr[22] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.279 ;
- ; 1.013 ; led_ctr[8] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.278 ;
- ; 1.013 ; led_ctr[6] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.278 ;
- ; 1.022 ; rst_ctr[5] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.288 ;
- ; 1.022 ; led_ctr[21] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.288 ;
- ; 1.022 ; led_ctr[5] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.287 ;
- ; 1.023 ; led_ctr[15] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.289 ;
- ; 1.024 ; rst_ctr[1] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ;
- ; 1.024 ; led_ctr[13] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ;
- ; 1.024 ; led_ctr[17] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ;
- ; 1.024 ; led_ctr[0] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.289 ;
- ; 1.025 ; rst_ctr[3] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.291 ;
- ; 1.025 ; led_ctr[19] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.291 ;
- ; 1.025 ; led_ctr[11] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.290 ;
- ; 1.025 ; led_ctr[3] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.290 ;
- ; 1.026 ; rst_ctr[9] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
- ; 1.026 ; rst_ctr[7] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
- ; 1.026 ; led_ctr[25] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
- ; 1.026 ; led_ctr[9] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.291 ;
- ; 1.026 ; led_ctr[23] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ;
- ; 1.026 ; led_ctr[7] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.291 ;
- ; 1.098 ; led_ctr[14] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.364 ;
- ; 1.099 ; led_ctr[18] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.365 ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 0.473 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.738 ;
- ; 0.474 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.740 ;
- ; 0.476 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.742 ;
- ; 0.480 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.745 ;
- ; 0.480 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.746 ;
- ; 0.480 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.746 ;
- ; 0.481 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.746 ;
- ; 0.482 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.747 ;
- ; 0.507 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.772 ;
- ; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.865 ;
- ; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.866 ;
- ; 0.600 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.866 ;
- ; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.866 ;
- ; 0.601 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.866 ;
- ; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.867 ;
- ; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.867 ;
- ; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.867 ;
- ; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.868 ;
- ; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.868 ;
- ; 0.602 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.868 ;
- ; 0.603 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.869 ;
- ; 0.603 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.868 ;
- ; 0.604 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.869 ;
- ; 0.609 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.874 ;
- ; 0.610 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.875 ;
- ; 0.614 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.880 ;
- ; 0.616 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.881 ;
- ; 0.618 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.884 ;
- ; 0.619 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.885 ;
- ; 0.620 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.886 ;
- ; 0.621 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.887 ;
- ; 0.621 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.887 ;
- ; 0.622 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.888 ;
- ; 0.626 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.892 ;
- ; 0.630 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.895 ;
- ; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.913 ;
- ; 0.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.913 ;
- ; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.914 ;
- ; 0.648 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.913 ;
- ; 0.650 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.915 ;
- ; 0.651 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.916 ;
- ; 0.652 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.918 ;
- ; 0.652 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.918 ;
- ; 0.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.919 ;
- ; 0.655 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.921 ;
- ; 0.656 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.922 ;
- ; 0.657 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.922 ;
- ; 0.658 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.923 ;
- ; 0.658 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.923 ;
- ; 0.713 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.978 ;
- ; 0.713 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.979 ;
- ; 0.715 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.980 ;
- ; 0.715 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.980 ;
- ; 0.715 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.980 ;
- ; 0.715 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 0.980 ;
- ; 0.715 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 0.981 ;
- ; 0.735 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.001 ;
- ; 0.737 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.003 ;
- ; 0.744 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.009 ;
- ; 0.744 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.009 ;
- ; 0.745 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.010 ;
- ; 0.765 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.170 ; 1.147 ;
- ; 0.770 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.035 ;
- ; 0.772 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.166 ; 1.150 ;
- ; 0.775 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.171 ; 1.158 ;
- ; 0.778 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.171 ; 1.161 ;
- ; 0.782 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.172 ; 1.166 ;
- ; 0.788 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.173 ; 1.173 ;
- ; 0.816 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.071 ; 1.082 ;
- ; 0.817 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.171 ; 1.200 ;
- ; 0.822 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.203 ;
- ; 0.823 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.204 ;
- ; 0.854 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.235 ;
- ; 0.863 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.244 ;
- ; 0.868 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.249 ;
- ; 0.887 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.268 ;
- ; 0.888 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.269 ;
- ; 0.890 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.155 ;
- ; 0.891 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.272 ;
- ; 0.892 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.273 ;
- ; 0.894 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.275 ;
- ; 0.900 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.281 ;
- ; 0.903 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.168 ;
- ; 0.904 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.285 ;
- ; 0.917 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.298 ;
- ; 0.927 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.308 ;
- ; 0.928 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.309 ;
- ; 0.935 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.198 ;
- ; 0.936 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.317 ;
- ; 0.937 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.200 ;
- ; 0.944 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.207 ;
- ; 0.944 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.207 ;
- ; 0.944 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.070 ; 1.209 ;
- ; 0.944 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.325 ;
- ; 0.966 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.347 ;
- ; 0.974 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.169 ; 1.355 ;
- ; 0.983 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.068 ; 1.246 ;
- ; 0.994 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.171 ; 1.377 ;
- ; 1.011 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.171 ; 1.394 ;
- ; 1.016 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.171 ; 1.399 ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 0.537 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.803 ;
- ; 0.625 ; dummydata[21] ; dummydata[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.891 ;
- ; 0.626 ; dummydata[10] ; dummydata[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.892 ;
- ; 0.696 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.962 ;
- ; 0.696 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.962 ;
- ; 0.697 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.963 ;
- ; 0.697 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.963 ;
- ; 0.697 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.962 ;
- ; 0.698 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.963 ;
- ; 0.701 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.967 ;
- ; 0.701 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 0.967 ;
- ; 0.704 ; dummydata[1] ; dummydata[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 0.969 ;
- ; 0.735 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.001 ;
- ; 0.742 ; dummydata[6] ; dummydata[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.007 ;
- ; 0.743 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.008 ;
- ; 0.750 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.016 ;
- ; 0.779 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.045 ;
- ; 0.799 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.065 ;
- ; 0.801 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.067 ;
- ; 0.805 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.071 ;
- ; 0.863 ; dummydata[12] ; dummydata[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.129 ;
- ; 0.871 ; dummydata[22] ; dummydata[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.137 ;
- ; 0.873 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.138 ;
- ; 0.874 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.140 ;
- ; 0.874 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.140 ;
- ; 0.878 ; dummydata[7] ; dummydata[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.143 ;
- ; 0.883 ; dummydata[11] ; dummydata[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.149 ;
- ; 0.885 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.150 ;
- ; 0.886 ; dummydata[3] ; dummydata[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.151 ;
- ; 0.888 ; dummydata[14] ; dummydata[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.154 ;
- ; 0.938 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.203 ;
- ; 0.963 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.228 ;
- ; 0.965 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.230 ;
- ; 1.002 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.267 ;
- ; 1.010 ; dummydata[19] ; dummydata[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.069 ; 1.274 ;
- ; 1.016 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.282 ;
- ; 1.017 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.283 ;
- ; 1.017 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.283 ;
- ; 1.017 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.282 ;
- ; 1.019 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.285 ;
- ; 1.020 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.285 ;
- ; 1.022 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.288 ;
- ; 1.022 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.288 ;
- ; 1.032 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.298 ;
- ; 1.034 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.300 ;
- ; 1.035 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.300 ;
- ; 1.054 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.319 ;
- ; 1.054 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.319 ;
- ; 1.054 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.319 ;
- ; 1.054 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.319 ;
- ; 1.067 ; dummydata[9] ; dummydata[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.333 ;
- ; 1.091 ; dummydata[4] ; dummydata[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.356 ;
- ; 1.102 ; dummydata[23] ; dummydata[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.368 ;
- ; 1.111 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.377 ;
- ; 1.114 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.380 ;
- ; 1.123 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.389 ;
- ; 1.138 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.404 ;
- ; 1.139 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.405 ;
- ; 1.150 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.415 ;
- ; 1.169 ; dummydata[18] ; dummydata[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.437 ;
- ; 1.172 ; dummydata[15] ; dummydata[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.438 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.180 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.072 ; 1.447 ;
- ; 1.191 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.456 ;
- ; 1.209 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.074 ; 1.478 ;
- ; 1.211 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.074 ; 1.480 ;
- ; 1.211 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.074 ; 1.480 ;
- ; 1.212 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.069 ; 1.476 ;
- ; 1.212 ; dummydata[8] ; dummydata[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.076 ; 1.483 ;
- ; 1.215 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.074 ; 1.484 ;
- ; 1.217 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.482 ;
- ; 1.217 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.482 ;
- ; 1.222 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.487 ;
- ; 1.228 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.074 ; 1.497 ;
- ; 1.248 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.513 ;
- ; 1.254 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.522 ;
- ; 1.261 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.526 ;
- ; 1.317 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.583 ;
- ; 1.318 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.584 ;
- ; 1.318 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.583 ;
- ; 1.320 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.585 ;
- ; 1.344 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.609 ;
- ; 1.348 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.614 ;
- ; 1.349 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.615 ;
- ; 1.352 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.617 ;
- ; 1.352 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.617 ;
- ; 1.387 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.073 ; 1.655 ;
- ; 1.391 ; dummydata[5] ; dummydata[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.070 ; 1.656 ;
- ; 1.391 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.657 ;
- ; 1.406 ; dummydata[20] ; dummydata[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.071 ; 1.672 ;
- ; 1.418 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.069 ; 1.682 ;
- ; 1.429 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.075 ; 1.699 ;
- ; 1.429 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.075 ; 1.699 ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Slow 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 2.143 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.071 ; 2.409 ;
- ; 2.800 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.738 ;
- ; 2.801 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.739 ;
- ; 2.930 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.868 ;
- ; 2.941 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 0.882 ;
- ; 2.949 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.008 ; 0.888 ;
- ; 2.958 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.896 ;
- ; 2.961 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.899 ;
- ; 2.965 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.903 ;
- ; 2.984 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 0.922 ;
- ; 2.997 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.008 ; 0.936 ;
- ; 3.200 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 1.138 ;
- ; 3.216 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.157 ;
- ; 3.235 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.176 ;
- ; 3.236 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.177 ;
- ; 3.241 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.005 ; 1.183 ;
- ; 3.241 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.182 ;
- ; 3.241 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.007 ; 1.181 ;
- ; 3.252 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.004 ; 1.195 ;
- ; 3.258 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.005 ; 1.200 ;
- ; 3.267 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.208 ;
- ; 3.270 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.211 ;
- ; 3.270 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.211 ;
- ; 3.286 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.005 ; 1.228 ;
- ; 3.430 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.371 ;
- ; 3.450 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.004 ; 1.393 ;
- ; 3.458 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.006 ; 1.399 ;
- ; 3.518 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.004 ; 1.461 ;
- ; 3.519 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.009 ; 1.457 ;
- ; 3.690 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.004 ; 1.633 ;
- ; 3.723 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -1.004 ; 1.666 ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ----------------------------------------------
- ; Slow 1200mV 0C Model Metastability Summary ;
- ----------------------------------------------
- No synchronizer chains to report.
- +----------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Setup Summary ;
- +---------------------------------------------------------------+--------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+--------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 3.884 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 8.115 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 23.218 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 24.670 ; 0.000 ;
- +---------------------------------------------------------------+--------+---------------+
- +---------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Hold Summary ;
- +---------------------------------------------------------------+-------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+-------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.194 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.194 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.247 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.930 ; 0.000 ;
- +---------------------------------------------------------------+-------+---------------+
- -----------------------------------------
- ; Fast 1200mV 0C Model Recovery Summary ;
- -----------------------------------------
- No paths to report.
- ----------------------------------------
- ; Fast 1200mV 0C Model Removal Summary ;
- ----------------------------------------
- No paths to report.
- +-------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
- +---------------------------------------------------------------+-----------+---------------+
- ; Clock ; Slack ; End Point TNS ;
- +---------------------------------------------------------------+-----------+---------------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 2.563 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 4.993 ; 0.000 ;
- ; clock_48 ; 10.004 ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 13.673 ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 13.674 ; 0.000 ;
- ; rtc_32khz ; 30513.579 ; 0.000 ;
- +---------------------------------------------------------------+-----------+---------------+
- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 3.884 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.065 ; 1.355 ;
- ; 3.884 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.059 ; 1.552 ;
- ; 3.943 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.060 ; 1.301 ;
- ; 3.999 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.452 ;
- ; 4.007 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.056 ; 1.241 ;
- ; 4.015 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.490 ;
- ; 4.016 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.489 ;
- ; 4.016 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.489 ;
- ; 4.016 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.435 ;
- ; 4.018 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.487 ;
- ; 4.018 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.487 ;
- ; 4.019 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.486 ;
- ; 4.019 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.486 ;
- ; 4.020 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.431 ;
- ; 4.027 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.478 ;
- ; 4.029 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.476 ;
- ; 4.029 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.476 ;
- ; 4.031 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.474 ;
- ; 4.050 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.455 ;
- ; 4.117 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_2~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.061 ; 1.317 ;
- ; 4.163 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.288 ;
- ; 4.168 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.283 ;
- ; 4.179 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 1.272 ;
- ; 4.190 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 1.259 ;
- ; 4.192 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.037 ; 1.313 ;
- ; 4.256 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out|ddio_outa_1~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.065 ; 1.174 ;
- ; 4.290 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.216 ;
- ; 4.305 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.201 ;
- ; 4.307 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.199 ;
- ; 4.311 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.195 ;
- ; 4.331 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.175 ;
- ; 4.334 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.172 ;
- ; 4.336 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.170 ;
- ; 4.347 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.159 ;
- ; 4.350 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.156 ;
- ; 4.357 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.149 ;
- ; 4.362 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.144 ;
- ; 4.367 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.139 ;
- ; 4.371 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.135 ;
- ; 4.380 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFHI ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.057 ; 0.867 ;
- ; 4.403 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.103 ;
- ; 4.411 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 1.093 ;
- ; 4.413 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.093 ;
- ; 4.421 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.085 ;
- ; 4.421 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.085 ;
- ; 4.421 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 1.085 ;
- ; 4.424 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 1.080 ;
- ; 4.427 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 1.022 ;
- ; 4.445 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 1.059 ;
- ; 4.453 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 1.051 ;
- ; 4.465 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.039 ; 1.038 ;
- ; 4.476 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.039 ; 1.027 ;
- ; 4.481 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.039 ; 1.022 ;
- ; 4.484 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.092 ; 0.966 ;
- ; 4.486 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.039 ; 1.017 ;
- ; 4.504 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio|ddio_outa_0~DFFLO ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.057 ; 0.934 ;
- ; 4.524 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.925 ;
- ; 4.539 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 0.967 ;
- ; 4.541 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 0.965 ;
- ; 4.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.899 ;
- ; 4.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.899 ;
- ; 4.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.899 ;
- ; 4.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.899 ;
- ; 4.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.899 ;
- ; 4.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.899 ;
- ; 4.552 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.952 ;
- ; 4.553 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.036 ; 0.953 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.560 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.093 ; 0.889 ;
- ; 4.574 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.930 ;
- ; 4.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.834 ;
- ; 4.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.834 ;
- ; 4.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.834 ;
- ; 4.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.834 ;
- ; 4.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.834 ;
- ; 4.617 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.834 ;
- ; 4.618 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.886 ;
- ; 4.633 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.039 ; 0.870 ;
- ; 4.636 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.039 ; 0.867 ;
- ; 4.636 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.868 ;
- ; 4.641 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.863 ;
- ; 4.642 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.862 ;
- ; 4.647 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.857 ;
- ; 4.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.798 ;
- ; 4.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.798 ;
- ; 4.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.798 ;
- ; 4.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.798 ;
- ; 4.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.798 ;
- ; 4.653 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.091 ; 0.798 ;
- ; 4.654 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.850 ;
- ; 4.654 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.850 ;
- ; 4.654 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.086 ; 0.802 ;
- ; 4.655 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.849 ;
- ; 4.655 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 5.555 ; -0.038 ; 0.849 ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
- +-------+-------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+-------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 8.115 ; led_ctr[1] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.203 ;
- ; 8.142 ; led_ctr[1] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 2.174 ;
- ; 8.149 ; led_ctr[2] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.169 ;
- ; 8.193 ; led_ctr[0] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.125 ;
- ; 8.200 ; led_ctr[2] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 2.116 ;
- ; 8.201 ; led_ctr[1] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.117 ;
- ; 8.210 ; led_ctr[0] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 2.106 ;
- ; 8.217 ; led_ctr[4] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.101 ;
- ; 8.259 ; led_ctr[2] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.059 ;
- ; 8.261 ; led_ctr[3] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.057 ;
- ; 8.268 ; led_ctr[4] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 2.048 ;
- ; 8.269 ; led_ctr[0] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.049 ;
- ; 8.278 ; led_ctr[3] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 2.038 ;
- ; 8.281 ; led_ctr[6] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 2.037 ;
- ; 8.327 ; led_ctr[4] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.991 ;
- ; 8.330 ; led_ctr[5] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.988 ;
- ; 8.332 ; led_ctr[6] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.984 ;
- ; 8.337 ; led_ctr[3] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.981 ;
- ; 8.346 ; led_ctr[5] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.970 ;
- ; 8.349 ; led_ctr[8] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.969 ;
- ; 8.391 ; led_ctr[6] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.927 ;
- ; 8.397 ; led_ctr[7] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.921 ;
- ; 8.400 ; led_ctr[8] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.916 ;
- ; 8.405 ; led_ctr[5] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.913 ;
- ; 8.414 ; led_ctr[7] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.902 ;
- ; 8.421 ; led_ctr[10] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.897 ;
- ; 8.459 ; led_ctr[8] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.859 ;
- ; 8.465 ; led_ctr[9] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.853 ;
- ; 8.472 ; led_ctr[10] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.844 ;
- ; 8.473 ; led_ctr[7] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.845 ;
- ; 8.481 ; led_ctr[9] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.835 ;
- ; 8.489 ; led_ctr[12] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.829 ;
- ; 8.531 ; led_ctr[10] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.787 ;
- ; 8.533 ; led_ctr[11] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.785 ;
- ; 8.540 ; led_ctr[12] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.776 ;
- ; 8.540 ; led_ctr[9] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.778 ;
- ; 8.550 ; led_ctr[11] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.766 ;
- ; 8.557 ; led_ctr[14] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.761 ;
- ; 8.599 ; led_ctr[12] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.719 ;
- ; 8.601 ; led_ctr[13] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.717 ;
- ; 8.608 ; led_ctr[14] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.708 ;
- ; 8.609 ; led_ctr[11] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.709 ;
- ; 8.618 ; led_ctr[13] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.040 ; 1.698 ;
- ; 8.620 ; led_ctr[16] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.697 ;
- ; 8.667 ; led_ctr[14] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.651 ;
- ; 8.669 ; led_ctr[15] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.648 ;
- ; 8.671 ; led_ctr[16] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.644 ;
- ; 8.672 ; led_ctr[1] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.697 ;
- ; 8.677 ; led_ctr[13] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.038 ; 1.641 ;
- ; 8.686 ; led_ctr[15] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.629 ;
- ; 8.691 ; led_ctr[18] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.626 ;
- ; 8.700 ; led_ctr[1] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.669 ;
- ; 8.730 ; led_ctr[16] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.587 ;
- ; 8.730 ; led_ctr[2] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.639 ;
- ; 8.734 ; led_ctr[2] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.635 ;
- ; 8.736 ; led_ctr[17] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.581 ;
- ; 8.740 ; led_ctr[1] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.629 ;
- ; 8.740 ; led_ctr[0] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.629 ;
- ; 8.742 ; led_ctr[18] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.573 ;
- ; 8.745 ; led_ctr[15] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.572 ;
- ; 8.753 ; led_ctr[17] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.562 ;
- ; 8.759 ; led_ctr[20] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.558 ;
- ; 8.768 ; led_ctr[1] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.601 ;
- ; 8.778 ; led_ctr[0] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.591 ;
- ; 8.798 ; led_ctr[4] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.571 ;
- ; 8.798 ; led_ctr[2] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.571 ;
- ; 8.801 ; led_ctr[18] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.516 ;
- ; 8.802 ; led_ctr[4] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.567 ;
- ; 8.802 ; led_ctr[2] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.567 ;
- ; 8.804 ; led_ctr[19] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.513 ;
- ; 8.808 ; led_ctr[1] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.561 ;
- ; 8.808 ; led_ctr[3] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.561 ;
- ; 8.808 ; led_ctr[0] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.561 ;
- ; 8.810 ; led_ctr[20] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.505 ;
- ; 8.812 ; led_ctr[17] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.505 ;
- ; 8.820 ; led_ctr[19] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.495 ;
- ; 8.823 ; led_ctr[22] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.494 ;
- ; 8.836 ; led_ctr[1] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.533 ;
- ; 8.846 ; led_ctr[3] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.523 ;
- ; 8.846 ; led_ctr[0] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.523 ;
- ; 8.862 ; led_ctr[6] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.507 ;
- ; 8.866 ; led_ctr[6] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.503 ;
- ; 8.866 ; led_ctr[4] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.503 ;
- ; 8.866 ; led_ctr[2] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.503 ;
- ; 8.869 ; led_ctr[20] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.448 ;
- ; 8.870 ; led_ctr[4] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.499 ;
- ; 8.870 ; led_ctr[2] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.499 ;
- ; 8.873 ; led_ctr[21] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.444 ;
- ; 8.874 ; led_ctr[22] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.441 ;
- ; 8.876 ; led_ctr[1] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.493 ;
- ; 8.876 ; led_ctr[5] ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.493 ;
- ; 8.876 ; led_ctr[3] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.493 ;
- ; 8.876 ; led_ctr[0] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.493 ;
- ; 8.879 ; led_ctr[19] ; led_ctr[28] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.438 ;
- ; 8.882 ; rst_ctr[0] ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.036 ; 1.486 ;
- ; 8.888 ; led_ctr[21] ; led_ctr[26] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.041 ; 1.427 ;
- ; 8.891 ; led_ctr[24] ; led_ctr[27] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.039 ; 1.426 ;
- ; 8.904 ; led_ctr[1] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.465 ;
- ; 8.914 ; led_ctr[3] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.455 ;
- ; 8.914 ; led_ctr[0] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 10.417 ; -0.035 ; 1.455 ;
- +-------+-------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 23.218 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 4.509 ;
- ; 23.243 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 4.489 ;
- ; 23.247 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 4.485 ;
- ; 23.311 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 4.421 ;
- ; 23.315 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 4.417 ;
- ; 23.466 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 4.261 ;
- ; 23.501 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 4.237 ;
- ; 23.515 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 4.223 ;
- ; 23.536 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 4.191 ;
- ; 23.536 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 4.191 ;
- ; 23.545 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 4.182 ;
- ; 23.721 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 4.006 ;
- ; 23.728 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.999 ;
- ; 23.751 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.987 ;
- ; 23.773 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.965 ;
- ; 23.784 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.943 ;
- ; 23.787 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.951 ;
- ; 23.797 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.036 ; 3.932 ;
- ; 23.802 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.036 ; 3.927 ;
- ; 23.811 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.916 ;
- ; 23.840 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.898 ;
- ; 23.854 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.884 ;
- ; 23.858 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.870 ;
- ; 23.859 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.869 ;
- ; 23.879 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.859 ;
- ; 23.893 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.845 ;
- ; 23.936 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.792 ;
- ; 23.950 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.780 ;
- ; 23.959 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.779 ;
- ; 23.959 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.779 ;
- ; 23.967 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.036 ; 3.762 ;
- ; 23.968 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.762 ;
- ; 23.968 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.762 ;
- ; 23.973 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.765 ;
- ; 23.973 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.765 ;
- ; 23.998 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.740 ;
- ; 24.012 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.726 ;
- ; 24.023 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.715 ;
- ; 24.046 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.681 ;
- ; 24.062 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.665 ;
- ; 24.090 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.648 ;
- ; 24.091 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.636 ;
- ; 24.105 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.629 ;
- ; 24.115 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.036 ; 3.614 ;
- ; 24.119 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.608 ;
- ; 24.120 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.610 ;
- ; 24.120 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.036 ; 3.609 ;
- ; 24.129 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.598 ;
- ; 24.129 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.609 ;
- ; 24.131 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.601 ;
- ; 24.133 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.605 ;
- ; 24.135 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.597 ;
- ; 24.147 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.591 ;
- ; 24.176 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.552 ;
- ; 24.177 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.551 ;
- ; 24.199 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.533 ;
- ; 24.203 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.529 ;
- ; 24.209 ; dummydata[6] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.529 ;
- ; 24.209 ; dummydata[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.529 ;
- ; 24.218 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.510 ;
- ; 24.220 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.508 ;
- ; 24.222 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.508 ;
- ; 24.229 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.499 ;
- ; 24.248 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.490 ;
- ; 24.254 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.474 ;
- ; 24.261 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.473 ;
- ; 24.267 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.467 ;
- ; 24.285 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.036 ; 3.444 ;
- ; 24.290 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.440 ;
- ; 24.293 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.437 ;
- ; 24.300 ; dummydata[13] ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.428 ;
- ; 24.303 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.429 ;
- ; 24.307 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.425 ;
- ; 24.310 ; dummydata[16] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.417 ;
- ; 24.319 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.413 ;
- ; 24.323 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.409 ;
- ; 24.339 ; dummydata[14] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.388 ;
- ; 24.367 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.360 ;
- ; 24.371 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.361 ;
- ; 24.374 ; dummydata[10] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.353 ;
- ; 24.375 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.357 ;
- ; 24.377 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.357 ;
- ; 24.383 ; dummydata[2] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.355 ;
- ; 24.387 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.345 ;
- ; 24.391 ; dummydata[23] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.341 ;
- ; 24.421 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.027 ; 3.317 ;
- ; 24.424 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.303 ;
- ; 24.433 ; dummydata[18] ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.294 ;
- ; 24.444 ; dummydata[7] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.290 ;
- ; 24.469 ; dummydata[12] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.258 ;
- ; 24.471 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.261 ;
- ; 24.475 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.255 ;
- ; 24.479 ; dummydata[19] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.035 ; 3.251 ;
- ; 24.483 ; dummydata[4] ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.251 ;
- ; 24.488 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.244 ;
- ; 24.491 ; dummydata[5] ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.033 ; 3.241 ;
- ; 24.500 ; dummydata[11] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.038 ; 3.227 ;
- ; 24.533 ; dummydata[3] ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.031 ; 3.201 ;
- ; 24.536 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.192 ;
- ; 24.538 ; dummydata[15] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 27.778 ; -0.037 ; 3.190 ;
- +--------+---------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Setup: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 24.670 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.761 ; 0.846 ;
- ; 24.673 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.760 ; 0.844 ;
- ; 24.712 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.766 ; 0.799 ;
- ; 24.741 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.761 ; 0.775 ;
- ; 24.779 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.760 ; 0.738 ;
- ; 24.818 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.697 ;
- ; 24.834 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.681 ;
- ; 24.859 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.656 ;
- ; 24.868 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.647 ;
- ; 24.874 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.761 ; 0.642 ;
- ; 24.879 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.636 ;
- ; 24.880 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.761 ; 0.636 ;
- ; 24.881 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.634 ;
- ; 24.887 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.761 ; 0.629 ;
- ; 24.888 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.627 ;
- ; 24.894 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.761 ; 0.622 ;
- ; 24.895 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.620 ;
- ; 24.897 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.763 ; 0.617 ;
- ; 24.907 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.608 ;
- ; 24.915 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.597 ;
- ; 25.050 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.462 ;
- ; 25.051 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.764 ; 0.462 ;
- ; 25.055 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.457 ;
- ; 25.058 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.764 ; 0.455 ;
- ; 25.062 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.762 ; 0.453 ;
- ; 25.064 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.448 ;
- ; 25.067 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.445 ;
- ; 25.068 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.444 ;
- ; 25.138 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.374 ;
- ; 25.140 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 26.390 ; -0.765 ; 0.372 ;
- ; 26.550 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 27.778 ; -0.036 ; 1.179 ;
- +--------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]' ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 0.194 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.314 ;
- ; 0.196 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.316 ;
- ; 0.196 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.316 ;
- ; 0.198 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.318 ;
- ; 0.198 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.318 ;
- ; 0.199 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.319 ;
- ; 0.199 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.319 ;
- ; 0.199 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.319 ;
- ; 0.226 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.346 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.372 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
- ; 0.253 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.373 ;
- ; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.373 ;
- ; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.373 ;
- ; 0.254 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.374 ;
- ; 0.255 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.374 ;
- ; 0.255 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.374 ;
- ; 0.255 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.375 ;
- ; 0.255 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.375 ;
- ; 0.259 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[5] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.378 ;
- ; 0.260 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.379 ;
- ; 0.261 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.381 ;
- ; 0.263 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.382 ;
- ; 0.263 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.383 ;
- ; 0.263 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.382 ;
- ; 0.263 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.382 ;
- ; 0.264 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.383 ;
- ; 0.265 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.385 ;
- ; 0.265 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.384 ;
- ; 0.266 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.386 ;
- ; 0.267 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.387 ;
- ; 0.267 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.387 ;
- ; 0.268 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.388 ;
- ; 0.268 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe6a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.388 ;
- ; 0.268 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.388 ;
- ; 0.269 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe7a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.389 ;
- ; 0.270 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.390 ;
- ; 0.271 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe5a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.391 ;
- ; 0.274 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.394 ;
- ; 0.277 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe16a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.397 ;
- ; 0.277 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.397 ;
- ; 0.277 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.397 ;
- ; 0.278 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.398 ;
- ; 0.278 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.398 ;
- ; 0.281 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.401 ;
- ; 0.301 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.421 ;
- ; 0.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.421 ;
- ; 0.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.421 ;
- ; 0.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.421 ;
- ; 0.302 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.422 ;
- ; 0.303 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.422 ;
- ; 0.303 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.422 ;
- ; 0.307 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.499 ;
- ; 0.317 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.437 ;
- ; 0.319 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.035 ; 0.438 ;
- ; 0.324 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.444 ;
- ; 0.324 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.444 ;
- ; 0.325 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.445 ;
- ; 0.327 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.093 ; 0.521 ;
- ; 0.328 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.089 ; 0.518 ;
- ; 0.330 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.092 ; 0.523 ;
- ; 0.335 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.455 ;
- ; 0.336 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.096 ; 0.533 ;
- ; 0.336 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.094 ; 0.531 ;
- ; 0.336 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.528 ;
- ; 0.336 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.528 ;
- ; 0.338 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.458 ;
- ; 0.343 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.093 ; 0.537 ;
- ; 0.349 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.540 ;
- ; 0.354 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.545 ;
- ; 0.357 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.548 ;
- ; 0.358 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.550 ;
- ; 0.359 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.551 ;
- ; 0.362 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.554 ;
- ; 0.363 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.554 ;
- ; 0.363 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.554 ;
- ; 0.364 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.556 ;
- ; 0.365 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.556 ;
- ; 0.373 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.565 ;
- ; 0.374 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.566 ;
- ; 0.380 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.571 ;
- ; 0.381 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe18a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe22 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.501 ;
- ; 0.383 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.575 ;
- ; 0.385 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.090 ; 0.576 ;
- ; 0.392 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.584 ;
- ; 0.397 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.091 ; 0.589 ;
- ; 0.410 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.093 ; 0.604 ;
- ; 0.411 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe8a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.531 ;
- ; 0.423 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.036 ; 0.543 ;
- ; 0.425 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.093 ; 0.619 ;
- ; 0.426 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe3a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.544 ;
- ; 0.426 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe4a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.544 ;
- ; 0.427 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; -0.003 ; 0.093 ; 0.621 ;
- ; 0.432 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.033 ; 0.549 ;
- ; 0.434 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.033 ; 0.551 ;
- ; 0.440 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe14a[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.034 ; 0.558 ;
- +-------+--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[1]' ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 0.194 ; rst_ctr[0] ; rst_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.314 ;
- ; 0.195 ; led_ctr[0] ; led_ctr[0] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.314 ;
- ; 0.293 ; rst_ctr[4] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.413 ;
- ; 0.293 ; rst_ctr[2] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.413 ;
- ; 0.293 ; led_ctr[20] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.413 ;
- ; 0.293 ; led_ctr[18] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.413 ;
- ; 0.293 ; led_ctr[14] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.412 ;
- ; 0.294 ; rst_ctr[10] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; rst_ctr[6] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; rst_ctr[5] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[28]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[26]~_Duplicate_1 ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[22] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[21] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[16] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[15] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.414 ;
- ; 0.294 ; led_ctr[12] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
- ; 0.294 ; led_ctr[10] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
- ; 0.294 ; led_ctr[4] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
- ; 0.294 ; led_ctr[2] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.413 ;
- ; 0.295 ; rst_ctr[8] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
- ; 0.295 ; rst_ctr[7] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
- ; 0.295 ; led_ctr[24] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
- ; 0.295 ; led_ctr[23] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
- ; 0.295 ; led_ctr[17] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.415 ;
- ; 0.295 ; led_ctr[8] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.414 ;
- ; 0.295 ; led_ctr[6] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.414 ;
- ; 0.295 ; led_ctr[5] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.414 ;
- ; 0.296 ; rst_ctr[11] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
- ; 0.296 ; rst_ctr[9] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
- ; 0.296 ; rst_ctr[3] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
- ; 0.296 ; led_ctr[27]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
- ; 0.296 ; led_ctr[25] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
- ; 0.296 ; led_ctr[19] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.416 ;
- ; 0.296 ; led_ctr[13] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
- ; 0.296 ; led_ctr[11] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
- ; 0.296 ; led_ctr[9] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
- ; 0.296 ; led_ctr[7] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
- ; 0.296 ; led_ctr[3] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.415 ;
- ; 0.300 ; rst_ctr[1] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.420 ;
- ; 0.300 ; led_ctr[0] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.419 ;
- ; 0.366 ; led_ctr[1] ; led_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.485 ;
- ; 0.384 ; rst_ctr[0] ; rst_ctr[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.504 ;
- ; 0.441 ; led_ctr[14] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.561 ;
- ; 0.442 ; rst_ctr[4] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
- ; 0.442 ; rst_ctr[2] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
- ; 0.442 ; led_ctr[20] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
- ; 0.442 ; led_ctr[18] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.562 ;
- ; 0.443 ; rst_ctr[6] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
- ; 0.443 ; rst_ctr[10] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
- ; 0.443 ; led_ctr[22] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
- ; 0.443 ; led_ctr[16] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
- ; 0.443 ; led_ctr[4] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
- ; 0.443 ; led_ctr[26]~_Duplicate_1 ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.563 ;
- ; 0.443 ; led_ctr[12] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
- ; 0.443 ; led_ctr[10] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
- ; 0.443 ; led_ctr[2] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.562 ;
- ; 0.444 ; rst_ctr[8] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.564 ;
- ; 0.444 ; led_ctr[24] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.564 ;
- ; 0.444 ; led_ctr[8] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.563 ;
- ; 0.444 ; led_ctr[6] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.563 ;
- ; 0.452 ; rst_ctr[5] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.572 ;
- ; 0.452 ; led_ctr[21] ; led_ctr[22] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.572 ;
- ; 0.452 ; led_ctr[15] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.572 ;
- ; 0.453 ; rst_ctr[1] ; rst_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
- ; 0.453 ; rst_ctr[7] ; rst_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
- ; 0.453 ; led_ctr[17] ; led_ctr[18] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
- ; 0.453 ; led_ctr[0] ; led_ctr[2] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.572 ;
- ; 0.453 ; led_ctr[23] ; led_ctr[24] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.573 ;
- ; 0.453 ; led_ctr[5] ; led_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.572 ;
- ; 0.454 ; rst_ctr[3] ; rst_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
- ; 0.454 ; rst_ctr[9] ; rst_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
- ; 0.454 ; led_ctr[19] ; led_ctr[20] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
- ; 0.454 ; led_ctr[13] ; led_ctr[14] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
- ; 0.454 ; led_ctr[27]~_Duplicate_1 ; led_ctr[28]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
- ; 0.454 ; led_ctr[25] ; led_ctr[26]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.574 ;
- ; 0.454 ; led_ctr[11] ; led_ctr[12] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
- ; 0.454 ; led_ctr[9] ; led_ctr[10] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
- ; 0.454 ; led_ctr[3] ; led_ctr[4] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
- ; 0.454 ; led_ctr[7] ; led_ctr[8] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ;
- ; 0.455 ; rst_ctr[5] ; rst_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.575 ;
- ; 0.455 ; led_ctr[21] ; led_ctr[23] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.575 ;
- ; 0.455 ; led_ctr[15] ; led_ctr[17] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.575 ;
- ; 0.456 ; rst_ctr[1] ; rst_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
- ; 0.456 ; rst_ctr[7] ; rst_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
- ; 0.456 ; led_ctr[13] ; led_ctr[15] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
- ; 0.456 ; led_ctr[17] ; led_ctr[19] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
- ; 0.456 ; led_ctr[0] ; led_ctr[3] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.575 ;
- ; 0.456 ; led_ctr[23] ; led_ctr[25] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.576 ;
- ; 0.456 ; led_ctr[5] ; led_ctr[7] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.575 ;
- ; 0.457 ; rst_ctr[3] ; rst_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
- ; 0.457 ; rst_ctr[9] ; rst_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
- ; 0.457 ; led_ctr[19] ; led_ctr[21] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
- ; 0.457 ; led_ctr[3] ; led_ctr[5] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
- ; 0.457 ; led_ctr[25] ; led_ctr[27]~_Duplicate_1 ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.577 ;
- ; 0.457 ; led_ctr[11] ; led_ctr[13] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
- ; 0.457 ; led_ctr[9] ; led_ctr[11] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
- ; 0.457 ; led_ctr[7] ; led_ctr[9] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ;
- ; 0.504 ; led_ctr[14] ; led_ctr[16] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.624 ;
- ; 0.505 ; rst_ctr[4] ; rst_ctr[6] ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.625 ;
- +-------+--------------------------+--------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[2]' ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- ; 0.247 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.366 ;
- ; 0.278 ; dummydata[21] ; dummydata[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.397 ;
- ; 0.278 ; dummydata[10] ; dummydata[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.397 ;
- ; 0.298 ; dummydata[1] ; dummydata[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.417 ;
- ; 0.299 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.419 ;
- ; 0.300 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.420 ;
- ; 0.300 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.419 ;
- ; 0.300 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.419 ;
- ; 0.301 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
- ; 0.301 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
- ; 0.301 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
- ; 0.301 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.420 ;
- ; 0.320 ; tmdsenc:hdmitmds[2].enc|disparity[3] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.439 ;
- ; 0.322 ; dummydata[6] ; dummydata[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.441 ;
- ; 0.324 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.444 ;
- ; 0.327 ; tmdsenc:hdmitmds[0].enc|disparity[3] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.446 ;
- ; 0.346 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.465 ;
- ; 0.350 ; dummydata[22] ; dummydata[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.469 ;
- ; 0.351 ; dummydata[12] ; dummydata[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.470 ;
- ; 0.353 ; dummydata[7] ; dummydata[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.472 ;
- ; 0.354 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.473 ;
- ; 0.356 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.475 ;
- ; 0.356 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.475 ;
- ; 0.356 ; dummydata[11] ; dummydata[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.475 ;
- ; 0.358 ; dummydata[14] ; dummydata[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.477 ;
- ; 0.358 ; dummydata[3] ; dummydata[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.477 ;
- ; 0.364 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.483 ;
- ; 0.364 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.483 ;
- ; 0.367 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.487 ;
- ; 0.384 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.503 ;
- ; 0.416 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.535 ;
- ; 0.416 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.535 ;
- ; 0.416 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.535 ;
- ; 0.416 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.535 ;
- ; 0.424 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.542 ;
- ; 0.426 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.544 ;
- ; 0.427 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.545 ;
- ; 0.438 ; dummydata[22] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.557 ;
- ; 0.447 ; dummydata[19] ; dummydata[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.033 ; 0.564 ;
- ; 0.447 ; tmdsenc:hdmitmds[1].enc|disparity[2] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.567 ;
- ; 0.448 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.567 ;
- ; 0.449 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
- ; 0.449 ; tmdsenc:hdmitmds[2].enc|disparity[2] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
- ; 0.449 ; tmdsenc:hdmitmds[0].enc|disparity[2] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.568 ;
- ; 0.459 ; dummydata[4] ; dummydata[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.578 ;
- ; 0.459 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.579 ;
- ; 0.459 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.578 ;
- ; 0.460 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.579 ;
- ; 0.462 ; tmdsenc:hdmitmds[1].enc|disparity[1] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.582 ;
- ; 0.462 ; tmdsenc:hdmitmds[0].enc|disparity[1] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.581 ;
- ; 0.463 ; tmdsenc:hdmitmds[2].enc|disparity[1] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.582 ;
- ; 0.466 ; dummydata[9] ; dummydata[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.585 ;
- ; 0.468 ; dummydata[23] ; dummydata[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.587 ;
- ; 0.479 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.599 ;
- ; 0.493 ; dummydata[21] ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.612 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[1].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.499 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.619 ;
- ; 0.511 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.630 ;
- ; 0.512 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.631 ;
- ; 0.514 ; tmdsenc:hdmitmds[0].enc|disparity[0] ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.633 ;
- ; 0.515 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.635 ;
- ; 0.515 ; tmdsenc:hdmitmds[2].enc|disparity[0] ; tmdsenc:hdmitmds[2].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.634 ;
- ; 0.518 ; dummydata[15] ; dummydata[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.637 ;
- ; 0.519 ; dummydata[8] ; dummydata[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.040 ; 0.643 ;
- ; 0.534 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.652 ;
- ; 0.534 ; dummydata[18] ; dummydata[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.655 ;
- ; 0.540 ; dummydata[0] ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.659 ;
- ; 0.541 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.664 ;
- ; 0.542 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.665 ;
- ; 0.543 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.666 ;
- ; 0.543 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.661 ;
- ; 0.544 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.662 ;
- ; 0.544 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.034 ; 0.662 ;
- ; 0.545 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.668 ;
- ; 0.545 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.668 ;
- ; 0.555 ; dummydata[17] ; tmdsenc:hdmitmds[2].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.674 ;
- ; 0.557 ; dummydata[9] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.033 ; 0.674 ;
- ; 0.559 ; dummydata[8] ; tmdsenc:hdmitmds[0].enc|qreg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.037 ; 0.680 ;
- ; 0.567 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.687 ;
- ; 0.568 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.688 ;
- ; 0.574 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.694 ;
- ; 0.576 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.040 ; 0.700 ;
- ; 0.576 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.040 ; 0.700 ;
- ; 0.576 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.040 ; 0.700 ;
- ; 0.576 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[0].enc|disparity[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.040 ; 0.700 ;
- ; 0.578 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.698 ;
- ; 0.580 ; tmdsenc:hdmitmds[1].enc|disparity[3] ; tmdsenc:hdmitmds[1].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.700 ;
- ; 0.581 ; tmdsenc:hdmitmds[1].enc|disparity[0] ; tmdsenc:hdmitmds[1].enc|disparity[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.036 ; 0.701 ;
- ; 0.600 ; dummydata[5] ; dummydata[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.719 ;
- ; 0.608 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.731 ;
- ; 0.608 ; tmdsenc:hdmitmds[0].enc|denreg ; tmdsenc:hdmitmds[2].enc|qreg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.039 ; 0.731 ;
- ; 0.616 ; dummydata[2] ; dummydata[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.735 ;
- ; 0.618 ; dummydata[20] ; dummydata[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.035 ; 0.737 ;
- +-------+--------------------------------------+--------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+
- +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Fast 1200mV 0C Model Hold: 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]' ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ; 0.930 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.036 ; 1.050 ;
- ; 2.003 ; tmdsenc:hdmitmds[0].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.314 ;
- ; 2.004 ; tmdsenc:hdmitmds[2].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.315 ;
- ; 2.064 ; tmdsenc:hdmitmds[0].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.375 ;
- ; 2.069 ; tmdsenc:hdmitmds[0].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.382 ;
- ; 2.070 ; tmdsenc:hdmitmds[1].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.524 ; 0.382 ;
- ; 2.072 ; tmdsenc:hdmitmds[2].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.383 ;
- ; 2.075 ; tmdsenc:hdmitmds[2].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.386 ;
- ; 2.078 ; tmdsenc:hdmitmds[2].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.389 ;
- ; 2.081 ; tmdsenc:hdmitmds[2].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.392 ;
- ; 2.083 ; tmdsenc:hdmitmds[1].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.524 ; 0.395 ;
- ; 2.197 ; tmdsenc:hdmitmds[2].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.525 ; 0.508 ;
- ; 2.208 ; tmdsenc:hdmitmds[0].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.521 ;
- ; 2.212 ; tmdsenc:hdmitmds[2].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.525 ;
- ; 2.214 ; tmdsenc:hdmitmds[0].enc|qreg[9] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.527 ;
- ; 2.216 ; tmdsenc:hdmitmds[2].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.521 ; 0.531 ;
- ; 2.220 ; tmdsenc:hdmitmds[1].enc|qreg[0] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.521 ; 0.535 ;
- ; 2.221 ; tmdsenc:hdmitmds[1].enc|qreg[7] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.534 ;
- ; 2.222 ; tmdsenc:hdmitmds[0].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.535 ;
- ; 2.224 ; tmdsenc:hdmitmds[1].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.537 ;
- ; 2.224 ; tmdsenc:hdmitmds[1].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.522 ; 0.538 ;
- ; 2.228 ; tmdsenc:hdmitmds[1].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[4] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.541 ;
- ; 2.232 ; tmdsenc:hdmitmds[1].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.522 ; 0.546 ;
- ; 2.233 ; tmdsenc:hdmitmds[1].enc|qreg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.522 ; 0.547 ;
- ; 2.281 ; tmdsenc:hdmitmds[2].enc|qreg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.523 ; 0.594 ;
- ; 2.296 ; tmdsenc:hdmitmds[1].enc|qreg[4] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.522 ; 0.610 ;
- ; 2.319 ; tmdsenc:hdmitmds[0].enc|qreg[5] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.521 ; 0.634 ;
- ; 2.346 ; tmdsenc:hdmitmds[0].enc|qreg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.521 ; 0.661 ;
- ; 2.366 ; tmdsenc:hdmitmds[2].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.526 ; 0.676 ;
- ; 2.419 ; tmdsenc:hdmitmds[0].enc|qreg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.520 ; 0.735 ;
- ; 2.422 ; tmdsenc:hdmitmds[0].enc|qreg[8] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3] ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; -1.388 ; -0.521 ; 0.737 ;
- +-------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------+---------------------------------------------------------------+--------------+------------+------------+
- ----------------------------------------------
- ; Fast 1200mV 0C Model Metastability Summary ;
- ----------------------------------------------
- No synchronizer chains to report.
- +----------------------------------------------------------------------------------------------------------------------------+
- ; Multicorner Timing Analysis Summary ;
- +----------------------------------------------------------------+--------+-------+----------+---------+---------------------+
- ; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ;
- +----------------------------------------------------------------+--------+-------+----------+---------+---------------------+
- ; Worst-case Slack ; 1.906 ; 0.194 ; N/A ; N/A ; 2.476 ;
- ; clock_48 ; N/A ; N/A ; N/A ; N/A ; 10.004 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 1.906 ; 0.194 ; N/A ; N/A ; 2.476 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 22.698 ; 0.930 ; N/A ; N/A ; 13.588 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 5.089 ; 0.194 ; N/A ; N/A ; 4.909 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 17.383 ; 0.247 ; N/A ; N/A ; 13.586 ;
- ; rtc_32khz ; N/A ; N/A ; N/A ; N/A ; 30513.579 ;
- ; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ;
- ; clock_48 ; N/A ; N/A ; N/A ; N/A ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ;
- ; rtc_32khz ; N/A ; N/A ; N/A ; N/A ; 0.000 ;
- +----------------------------------------------------------------+--------+-------+----------+---------+---------------------+
- +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Board Trace Model Assignments ;
- +----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
- ; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
- +----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
- ; abc_d_oe ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_rdy_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_resin_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_int80_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_int800_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_nmi_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_xm_x ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_master ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_a_oe ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d_ce_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_cke ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_ba[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_ba[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[6] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[7] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[8] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[9] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[10] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[11] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_a[12] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dqm[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dqm[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_cs_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_we_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_cas_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_ras_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sd_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sd_cmd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; tty_rxd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; tty_cts ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; flash_cs_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; flash_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; flash_mosi ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; led[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; led[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; led[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; hdmi_d[0] ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; hdmi_d[1] ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; hdmi_d[2] ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; hdmi_clk ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; abc_d[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[6] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; abc_d[7] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; hdmi_sda ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; exth_ha ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; exth_hb ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; exth_hd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; exth_he ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; exth_hf ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; exth_hg ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[6] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[7] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[8] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[9] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[10] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[11] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[12] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[13] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[14] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sr_dq[15] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sd_dat[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sd_dat[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sd_dat[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; sd_dat[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; spi_clk ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; spi_miso ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; spi_mosi ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; spi_cs_esp_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; spi_cs_flash_n ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; esp_io0 ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; esp_int ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; i2c_scl ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; i2c_sda ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; gpio[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; gpio[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; gpio[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; gpio[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; gpio[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; gpio[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; hdmi_scl ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; hdmi_hpd ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
- ; hdmi_d[0](n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; hdmi_d[1](n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; hdmi_d[2](n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- ; hdmi_clk(n) ; LVDS ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; 100 Ohm ; n/a ; n/a ; n/a ;
- +----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
- +-------------------------------------------------------------------+
- ; Input Transition Times ;
- +----------------+--------------+-----------------+-----------------+
- ; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
- +----------------+--------------+-----------------+-----------------+
- ; abc_clk ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[8] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[9] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[10] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[11] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[12] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[13] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[14] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_a[15] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_rst_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_cs_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_out_n[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_out_n[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_out_n[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_out_n[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_out_n[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_inp_n[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_inp_n[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_xmemfl_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_xmemw800_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_xmemw80_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_xinpstb_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_xoutpstb_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_hc ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_hh ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; tty_txd ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; tty_rts ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; tty_dtr ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; flash_miso ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; rtc_32khz ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; rtc_int_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; abc_d[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; hdmi_sda ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_ha ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_hb ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_hd ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_he ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_hf ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; exth_hg ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[8] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[9] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[10] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[11] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[12] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[13] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[14] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sr_dq[15] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sd_dat[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sd_dat[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sd_dat[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; sd_dat[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; spi_clk ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; spi_miso ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; spi_mosi ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; spi_cs_esp_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; spi_cs_flash_n ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; esp_io0 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; esp_int ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; i2c_scl ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; i2c_sda ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; gpio[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; gpio[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; gpio[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; gpio[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; gpio[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; gpio[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; hdmi_scl ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; hdmi_hpd ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ;
- ; clock_48 ; 2.5 V ; 2000 ps ; 2000 ps ;
- +----------------+--------------+-----------------+-----------------+
- +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Signal Integrity Metrics (Slow 1200mv 0c Model) ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- ; abc_d_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_rdy_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_resin_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_int80_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_int800_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; abc_nmi_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_xm_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; abc_master ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_a_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; abc_d_ce_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_cke ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_ba[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; sr_ba[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_a[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dqm[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dqm[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_we_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_cas_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_ras_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sd_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; sd_cmd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; tty_rxd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ;
- ; tty_cts ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; flash_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ;
- ; flash_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 3.63e-09 V ; 3.17 V ; -0.033 V ; 0.146 V ; 0.089 V ; 4.42e-10 s ; 4e-10 s ; No ; Yes ; 3.08 V ; 3.63e-09 V ; 3.17 V ; -0.033 V ; 0.146 V ; 0.089 V ; 4.42e-10 s ; 4e-10 s ; No ; Yes ;
- ; flash_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ; 3.08 V ; 1.61e-08 V ; 3.09 V ; -0.0154 V ; 0.101 V ; 0.226 V ; 2.13e-09 s ; 2.1e-09 s ; Yes ; No ;
- ; led[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; led[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; led[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; hdmi_d[0] ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; hdmi_d[1] ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; hdmi_d[2] ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; hdmi_clk ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; abc_d[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_d[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_d[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_d[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_d[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_d[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; abc_d[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; abc_d[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; hdmi_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; exth_ha ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; exth_hb ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; exth_hd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; exth_he ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; exth_hf ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; exth_hg ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; sr_dq[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[13] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sr_dq[14] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; sr_dq[15] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sd_dat[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; sd_dat[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; sd_dat[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.09 V ; -0.00842 V ; 0.277 V ; 0.268 V ; 5.24e-09 s ; 3.95e-09 s ; No ; Yes ;
- ; sd_dat[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; spi_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.09 V ; -0.00919 V ; 0.272 V ; 0.279 V ; 4.99e-09 s ; 3.74e-09 s ; No ; Yes ;
- ; spi_miso ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; spi_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; spi_cs_esp_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; spi_cs_flash_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; esp_io0 ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; esp_int ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; i2c_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; i2c_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ; 3.08 V ; 6.79e-09 V ; 3.13 V ; -0.0451 V ; 0.284 V ; 0.25 V ; 1.14e-09 s ; 8.82e-10 s ; No ; Yes ;
- ; gpio[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; gpio[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; gpio[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; gpio[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; gpio[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; gpio[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; hdmi_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; hdmi_hpd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ; 3.08 V ; 9.45e-09 V ; 3.15 V ; -0.0747 V ; 0.2 V ; 0.271 V ; 6.5e-10 s ; 4.56e-10 s ; No ; Yes ;
- ; hdmi_d[0](n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; hdmi_d[1](n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; hdmi_d[2](n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- ; hdmi_clk(n) ; LVDS ; 0 s ; 0 s ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ; 0.41 V ; -0.41 V ; - ; - ; - ; - ; 3.79e-10 s ; 3.8e-10 s ; Yes ; Yes ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Signal Integrity Metrics (Slow 1200mv 85c Model) ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- ; abc_d_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_rdy_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_resin_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_int80_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_int800_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; abc_nmi_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_xm_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; abc_master ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_a_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; abc_d_ce_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_cke ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_ba[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; sr_ba[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_a[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dqm[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dqm[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_we_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_cas_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_ras_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sd_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; sd_cmd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; tty_rxd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ;
- ; tty_cts ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; flash_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ;
- ; flash_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 2.58e-07 V ; 3.13 V ; -0.0413 V ; 0.178 V ; 0.078 V ; 4.81e-10 s ; 4.67e-10 s ; Yes ; Yes ; 3.08 V ; 2.58e-07 V ; 3.13 V ; -0.0413 V ; 0.178 V ; 0.078 V ; 4.81e-10 s ; 4.67e-10 s ; Yes ; Yes ;
- ; flash_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ; 3.08 V ; 1.24e-06 V ; 3.08 V ; -0.00575 V ; 0.055 V ; 0.187 V ; 2.59e-09 s ; 2.64e-09 s ; Yes ; Yes ;
- ; led[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; led[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; led[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; hdmi_d[0] ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[1] ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[2] ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_clk ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; abc_d[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_d[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_d[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_d[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_d[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_d[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; abc_d[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; abc_d[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; hdmi_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; exth_ha ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; exth_hb ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; exth_hd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; exth_he ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; exth_hf ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; exth_hg ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; sr_dq[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[13] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sr_dq[14] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; sr_dq[15] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sd_dat[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; sd_dat[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; sd_dat[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.08 V ; -0.00375 V ; 0.284 V ; 0.246 V ; 6.17e-09 s ; 4.91e-09 s ; No ; Yes ;
- ; sd_dat[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; spi_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ; 3.08 V ; 7.76e-07 V ; 3.08 V ; -0.00449 V ; 0.31 V ; 0.243 V ; 5.79e-09 s ; 4.66e-09 s ; No ; Yes ;
- ; spi_miso ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; spi_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; spi_cs_esp_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; spi_cs_flash_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; esp_io0 ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; esp_int ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; i2c_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; i2c_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ; 3.08 V ; 5.21e-07 V ; 3.11 V ; -0.0305 V ; 0.284 V ; 0.283 V ; 1.36e-09 s ; 1.1e-09 s ; No ; Yes ;
- ; gpio[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; gpio[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; gpio[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; gpio[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; gpio[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; gpio[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; hdmi_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; hdmi_hpd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ; 3.08 V ; 7.76e-07 V ; 3.12 V ; -0.0504 V ; 0.296 V ; 0.208 V ; 6.98e-10 s ; 6.34e-10 s ; Yes ; Yes ;
- ; hdmi_d[0](n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[1](n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[2](n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_clk(n) ; LVDS ; 0 s ; 0 s ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.355 V ; -0.355 V ; - ; - ; - ; - ; 3.82e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Signal Integrity Metrics (Fast 1200mv 0c Model) ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- ; abc_d_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_rdy_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_resin_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_int80_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_int800_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; abc_nmi_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_xm_x ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; abc_master ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_a_oe ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; abc_d_ce_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_cke ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_ba[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; sr_ba[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_a[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dqm[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dqm[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_we_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_cas_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_ras_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sd_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; sd_cmd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; tty_rxd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ;
- ; tty_cts ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; flash_cs_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ;
- ; flash_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 6.59e-08 V ; 3.58 V ; -0.0705 V ; 0.234 V ; 0.092 V ; 2.93e-10 s ; 3.09e-10 s ; Yes ; Yes ; 3.46 V ; 6.59e-08 V ; 3.58 V ; -0.0705 V ; 0.234 V ; 0.092 V ; 2.93e-10 s ; 3.09e-10 s ; Yes ; Yes ;
- ; flash_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ; 3.46 V ; 3.08e-07 V ; 3.48 V ; -0.026 V ; 0.261 V ; 0.329 V ; 1.74e-09 s ; 1.76e-09 s ; No ; No ;
- ; led[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; led[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; led[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; hdmi_d[0] ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[1] ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[2] ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_clk ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; abc_d[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_d[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_d[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_d[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_d[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_d[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; abc_d[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; abc_d[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; hdmi_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; exth_ha ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; exth_hb ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; exth_hd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; exth_he ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; exth_hf ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; exth_hg ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; sr_dq[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[6] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[7] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[8] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[9] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[10] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[11] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[12] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[13] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sr_dq[14] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; sr_dq[15] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sd_dat[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; sd_dat[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; sd_dat[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.48 V ; -0.0129 V ; 0.351 V ; 0.278 V ; 4.12e-09 s ; 3.46e-09 s ; No ; No ;
- ; sd_dat[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; spi_clk ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ; 3.46 V ; 1.92e-07 V ; 3.48 V ; -0.014 V ; 0.359 V ; 0.292 V ; 3.93e-09 s ; 3.26e-09 s ; No ; No ;
- ; spi_miso ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; spi_mosi ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; spi_cs_esp_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; spi_cs_flash_n ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; esp_io0 ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; esp_int ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; i2c_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; i2c_sda ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ; 3.46 V ; 1.3e-07 V ; 3.55 V ; -0.053 V ; 0.335 V ; 0.361 V ; 9.06e-10 s ; 7.36e-10 s ; No ; No ;
- ; gpio[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; gpio[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; gpio[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; gpio[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; gpio[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; gpio[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; hdmi_scl ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; hdmi_hpd ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ; 3.46 V ; 1.92e-07 V ; 3.58 V ; -0.0891 V ; 0.324 V ; 0.191 V ; 4.6e-10 s ; 4.21e-10 s ; No ; Yes ;
- ; hdmi_d[0](n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[1](n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_d[2](n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- ; hdmi_clk(n) ; LVDS ; 0 s ; 0 s ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ; 0.526 V ; -0.526 V ; - ; - ; - ; - ; 3.81e-10 s ; 3.83e-10 s ; Yes ; Yes ;
- +----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
- +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Setup Transfers ;
- +---------------------------------------------------------------+---------------------------------------------------------------+------------+------------+------------+----------+
- ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
- +---------------------------------------------------------------+---------------------------------------------------------------+------------+------------+------------+----------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 180 ; 0 ; 0 ; 0 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 98 ; 0 ; 0 ; 0 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 1 ; 0 ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 30 ; 0 ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 609 ; 0 ; 0 ; 0 ;
- ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; false path ; false path ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 9603 ; 0 ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; rst_n ; false path ; 0 ; false path ; 0 ;
- +---------------------------------------------------------------+---------------------------------------------------------------+------------+------------+------------+----------+
- Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
- +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Hold Transfers ;
- +---------------------------------------------------------------+---------------------------------------------------------------+------------+------------+------------+----------+
- ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
- +---------------------------------------------------------------+---------------------------------------------------------------+------------+------------+------------+----------+
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 180 ; 0 ; 0 ; 0 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 98 ; 0 ; 0 ; 0 ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 1 ; 0 ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; 30 ; 0 ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; 609 ; 0 ; 0 ; 0 ;
- ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; false path ; false path ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; 9603 ; 0 ; 0 ; 0 ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; rst_n ; false path ; 0 ; false path ; 0 ;
- +---------------------------------------------------------------+---------------------------------------------------------------+------------+------------+------------+----------+
- Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
- +--------------------------------------------------------------------------------------------------------------+
- ; Recovery Transfers ;
- +------------+-------------------------------------------------+------------+------------+----------+----------+
- ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
- +------------+-------------------------------------------------+------------+------------+----------+----------+
- ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; false path ; false path ; 0 ; 0 ;
- ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[2] ; false path ; false path ; 0 ; 0 ;
- +------------+-------------------------------------------------+------------+------------+----------+----------+
- Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
- +--------------------------------------------------------------------------------------------------------------+
- ; Removal Transfers ;
- +------------+-------------------------------------------------+------------+------------+----------+----------+
- ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
- +------------+-------------------------------------------------+------------+------------+----------+----------+
- ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[1] ; false path ; false path ; 0 ; 0 ;
- ; rst_n ; pll|altpll_component|auto_generated|pll1|clk[2] ; false path ; false path ; 0 ; 0 ;
- +------------+-------------------------------------------------+------------+------------+----------+----------+
- Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
- ---------------
- ; Report TCCS ;
- ---------------
- No dedicated SERDES Transmitter circuitry present in device or used in design
- ---------------
- ; Report RSKM ;
- ---------------
- No non-DPA dedicated SERDES Receiver circuitry present in device or used in design
- +------------------------------------------------+
- ; Unconstrained Paths Summary ;
- +---------------------------------+-------+------+
- ; Property ; Setup ; Hold ;
- +---------------------------------+-------+------+
- ; Illegal Clocks ; 0 ; 0 ;
- ; Unconstrained Clocks ; 0 ; 0 ;
- ; Unconstrained Input Ports ; 0 ; 0 ;
- ; Unconstrained Input Port Paths ; 0 ; 0 ;
- ; Unconstrained Output Ports ; 12 ; 12 ;
- ; Unconstrained Output Port Paths ; 12 ; 12 ;
- +---------------------------------+-------+------+
- +---------------------------------------------------------------------------------------------------------------------------------------------------------+
- ; Clock Status Summary ;
- +---------------------------------------------------------------+---------------------------------------------------------------+-----------+-------------+
- ; Target ; Clock ; Type ; Status ;
- +---------------------------------------------------------------+---------------------------------------------------------------+-----------+-------------+
- ; clock_48 ; clock_48 ; Base ; Constrained ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; Generated ; Constrained ;
- ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; Generated ; Constrained ;
- ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; Generated ; Constrained ;
- ; pll|altpll_component|auto_generated|pll1|clk[1] ; pll|altpll_component|auto_generated|pll1|clk[1] ; Generated ; Constrained ;
- ; pll|altpll_component|auto_generated|pll1|clk[2] ; pll|altpll_component|auto_generated|pll1|clk[2] ; Generated ; Constrained ;
- ; rst_n ; rst_n ; Generated ; Constrained ;
- ; rtc_32khz ; rtc_32khz ; Base ; Constrained ;
- +---------------------------------------------------------------+---------------------------------------------------------------+-----------+-------------+
- +------------------------------------------------------------------------------------------------------+
- ; Unconstrained Output Ports ;
- +--------------+---------------------------------------------------------------------------------------+
- ; Output Port ; Comment ;
- +--------------+---------------------------------------------------------------------------------------+
- ; hdmi_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_clk(n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[0](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[1](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[2](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; led[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; led[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; led[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; sr_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- +--------------+---------------------------------------------------------------------------------------+
- +------------------------------------------------------------------------------------------------------+
- ; Unconstrained Output Ports ;
- +--------------+---------------------------------------------------------------------------------------+
- ; Output Port ; Comment ;
- +--------------+---------------------------------------------------------------------------------------+
- ; hdmi_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_clk(n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[0](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[1](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; hdmi_d[2](n) ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; led[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; led[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; led[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- ; sr_clk ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
- +--------------+---------------------------------------------------------------------------------------+
- +--------------------------+
- ; Timing Analyzer Messages ;
- +--------------------------+
- Info: *******************************************************************
- Info: Running Quartus Prime Timing Analyzer
- Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
- Info: Processing started: Fri Aug 6 20:12:54 2021
- Info: Command: quartus_sta --lower_priority max80 -c max80
- Info: qsta_default_script.tcl version: #1
- Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
- Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected
- Info (21077): Low junction temperature is 0 degrees C
- Info (21077): High junction temperature is 85 degrees C
- Info (332164): Evaluating HDL-embedded SDC commands
- Info (332165): Entity pll_altpll
- Info (332166): set_false_path -from ** -to *phasedone_state*
- Info (332166): set_false_path -from ** -to *internal_phasestep*
- Warning (332174): Ignored filter at qsta_default_script.tcl(1297): *phasedone_state* could not be matched with a clock or keeper or register or port or pin or cell or partition File: /opt/altera/20.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
- Warning (332049): Ignored set_false_path at qsta_default_script.tcl(1297): Argument <to> is not an object ID File: /opt/altera/20.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
- Info (332050): read_sdc File: /opt/altera/20.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
- Warning (332174): Ignored filter at qsta_default_script.tcl(1297): *internal_phasestep* could not be matched with a clock or keeper or register or port or pin or cell or partition File: /opt/altera/20.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
- Warning (332049): Ignored set_false_path at qsta_default_script.tcl(1297): Argument <to> is not an object ID File: /opt/altera/20.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
- Info (332050): read_sdc File: /opt/altera/20.1/quartus/common/tcl/internal/qsta_default_script.tcl Line: 1297
- Info (332104): Reading SDC File: 'max80.sdc'
- Info (332110): Deriving PLL clocks
- Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]}
- Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[1]} {pll|altpll_component|auto_generated|pll1|clk[1]}
- Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 4 -multiply_by 3 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[2]} {pll|altpll_component|auto_generated|pll1|clk[2]}
- Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -multiply_by 5 -phase -90.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]}
- Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -phase -18.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]}
- Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
- Warning (332174): Ignored filter at max80.sdc(30): *|synchronizer:*|qreg0* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 30
- Warning (332049): Ignored set_multicycle_path at max80.sdc(31): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
- Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
- -start -setup 2 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
- Warning (332049): Ignored set_multicycle_path at max80.sdc(33): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
- Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
- -start -hold -1 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
- Warning (332174): Ignored filter at max80.sdc(37): sld_signaltap:* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
- Warning (332049): Ignored set_false_path at max80.sdc(37): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
- Info (332050): set_false_path -to [get_registers sld_signaltap:*] File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
- Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
- Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
- Info: Analyzing Slow 1200mV 85C Model
- Info (332146): Worst-case setup slack is 1.906
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 1.906 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 5.089 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 17.383 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 22.698 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332146): Worst-case hold slack is 0.466
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 0.466 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 0.504 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 0.576 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 2.295 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332140): No Recovery paths to report
- Info (332140): No Removal paths to report
- Info (332146): Worst-case minimum pulse width slack is 2.477
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 2.477 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 4.909 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 10.341 0.000 clock_48
- Info (332119): 13.586 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 13.589 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332119): 30513.579 0.000 rtc_32khz
- Info: Analyzing Slow 1200mV 0C Model
- Info (334003): Started post-fitting delay annotation
- Info (334004): Delay annotation completed successfully
- Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
- Info (332146): Worst-case setup slack is 2.078
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 2.078 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 5.556 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 17.936 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 22.985 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332146): Worst-case hold slack is 0.418
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 0.418 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 0.473 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 0.537 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 2.143 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332140): No Recovery paths to report
- Info (332140): No Removal paths to report
- Info (332146): Worst-case minimum pulse width slack is 2.476
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 2.476 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 4.909 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 10.354 0.000 clock_48
- Info (332119): 13.586 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 13.588 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332119): 30513.579 0.000 rtc_32khz
- Info: Analyzing Fast 1200mV 0C Model
- Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
- Info (332146): Worst-case setup slack is 3.884
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 3.884 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 8.115 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 23.218 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 24.670 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332146): Worst-case hold slack is 0.194
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 0.194 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 0.194 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 0.247 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 0.930 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332140): No Recovery paths to report
- Info (332140): No Removal paths to report
- Info (332146): Worst-case minimum pulse width slack is 2.563
- Info (332119): Slack End Point TNS Clock
- Info (332119): ========= =================== =====================
- Info (332119): 2.563 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
- Info (332119): 4.993 0.000 pll|altpll_component|auto_generated|pll1|clk[1]
- Info (332119): 10.004 0.000 clock_48
- Info (332119): 13.673 0.000 pll|altpll_component|auto_generated|pll1|clk[2]
- Info (332119): 13.674 0.000 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
- Info (332119): 30513.579 0.000 rtc_32khz
- Info (332102): Design is not fully constrained for setup requirements
- Info (332102): Design is not fully constrained for hold requirements
- Info: Quartus Prime Timing Analyzer was successful. 0 errors, 10 warnings
- Info: Peak virtual memory: 728 megabytes
- Info: Processing ended: Fri Aug 6 20:12:56 2021
- Info: Elapsed time: 00:00:02
- Info: Total CPU time (on all processors): 00:00:01
|