2
0

bypass.sdc 591 B

123456789101112131415161718
  1. # -*- tcl -*-
  2. # Clock constraints
  3. # Input master clock for all PLLs
  4. create_clock -name "clock_48" -period 20.834ns [get_ports {clock_in}]
  5. #create_clock -name "clock_16" -period 62.500ns [get_ports {clock_16}]
  6. derive_pll_clocks
  7. # RTC clock; asynchronous with all others
  8. create_clock -name "rtc_32khz" -period 30517.578ns [get_ports {rtc_32khz}]
  9. set_clock_groups -asynchronous -group {rtc_32khz}
  10. # Automatically calculate clock uncertainty to jitter and other effects.
  11. derive_clock_uncertainty
  12. # Don't report signaltap clock problems...
  13. set_false_path -to [get_registers sld_signaltap:*]