2
0

sdram.sv 18 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690
  1. // -----------------------------------------------------------------------
  2. //
  3. // Copyright 2010-2021 H. Peter Anvin - All Rights Reserved
  4. //
  5. // This program is free software; you can redistribute it and/or modify
  6. // it under the terms of the GNU General Public License as published by
  7. // the Free Software Foundation, Inc., 51 Franklin St, Fifth Floor,
  8. // Boston MA 02110-1301, USA; either version 2 of the License, or
  9. // (at your option) any later version; incorporated herein by reference.
  10. //
  11. // -----------------------------------------------------------------------
  12. //
  13. // Simple SDRAM controller
  14. //
  15. // Very simple non-parallelizing SDRAM controller.
  16. //
  17. //
  18. // Two ports are provided:
  19. // Port 1 does aligned 4-byte accesses with byte enables.
  20. // Port 2 does aligned 8-byte accesses, write only, with no byte
  21. // enables; it supports streaming from a FIFO.
  22. //
  23. // Port 1 is multiplexed via an arbiter, which receives a bus
  24. // defined by the sdram_bus interface.
  25. //
  26. // All signals are in the sdram clock domain.
  27. //
  28. // [rw]ack is asserted at the beginning of a read- or write cycle and
  29. // deasserted afterwards; rready is asserted once all data is read and
  30. // the read data (rdX port) is valid; it remains asserted after the
  31. // transaction is complete and rack is deasserted.
  32. //
  33. //
  34. // The interface to the port modules. The read data is 16 bits
  35. // at a time, and is only valid in the cycle rstrb[x] is asserted.
  36. //
  37. // The only output signal that is unique to this port
  38. // is "start". All other signals are broadcast.
  39. //
  40. interface dram_bus;
  41. logic [1:0] prio; // Priority vs refresh
  42. logic rst_n;
  43. logic clk;
  44. logic [24:0] addr;
  45. logic addr0; // addr[0] latched at transaction start
  46. logic [15:0] rd;
  47. logic req;
  48. logic [1:0] rstrb; // Data read strobe
  49. logic [31:0] wd;
  50. logic [3:0] wstrb;
  51. logic start; // Transaction start
  52. logic wrack; // Transaction is a write
  53. // Upstream direction
  54. modport ustr (
  55. input prio,
  56. output rst_n,
  57. output clk,
  58. input addr,
  59. output addr0,
  60. output rd,
  61. input req,
  62. output rstrb,
  63. input wd,
  64. input wstrb,
  65. output start,
  66. output wrack
  67. );
  68. // Downstream direction
  69. modport dstr (
  70. output prio,
  71. input rst_n,
  72. input clk,
  73. output addr,
  74. input addr0,
  75. input rd,
  76. output req,
  77. input rstrb,
  78. output wd,
  79. output wstrb,
  80. input start,
  81. input wrack
  82. );
  83. endinterface // dram_bus
  84. // Port into the DRAM
  85. module dram_port
  86. #(parameter width = 32)
  87. (
  88. dram_bus.dstr bus,
  89. input [1:0] prio,
  90. input [24:0] addr,
  91. output reg [width-1:0] rd,
  92. input valid,
  93. output reg ready,
  94. input [width-1:0] wd,
  95. input [(width >> 3)-1:0] wstrb
  96. );
  97. reg started;
  98. assign bus.prio = prio;
  99. assign bus.addr = addr;
  100. assign bus.req = valid & ~started;
  101. always_comb
  102. begin
  103. bus.wd = 32'hxxxx_xxxx;
  104. bus.wstrb = 4'b0000;
  105. if (width == 8)
  106. begin
  107. bus.wd[15:0] = { wd, wd };
  108. bus.wstrb[1:0] = { wstrb[0] & addr[0], wstrb[0] & ~addr[0] };
  109. end
  110. else
  111. begin
  112. bus.wd[width-1:0] = wd;
  113. bus.wstrb[(width >> 3)-1:0] = wstrb;
  114. end
  115. end
  116. always @(negedge bus.rst_n or posedge bus.clk)
  117. if (~bus.rst_n)
  118. begin
  119. ready <= 1'b0;
  120. started <= 1'b0;
  121. end
  122. else
  123. begin
  124. if (~valid)
  125. begin
  126. ready <= 1'b0;
  127. started <= 1'b0;
  128. end
  129. else if (bus.start)
  130. begin
  131. started <= 1'b1;
  132. ready <= bus.wrack;
  133. end
  134. else if (started & ~ready)
  135. begin
  136. ready <= bus.rstrb[(width - 1) >> 4];
  137. end
  138. end // else: !if(~bus.rst_n)
  139. genvar i;
  140. generate
  141. for (i = 0; i < ((width + 15) >> 4); i++)
  142. begin : w
  143. always @(posedge bus.clk)
  144. if (started & ~ready & bus.rstrb[i])
  145. begin
  146. if (width == 8)
  147. rd <= bus.addr0 ? bus.rd[15:8] : bus.rd[7:0];
  148. else
  149. rd[i*16+15:i*16] <= bus.rd;
  150. end
  151. end
  152. endgenerate
  153. endmodule // dram_port
  154. module dram_arbiter
  155. #(parameter port_count = 1)
  156. (
  157. dram_bus.ustr ustr [1:port_count],
  158. dram_bus.dstr dstr,
  159. input [1:0] rfsh_prio,
  160. output logic do_rfsh
  161. );
  162. logic [31:0] u_wd[1:port_count];
  163. logic [3:0] u_wstrb[1:port_count];
  164. logic [24:0] u_addr[1:port_count];
  165. logic [port_count:0] grant;
  166. assign grant[0] = 1'b0; // Dummy to make the below logic simpler
  167. reg [port_count:0] grant_q;
  168. always @(negedge dstr.rst_n or posedge dstr.clk)
  169. if (~dstr.rst_n)
  170. grant_q <= 'b0;
  171. else
  172. grant_q <= grant;
  173. generate
  174. genvar i;
  175. for (i = 1; i <= port_count; i++)
  176. begin : u
  177. assign ustr[i].rst_n = dstr.rst_n;
  178. assign ustr[i].clk = dstr.clk;
  179. assign ustr[i].addr0 = dstr.addr0;
  180. assign ustr[i].rd = dstr.rd;
  181. assign ustr[i].rstrb = dstr.rstrb;
  182. assign ustr[i].wrack = dstr.wrack;
  183. assign grant[i] = ~|grant[i-1:0] & ustr[i].req &
  184. (ustr[i].prio >= rfsh_prio);
  185. assign u_addr[i] = ustr[i].addr;
  186. assign u_wd[i] = ustr[i].wd;
  187. assign u_wstrb[i] = ustr[i].wstrb;
  188. // Note: start indicates that the requestor from the *previous*
  189. // cycle was started.
  190. assign ustr[i].start = grant_q[i] & dstr.start;
  191. end // block: u
  192. endgenerate
  193. always_comb
  194. begin
  195. dstr.addr = 'bx;
  196. dstr.wd = 'bx;
  197. dstr.wstrb = 4'b0;
  198. dstr.req = 1'b0;
  199. do_rfsh = |rfsh_prio;
  200. for (int j = 1; j <= port_count; j++)
  201. if (grant[j])
  202. begin
  203. dstr.addr = u_addr[j];
  204. dstr.wd = u_wd[j];
  205. dstr.wstrb = u_wstrb[j];
  206. dstr.req = 1'b1;
  207. do_rfsh = 1'b0;
  208. end
  209. end // always_comb
  210. endmodule // dram_arbiter
  211. module sdram
  212. #( parameter
  213. port1_count = 1,
  214. // Timing parameters
  215. // The parameters are hardcoded for Micron MT48LC16M16A2-6A,
  216. // per datasheet:
  217. // 100 MHz 167 MHz
  218. // ----------------------------------------------------------
  219. // CL 2 3 READ to data out
  220. // tRCD 18 ns 2 3 ACTIVE to READ/WRITE
  221. // tRFC 60 ns 6 10 REFRESH to ACTIVE
  222. // tRP 18 ns 2 3 PRECHARGE to ACTIVE/REFRESH
  223. // tRAS 42 ns 5 7 ACTIVE to PRECHARGE
  224. // tRC 60 ns 6 10 ACTIVE to ACTIVE (same bank)
  225. // tRRD 12 ns 2 2 ACTICE to ACTIVE (different bank)
  226. // tWR 12 ns 2 2 Last write data to PRECHARGE
  227. // tMRD 2 2 MODE REGISTER to ACTIVE/REFRESH
  228. //
  229. // These parameters are set by power of 2:
  230. // tREFi 64/8192 ms 781 1302 Refresh time per row (max)
  231. // tP 100 us 10000 16667 Time until first command (min)
  232. t_cl = 3,
  233. t_rcd = 3,
  234. t_rfc = 10,
  235. t_rp = 3,
  236. t_ras = 7,
  237. t_rc = 10,
  238. t_rrd = 2,
  239. t_wr = 2,
  240. t_mrd = 2,
  241. t_refi_lg2 = 10, // 1024 cycles
  242. t_p_lg2 = 15, // 32768 cycles
  243. burst_lg2 = 1 // log2(burst length)
  244. )
  245. (
  246. // Reset and clock
  247. input rst_n,
  248. input clk,
  249. input init_tmr, // tRP timer
  250. input rfsh_tmr, // tREFI/2 timer
  251. // SDRAM hardware interface
  252. output sr_cs_n, // SDRAM CS#
  253. output sr_ras_n, // SDRAM RAS#
  254. output sr_cas_n, // SDRAM CAS#
  255. output sr_we_n, // SDRAM WE#
  256. output [1:0] sr_dqm, // SDRAM DQM (per byte)
  257. output [1:0] sr_ba, // SDRAM bank selects
  258. output [12:0] sr_a, // SDRAM address bus
  259. inout [15:0] sr_dq, // SDRAM data bus
  260. // Port 1
  261. dram_bus.ustr port1 [1:port1_count],
  262. // Port 2
  263. input [24:1] a2,
  264. input [15:0] wd2,
  265. input [1:0] wrq2,
  266. output reg wacc2 // Data accepted, advance data & addr
  267. );
  268. `include "functions.sv" // For modelsim
  269. // Mode register data
  270. wire mrd_wburst = 1'b1; // Write bursts enabled
  271. wire [2:0] mrd_cl = t_cl;
  272. wire [2:0] mrd_burst = burst_lg2;
  273. wire mrd_interleave = 1'b0; // Interleaved bursts
  274. wire [12:0] mrd_val = { 3'b000, // Reserved
  275. ~mrd_wburst, // Write burst disable
  276. 2'b00, // Normal operation
  277. mrd_cl, // CAS latency
  278. mrd_interleave, // Interleaved bursts
  279. mrd_burst }; // Burst length
  280. // Where to issue a PRECHARGE when we only want to read one word
  281. // (terminate the burst as soon as possible, but no sooner...)
  282. localparam t_pre_rd_when = max(t_ras, t_rcd + 1);
  283. // Where to issue a PRECHARGE when we only want to write one word
  284. // (terminate the burst as soon as possible, but no sooner...)
  285. localparam t_pre_wr_when = max(t_ras, t_rcd + t_wr);
  286. // Actual burst length (2^burst_lg2)
  287. localparam burst_n = 1 << burst_lg2;
  288. // Command opcodes and attributes (is_rfsh, CS#, RAS#, CAS#, WE#)
  289. localparam cmd_desl = 5'b0_1111; // Deselect (= NOP)
  290. localparam cmd_nop = 5'b0_0111; // NO OPERATION
  291. localparam cmd_bst = 5'b0_0110; // BURST TERMINATE
  292. localparam cmd_rd = 5'b0_0101; // READ
  293. localparam cmd_wr = 5'b0_0100; // WRITE
  294. localparam cmd_act = 5'b0_0011; // ACTIVE
  295. localparam cmd_pre = 5'b0_0010; // PRECHARGE
  296. localparam cmd_ref = 5'b1_0001; // AUTO REFRESH
  297. localparam cmd_mrd = 5'b0_0000; // LOAD MODE REGISTER
  298. reg [4:0] dram_cmd;
  299. wire is_rfsh = dram_cmd[4];
  300. assign sr_cs_n = dram_cmd[3];
  301. assign sr_ras_n = dram_cmd[2];
  302. assign sr_cas_n = dram_cmd[1];
  303. assign sr_we_n = dram_cmd[0];
  304. // SDRAM output signal registers
  305. reg [12:0] dram_a;
  306. assign sr_a = dram_a;
  307. reg [1:0] dram_ba;
  308. assign sr_ba = dram_ba;
  309. reg [1:0] dram_dqm;
  310. assign sr_dqm = dram_dqm;
  311. reg [15:0] dram_d; // Data to DRAM
  312. reg [15:0] dram_q; // Data from DRAM (I/O buffers)
  313. reg dram_d_en; // Drive data out
  314. assign sr_dq = dram_d_en ? dram_d : 16'hzzzz;
  315. // Refresh timer logic
  316. reg rfsh_tmr_q;
  317. reg [1:0] rfsh_prio; // Refresh priority (0-3)
  318. // Port1 and refresh arbiter
  319. dram_bus p1 ();
  320. wire do_rfsh;
  321. assign p1.rst_n = rst_n;
  322. assign p1.clk = clk;
  323. dram_arbiter #(.port_count(port1_count))
  324. arbiter (
  325. .ustr ( port1 ),
  326. .dstr ( p1.dstr ),
  327. .rfsh_prio ( rfsh_prio ),
  328. .do_rfsh ( do_rfsh )
  329. );
  330. // The actual values are unimportant; the compiler will optimize
  331. // the state machine implementation.
  332. typedef enum logic [3:0] {
  333. st_reset, // Reset until init timer expires
  334. st_init_rfsh, // Refresh cycles during initialization
  335. st_init_mrd, // MRD register write during initialization
  336. st_ready, // Ready to issue command in the next cycle
  337. st_rfsh, // Refresh cycle
  338. st_rd_wr_act, // Port 1 ACT command
  339. st_rd_wr, // Port 1 transaction
  340. st_wr2_act, // Port 2 write ACT command
  341. st_wr2 // Port 2 write (burstable)
  342. } state_t;
  343. state_t state = st_reset;
  344. always @(posedge clk or negedge rst_n)
  345. if (~rst_n)
  346. begin
  347. rfsh_tmr_q <= 1'b0;
  348. rfsh_prio <= 2'b00;
  349. end
  350. else
  351. begin
  352. rfsh_tmr_q <= rfsh_tmr; // Edge detect
  353. // Refresh priority management: saturating 2-bit counter
  354. if (is_rfsh)
  355. rfsh_prio <= 2'b00; // This is a refresh cycle
  356. else if (rfsh_tmr & ~rfsh_tmr_q)
  357. rfsh_prio <= rfsh_prio + (~&rfsh_prio);
  358. end // else: !if(~rst_n)
  359. reg [5:0] op_ctr; // Cycle into the current state
  360. wire [3:0] op_cycle = op_ctr[3:0]; // Cycle into the current command
  361. wire [1:0] init_op_ctr = op_ctr[5:4]; // Init operation counter
  362. reg op_zero; // op_cycle wrap around (init_op_ctr changed)
  363. reg [31:0] wdata_q;
  364. reg [ 3:0] be_q;
  365. reg [24:0] addr;
  366. reg wrq2_more;
  367. wire [13:0] row_addr = addr[24:12];
  368. wire [1:0] bank_addr = addr[11:10];
  369. wire [8:0] col_addr = addr[9:1];
  370. assign p1.addr0 = addr[0];
  371. assign p1.rd = dram_q;
  372. //
  373. // Careful with the timing here... there is one cycle between
  374. // registers and wires, and the DRAM observes the clock 1/2
  375. // cycle from the internal logic. This affects read timing.
  376. //
  377. // Note that rready starts out as 1. This allows a 0->1 detection
  378. // on the rready line to be used as cycle termination signal.
  379. //
  380. always @(posedge clk or negedge rst_n)
  381. if (~rst_n)
  382. begin
  383. dram_cmd <= cmd_desl;
  384. dram_a <= 13'hxxxx;
  385. dram_ba <= 2'bxx;
  386. dram_dqm <= 2'b00;
  387. dram_d <= 16'hxxxx;
  388. dram_q <= 16'hxxxx;
  389. dram_d_en <= 1'b1; // Don't float except during read
  390. op_ctr <= 6'h0;
  391. op_zero <= 1'b0;
  392. state <= st_reset;
  393. p1.start <= 1'b0;
  394. p1.wrack <= 1'bx;
  395. p1.rd <= 16'hxxxx;
  396. p1.rstrb <= 2'b00;
  397. wacc2 <= 1'b0;
  398. wrq2_more <= 1'bx;
  399. wdata_q <= 32'hxxxx_xxxx;
  400. be_q <= 4'bxxxx;
  401. addr <= 25'bx;
  402. end
  403. else
  404. begin
  405. // Default values
  406. dram_a <= 13'b0;
  407. dram_ba <= bank_addr;
  408. dram_dqm <= 2'b00;
  409. dram_d <= { 8'hAA, 3'b000, dram_cmd };
  410. dram_cmd <= cmd_nop;
  411. dram_d_en <= 1'b1; // Don't float except during read
  412. dram_q <= sr_dq;
  413. p1.rstrb <= 2'b00;
  414. wacc2 <= 1'b0;
  415. op_ctr <= op_ctr + 1'b1;
  416. op_zero <= &op_cycle; // About to wrap around
  417. p1.start <= 1'b0;
  418. case (state)
  419. st_reset:
  420. begin
  421. op_ctr <= 6'b0;
  422. op_zero <= 1'b0;
  423. dram_a[10] <= 1'b1; // Precharge all banks
  424. dram_cmd <= cmd_nop;
  425. if (init_tmr)
  426. begin
  427. dram_cmd <= cmd_pre;
  428. state <= st_init_rfsh;
  429. end
  430. end
  431. st_init_rfsh:
  432. begin
  433. if (op_zero)
  434. begin
  435. dram_cmd <= cmd_ref;
  436. if (init_op_ctr == 2'b11)
  437. state <= st_init_mrd;
  438. end
  439. end
  440. st_init_mrd:
  441. begin
  442. dram_a <= mrd_val;
  443. dram_ba <= 2'b00;
  444. if (op_zero)
  445. if (init_op_ctr[0])
  446. state <= st_ready;
  447. else
  448. dram_cmd <= cmd_mrd;
  449. end
  450. st_ready:
  451. begin
  452. op_ctr <= 6'b0;
  453. op_zero <= 1'b0;
  454. dram_cmd <= cmd_desl;
  455. p1.wrack <= 1'bx;
  456. be_q <= 4'bxxxx;
  457. wdata_q <= 32'hxxxx_xxxx;
  458. addr <= 25'bx;
  459. dram_a <= 13'h1bb;
  460. dram_d <= 16'hbbbb;
  461. // Port 1 and refresh have priority over port 2;
  462. // the various port 1 instances and refresh have
  463. // priorities set by the arbiter block.
  464. if (do_rfsh)
  465. begin
  466. state <= st_rfsh;
  467. end
  468. else if (p1.req)
  469. begin
  470. addr <= p1.addr;
  471. p1.wrack <= |p1.wstrb;
  472. wdata_q <= p1.wd;
  473. be_q <= p1.wstrb;
  474. state <= st_rd_wr_act;
  475. p1.start <= 1'b1;
  476. end // if (p1.req)
  477. else if (wrq2[0])
  478. begin
  479. // Begin port 2 write
  480. addr <= { a2, 1'b0 };
  481. state <= st_wr2_act;
  482. end
  483. end // case: st_ready
  484. st_rfsh: begin
  485. if (op_cycle == 0)
  486. dram_cmd <= cmd_ref;
  487. else if (op_cycle == t_rfc-2)
  488. state <= st_ready;
  489. end
  490. st_rd_wr_act: begin
  491. op_ctr <= 6'b0;
  492. op_zero <= 1'b0;
  493. dram_cmd <= cmd_act;
  494. dram_a <= row_addr;
  495. dram_ba <= bank_addr;
  496. state <= st_rd_wr;
  497. end
  498. st_rd_wr:
  499. begin
  500. dram_d_en <= p1.wrack;
  501. dram_dqm <= {2{p1.wrack}};
  502. dram_d <= 16'hcccc ^ {16{p1.wrack}};
  503. // Commands
  504. //
  505. // This assumes:
  506. // tRCD = 3
  507. // rRRD = 2
  508. // CL = 3
  509. // tRC = 10
  510. // tRAS = 7
  511. // tWR = 2
  512. // tRP = 3
  513. //
  514. case (op_cycle)
  515. 2: begin
  516. dram_a[10] <= 1'b0; // No auto precharge
  517. dram_a[8:0] <= col_addr;
  518. dram_cmd <= p1.wrack ? cmd_wr : cmd_rd;
  519. dram_d <= wdata_q[15:0];
  520. dram_dqm <= {2{p1.wrack}} & ~be_q[1:0];
  521. end
  522. 3: begin
  523. dram_d <= wdata_q[31:16];
  524. dram_dqm <= {2{p1.wrack}} & ~be_q[3:2];
  525. end
  526. 6: begin
  527. // Earliest legal cycle to precharge
  528. // It seems auto precharge violates tRAS(?)
  529. // so do it explicitly.
  530. dram_a[10] <= 1'b1; // One bank
  531. dram_cmd <= cmd_pre;
  532. end
  533. // CL+2 cycles after the read command
  534. // The +2 accounts for internal and I/O delays
  535. 7: begin
  536. p1.rstrb[0] <= ~p1.wrack;
  537. end
  538. 8: begin
  539. p1.rstrb[1] <= ~p1.wrack;
  540. state <= st_ready;
  541. end
  542. default: begin
  543. // Do nothing
  544. end
  545. endcase // case (op_cycle)
  546. end // case: st_rd_wr
  547. st_wr2_act:
  548. begin
  549. op_ctr <= 6'b0;
  550. op_zero <= 1'b0;
  551. dram_a <= row_addr;
  552. dram_ba <= bank_addr;
  553. dram_cmd <= cmd_act;
  554. state <= st_wr2;
  555. end
  556. st_wr2:
  557. begin
  558. // Streamable write from flash ROM
  559. // Note: wacc is asserted in the cycle *before* the
  560. // data and address is latched/consumed.
  561. dram_d <= wd2;
  562. dram_a[10] <= 1'b0; // No auto precharge/precharge one bank
  563. dram_a[8:0] <= a2[9:1];
  564. dram_dqm <= 2'b11;
  565. case (op_cycle)
  566. 0, 1: begin
  567. wacc2 <= 1'b1;
  568. dram_dqm <= 2'b00;
  569. end
  570. 2: begin
  571. dram_cmd <= cmd_wr;
  572. wacc2 <= 1'b1;
  573. dram_dqm <= 2'b00;
  574. wrq2_more <= wrq2[1] & (~&a2[9:3]);
  575. end
  576. 3: begin
  577. wacc2 <= 1'b1;
  578. dram_dqm <= 2'b00;
  579. end
  580. 4: begin
  581. dram_cmd <= cmd_wr;
  582. dram_dqm <= 2'b00;
  583. if (wrq2_more & ~(p1.req | do_rfsh))
  584. begin
  585. // Burst can continue
  586. wacc2 <= 1'b1;
  587. op_ctr[3:0] <= 4'd1;
  588. end
  589. end
  590. 5: begin
  591. dram_dqm <= 2'b00;
  592. end
  593. 6: begin
  594. // Nothing
  595. end
  596. 7: begin
  597. // tWR completed
  598. dram_cmd <= cmd_pre;
  599. end
  600. 8: begin
  601. // tRP will be complete before the next ACT
  602. state <= st_ready;
  603. end
  604. default: begin
  605. // Do nothing
  606. end
  607. endcase // case (op_cycle)
  608. end // case: st_wr2
  609. endcase // case(state)
  610. end // else: !if(~rst_n)
  611. endmodule // dram