123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189 |
- TOP_LEVEL_NAME="int_osc"
- QSYS_SIMDIR="./../"
- QUARTUS_INSTALL_DIR="/opt/altera/21.1/quartus/"
- SKIP_FILE_COPY=0
- SKIP_DEV_COM=0
- SKIP_COM=0
- SKIP_ELAB=0
- SKIP_SIM=0
- USER_DEFINED_ELAB_OPTIONS=""
- USER_DEFINED_SIM_OPTIONS="-input \"@run 100; exit\""
- for expression in "$@"; do
- eval $expression
- if [ $? -ne 0 ]; then
- echo "Error: This command line argument, \"$expression\", is/has an invalid expression." >&2
- exit $?
- fi
- done
- ELAB_OPTIONS=""
- SIM_OPTIONS=""
- if [[ `ncsim -version` != *"ncsim(64)"* ]]; then
- :
- else
- :
- fi
- mkdir -p ./libraries/work/
- mkdir -p ./libraries/int_osc_0/
- mkdir -p ./libraries/altera_ver/
- mkdir -p ./libraries/lpm_ver/
- mkdir -p ./libraries/sgate_ver/
- mkdir -p ./libraries/altera_mf_ver/
- mkdir -p ./libraries/altera_lnsim_ver/
- mkdir -p ./libraries/cycloneive_ver/
- if [ $SKIP_DEV_COM -eq 0 ]; then
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.v" -work altera_ver
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.v" -work lpm_ver
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.v" -work sgate_ver
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.v" -work altera_mf_ver
- ncvlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim.sv" -work altera_lnsim_ver
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/cycloneive_atoms.v" -work cycloneive_ver
- fi
- if [ $SKIP_COM -eq 0 ]; then
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/submodules/altera_int_osc.v" -work int_osc_0 -cdslib ./cds_libs/int_osc_0.cds.lib
- ncvlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/int_osc.v"
- fi
- if [ $SKIP_ELAB -eq 0 ]; then
- export GENERIC_PARAM_COMPAT_CHECK=1
- ncelab -access +w+r+c -namemap_mixgen $ELAB_OPTIONS $USER_DEFINED_ELAB_OPTIONS $TOP_LEVEL_NAME
- fi
- if [ $SKIP_SIM -eq 0 ]; then
- eval ncsim -licqueue $SIM_OPTIONS $USER_DEFINED_SIM_OPTIONS $TOP_LEVEL_NAME
- fi
|