max80.sv 26 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932
  1. //
  2. // Top level module for the FPGA on the MAX80 board by
  3. // Per Mårtensson and H. Peter Anvin
  4. //
  5. // This is for MAX80 as slave on the ABC-bus.
  6. //
  7. // Sharing JTAG pins (via JTAGEN)
  8. `undef SHARED_JTAG
  9. module max80
  10. #(
  11. // Pull-up installed on RTC 32 kHz line
  12. parameter rtc_32khz_rework = 1'b0
  13. ) (
  14. // Clock oscillator
  15. input clock_48, // 48 MHz
  16. // ABC-bus
  17. input abc_clk, // ABC-bus 3 MHz clock
  18. input [15:0] abc_a, // ABC address bus
  19. inout [7:0] abc_d, // ABC data bus
  20. output reg abc_d_oe, // Data bus output enable
  21. input abc_rst_n, // ABC bus reset strobe
  22. input abc_cs_n, // ABC card select strobe
  23. input [4:0] abc_out_n, // OUT, C1-C4 strobe
  24. input [1:0] abc_inp_n, // INP, STATUS strobe
  25. input abc_xmemfl_n, // Memory read strobe
  26. input abc_xmemw800_n, // Memory write strobe (ABC800)
  27. input abc_xmemw80_n, // Memory write strobe (ABC80)
  28. input abc_xinpstb_n, // I/O read strobe (ABC800)
  29. input abc_xoutpstb_n, // I/O write strobe (ABC80)
  30. // The following are inverted versus the bus IF
  31. // the corresponding MOSFETs are installed
  32. output abc_rdy_x, // RDY = WAIT#
  33. output abc_resin_x, // System reset request
  34. output abc_int80_x, // System INT request (ABC80)
  35. output abc_int800_x, // System INT request (ABC800)
  36. output abc_nmi_x, // System NMI request (ABC800)
  37. output abc_xm_x, // System memory override (ABC800)
  38. // Host/device control
  39. output abc_master, // 1 = host, 0 = device
  40. output abc_a_oe,
  41. // Bus isolation
  42. output abc_d_ce_n,
  43. // ABC-bus extension header
  44. // (Note: cannot use an array here because HC and HH are
  45. // input only.)
  46. inout exth_ha,
  47. inout exth_hb,
  48. input exth_hc,
  49. inout exth_hd,
  50. inout exth_he,
  51. inout exth_hf,
  52. inout exth_hg,
  53. input exth_hh,
  54. // SDRAM bus
  55. output sr_clk,
  56. output sr_cke,
  57. output [1:0] sr_ba, // Bank address
  58. output [12:0] sr_a, // Address within bank
  59. inout [15:0] sr_dq, // Also known as D or IO
  60. output [1:0] sr_dqm, // DQML and DQMH
  61. output sr_cs_n,
  62. output sr_we_n,
  63. output sr_cas_n,
  64. output sr_ras_n,
  65. // SD card
  66. output sd_clk,
  67. output sd_cmd,
  68. inout [3:0] sd_dat,
  69. // USB serial (naming is FPGA as DCE)
  70. input tty_txd,
  71. output tty_rxd,
  72. input tty_rts,
  73. output tty_cts,
  74. input tty_dtr,
  75. // SPI flash memory (also configuration)
  76. output flash_cs_n,
  77. output flash_sck,
  78. inout [1:0] flash_io,
  79. // SPI bus (connected to ESP32 so can be bidirectional)
  80. inout spi_clk,
  81. inout spi_miso,
  82. inout spi_mosi,
  83. inout spi_cs_esp_n, // ESP32 IO10
  84. inout spi_cs_flash_n, // ESP32 IO01
  85. // Other ESP32 connections
  86. inout esp_io0, // ESP32 IO00
  87. inout esp_int, // ESP32 IO09
  88. // I2C bus (RTC and external)
  89. inout i2c_scl,
  90. inout i2c_sda,
  91. input rtc_32khz,
  92. input rtc_int_n,
  93. // LED (2 = D23/G, 1 = D22/R, 0 = D17/B)
  94. output [2:0] led,
  95. // GPIO pins
  96. inout [5:0] gpio,
  97. // HDMI
  98. output [2:0] hdmi_d,
  99. output hdmi_clk,
  100. inout hdmi_scl,
  101. inout hdmi_sda,
  102. inout hdmi_hpd
  103. );
  104. // Set if MOSFETs Q1-Q6 are installed rather than the corresponding
  105. // resistors.
  106. parameter [6:1] mosfet_installed = 6'b000_000;
  107. // PLL and reset
  108. parameter reset_pow2 = 12; // Assert internal reset for 4096 cycles after PLL lock
  109. reg rst_n = 1'b0; // Internal reset
  110. wire [1:0] pll_locked;
  111. // Clocks
  112. wire sdram_clk; // SDRAM clock
  113. wire sdram_out_clk; // SDRAM clock, phase shifted
  114. wire sys_clk; // System clock
  115. wire vid_clk; // Video pixel clock
  116. wire vid_hdmiclk; // D:o in the HDMI clock domain
  117. wire flash_clk; // Serial flash ROM clock
  118. reg reset_cmd_q = 1'b0;
  119. wire reset_cmd;
  120. pll pll (
  121. .areset ( reset_cmd_q ),
  122. .inclk0 ( clock_48 ),
  123. .c0 ( sdram_out_clk ), // SDRAM external clock (168 MHz)
  124. .c1 ( sys_clk ), // System clock (84 MHz)
  125. .c2 ( vid_clk ), // Video pixel clock (48 MHz)
  126. .c3 ( flash_clk ), // Serial flash ROM clock (134 MHz)
  127. .c4 ( sdram_clk ), // SDRAM internal clock (168 MHz)
  128. .locked ( pll_locked[0] ),
  129. .phasestep ( 1'b0 ),
  130. .phasecounterselect ( 3'b0 ),
  131. .phaseupdown ( 1'b1 ),
  132. .scanclk ( 1'b0 ),
  133. .phasedone ( )
  134. );
  135. wire all_plls_locked = &pll_locked;
  136. // sys_clk pulse generation of various powers of two
  137. // Also used to generate rst_n
  138. reg [23:1] sys_clk_ctr;
  139. reg [23:1] sys_clk_ctr_q;
  140. reg [23:1] sys_clk_stb;
  141. always @(negedge all_plls_locked or posedge sys_clk)
  142. if (~&all_plls_locked)
  143. begin
  144. rst_n <= 1'b0;
  145. reset_cmd_q <= 1'b0;
  146. sys_clk_ctr <= 1'b0;
  147. sys_clk_ctr_q <= 1'b0;
  148. sys_clk_stb <= 1'b0;
  149. end
  150. else
  151. begin
  152. sys_clk_ctr <= sys_clk_ctr + 1'b1;
  153. sys_clk_ctr_q <= sys_clk_ctr;
  154. sys_clk_stb <= ~sys_clk_ctr & sys_clk_ctr_q;
  155. reset_cmd_q <= rst_n & (reset_cmd_q | reset_cmd);
  156. rst_n <= rst_n | sys_clk_stb[reset_pow2];
  157. end
  158. // Unused device stubs - remove when used
  159. // Reset in the video clock domain
  160. reg vid_rst_n;
  161. always @(negedge all_plls_locked or posedge vid_clk)
  162. if (~all_plls_locked)
  163. vid_rst_n <= 1'b0;
  164. else
  165. vid_rst_n <= rst_n;
  166. // HDMI - generate random data to give Quartus something to do
  167. reg [23:0] dummydata = 30'hc8_fb87;
  168. always @(posedge vid_clk)
  169. dummydata <= { dummydata[22:0], dummydata[23] };
  170. wire [7:0] hdmi_data[3];
  171. wire [9:0] hdmi_tmds[3];
  172. wire [29:0] hdmi_to_tx;
  173. assign hdmi_data[0] = dummydata[7:0];
  174. assign hdmi_data[1] = dummydata[15:8];
  175. assign hdmi_data[2] = dummydata[23:16];
  176. generate
  177. genvar i;
  178. for (i = 0; i < 3; i = i + 1)
  179. begin : hdmitmds
  180. tmdsenc enc (
  181. .rst_n ( vid_rst_n ),
  182. .clk ( vid_clk ),
  183. .den ( 1'b1 ),
  184. .d ( hdmi_data[i] ),
  185. .c ( 2'b00 ),
  186. .q ( hdmi_tmds[i] )
  187. );
  188. end
  189. endgenerate
  190. assign hdmi_scl = 1'bz;
  191. assign hdmi_sda = 1'bz;
  192. assign hdmi_hpd = 1'bz;
  193. //
  194. // The ALTLVDS_TX megafunctions is MSB-first and in time-major order.
  195. // However, TMDS is LSB-first, and we have three TMDS words that
  196. // concatenate in word(channel)-major order.
  197. //
  198. transpose #(.words(3), .bits(10), .reverse_b(1),
  199. .reg_d(0), .reg_q(0)) hdmitranspose
  200. (
  201. .clk ( vid_clk ),
  202. .d ( { hdmi_tmds[2], hdmi_tmds[1], hdmi_tmds[0] } ),
  203. .q ( hdmi_to_tx )
  204. );
  205. hdmitx hdmitx (
  206. .pll_areset ( ~pll_locked[0] ),
  207. .tx_in ( hdmi_to_tx ),
  208. .tx_inclock ( vid_clk ),
  209. .tx_coreclock ( vid_hdmiclk ), // Pixel clock in HDMI domain
  210. .tx_locked ( pll_locked[1] ),
  211. .tx_out ( hdmi_d ),
  212. .tx_outclock ( hdmi_clk )
  213. );
  214. //
  215. // ABC bus basic interface
  216. //
  217. assign abc_master = 1'b0; // Only device mode supported
  218. assign abc_d_ce_n = 1'b0; // Do not isolate busses
  219. // On ABC800, only one of XINPSTB# or XOUTPSTB# will be active;
  220. // on ABC80 they will either be 00 or ZZ; in the latter case pulled
  221. // low by external resistors.
  222. wire abc800 = abc_xinpstb_n | abc_xoutpstb_n;
  223. wire abc80 = ~abc800;
  224. // Memory read/write strobes
  225. wire abc_xmemrd = ~abc_xmemfl_n; // For consistency
  226. wire abc_xmemwr = abc800 ? ~abc_xmemw800_n : ~abc_xmemw80_n;
  227. // I/O read/write strobes
  228. wire abc_iord = (abc800 & ~abc_xinpstb_n) | ~(|abc_inp_n);
  229. wire abc_iowr = (abc800 & ~abc_xoutpstb_n) | ~(|abc_out_n);
  230. reg [7:0] abc_do;
  231. reg [7:0] abc_di;
  232. reg [15:0] abc_a_q;
  233. assign abc_d = abc_d_oe ? abc_do : 8'hzz;
  234. always @(posedge sdram_clk)
  235. begin
  236. abc_di <= abc_d;
  237. abc_a_q <= abc_a;
  238. end
  239. // Open drain signals with optional MOSFETs
  240. wire abc_wait;
  241. wire abc_resin;
  242. wire abc_int;
  243. wire abc_nmi;
  244. wire abc_xm;
  245. function reg opt_mosfet(input signal, input mosfet);
  246. if (mosfet)
  247. opt_mosfet = signal;
  248. else
  249. opt_mosfet = signal ? 1'b0 : 1'bz;
  250. endfunction // opt_mosfet
  251. assign abc_int80_x = opt_mosfet(abc_int & abc80, mosfet_installed[1]);
  252. assign abc_rdy_x = opt_mosfet(abc_wait, mosfet_installed[2]);
  253. assign abc_nmi_x = opt_mosfet(abc_nmi, mosfet_installed[3]);
  254. assign abc_resin_x = opt_mosfet(abc_resin, mosfet_installed[4]);
  255. assign abc_int800_x = opt_mosfet(abc_int & abc800, mosfet_installed[5]);
  256. assign abc_xm_x = opt_mosfet(abc_xm, mosfet_installed[6]);
  257. // Detect ABC-bus clock: need a minimum frequency of 84/64 MHz
  258. // to be considered live...
  259. reg [2:0] abc_clk_ctr;
  260. reg [1:0] abc_clk_q;
  261. reg abc_clk_active;
  262. always @(negedge rst_n or posedge sys_clk)
  263. if (~rst_n)
  264. begin
  265. abc_clk_q <= 2'b0;
  266. abc_clk_ctr <= 3'b0;
  267. abc_clk_active <= 1'b0;
  268. end
  269. else
  270. begin
  271. abc_clk_q <= { abc_clk_q[0], abc_clk };
  272. case ( {(abc_clk_q == 2'b10), sys_clk_stb[6]} )
  273. 5'b10: begin
  274. if (abc_clk_ctr == 3'b111)
  275. abc_clk_active <= 1'b1;
  276. else
  277. abc_clk_ctr <= abc_clk_ctr + 1'b1;
  278. end
  279. 5'b01: begin
  280. if (abc_clk_ctr == 3'b000)
  281. abc_clk_active <= 1'b0;
  282. else
  283. abc_clk_ctr <= abc_clk_ctr - 1'b1;
  284. end
  285. default: begin
  286. // nothing
  287. end
  288. endcase // case ( {(abc_clk_q == 2'10), sys_clk_stb[6]} )
  289. end // else: !if(~rst_n)
  290. // ABC-bus extension header (exth_c and exth_h are input only)
  291. // The naming of pins is kind of nonsensical:
  292. //
  293. // +3V3 - 1 2 - +3V3
  294. // HA - 3 4 - HE
  295. // HB - 5 6 - HG
  296. // HC - 7 8 - HH
  297. // HD - 9 10 - HF
  298. // GND - 11 12 - GND
  299. //
  300. // This layout allows the header to be connected on either side
  301. // of the board. This logic assigns the following names to the pins;
  302. // if the ext_reversed is set to 1 then the left and right sides
  303. // are flipped.
  304. //
  305. // +3V3 - 1 2 - +3V3
  306. // exth[0] - 3 4 - exth[1]
  307. // exth[2] - 5 6 - exth[3]
  308. // exth[6] - 7 8 - exth[7]
  309. // exth[4] - 9 10 - exth[5]
  310. // GND - 11 12 - GND
  311. wire exth_reversed = 1'b0;
  312. wire [7:0] exth_d; // Input data
  313. wire [5:0] exth_q; // Output data
  314. wire [5:0] exth_oe; // Output enable
  315. assign exth_d[0] = exth_reversed ? exth_he : exth_ha;
  316. assign exth_d[1] = exth_reversed ? exth_ha : exth_he;
  317. assign exth_d[2] = exth_reversed ? exth_hg : exth_hb;
  318. assign exth_d[3] = exth_reversed ? exth_hb : exth_hg;
  319. assign exth_d[4] = exth_reversed ? exth_hf : exth_hd;
  320. assign exth_d[5] = exth_reversed ? exth_hd : exth_hf;
  321. assign exth_d[6] = exth_reversed ? exth_hh : exth_hc;
  322. assign exth_d[7] = exth_reversed ? exth_hc : exth_hh;
  323. wire [2:0] erx = { 2'b00, exth_reversed };
  324. assign exth_ha = exth_oe[3'd0 ^ erx] ? exth_q[3'd0 ^ erx] : 1'bz;
  325. assign exth_he = exth_oe[3'd1 ^ erx] ? exth_q[3'd1 ^ erx] : 1'bz;
  326. assign exth_hb = exth_oe[3'd2 ^ erx] ? exth_q[3'd2 ^ erx] : 1'bz;
  327. assign exth_hg = exth_oe[3'd3 ^ erx] ? exth_q[3'd3 ^ erx] : 1'bz;
  328. assign exth_hd = exth_oe[3'd4 ^ erx] ? exth_q[3'd4 ^ erx] : 1'bz;
  329. assign exth_hf = exth_oe[3'd5 ^ erx] ? exth_q[3'd5 ^ erx] : 1'bz;
  330. assign exth_q = 6'b0;
  331. assign exth_oe = 6'b0;
  332. //
  333. // Internal CPU bus
  334. //
  335. wire cpu_mem_valid;
  336. wire cpu_mem_instr;
  337. wire [ 3:0] cpu_mem_wstrb;
  338. wire [31:0] cpu_mem_addr;
  339. wire [31:0] cpu_mem_wdata;
  340. reg [31:0] cpu_mem_rdata;
  341. wire cpu_mem_ready;
  342. wire cpu_la_read;
  343. wire cpu_la_write;
  344. wire [31:0] cpu_la_addr;
  345. wire [31:0] cpu_la_wdata;
  346. wire [ 3:0] cpu_la_wstrb;
  347. // cpu_mem_valid by address quadrant
  348. wire [ 3:0] cpu_mem_quad = cpu_mem_valid << cpu_mem_addr[31:30];
  349. // I/O device map from iodevs.conf
  350. wire iodev_mem_valid = cpu_mem_quad[3];
  351. `include "iodevs.vh"
  352. // ABC SDRAM interface
  353. reg abc_rrq;
  354. reg abc_wrq;
  355. reg abc_xmemrd_q;
  356. reg abc_xmemwr_q;
  357. reg abc_racked;
  358. reg abc_wacked;
  359. wire [15:0] abc_mempg;
  360. wire abc_rden;
  361. wire abc_wren;
  362. reg [7:0] abc_r_q;
  363. wire abc_rack;
  364. wire abc_wack;
  365. wire abc_rready;
  366. wire [7:0] abc_sr_rd;
  367. //
  368. // Memory map for ABC-bus memory references.
  369. // 512 byte granularity,
  370. // bit [15:0] = SDRAM bits [24:9]
  371. // bit [16] = write enable
  372. // bit [17] = read enable
  373. //
  374. // Accesses from the internal CPU supports 32-bit accesses only!
  375. //
  376. abcmapram abcmapram (
  377. .aclr ( ~rst_n ),
  378. .clock ( sdram_clk ),
  379. .address_a ( abc_a_q[15:9] ),
  380. .data_a ( 18'bx ),
  381. .wren_a ( 1'b0 ),
  382. .q_a ( { abc_rden, abc_wren, abc_mempg } ),
  383. .address_b ( cpu_mem_addr[8:2] ),
  384. .data_b ( cpu_mem_wdata[17:0] ),
  385. .wren_b ( iodev_valid_abcmemmap & cpu_mem_wstrb[0] ),
  386. .q_b ( iodev_rdata_abcmemmap )
  387. );
  388. always @(posedge sdram_clk or negedge rst_n)
  389. if (~rst_n)
  390. begin
  391. abc_d_oe <= 1'b0;
  392. abc_rrq <= 1'b0;
  393. abc_wrq <= 1'b0;
  394. abc_xmemrd_q <= 1'b0;
  395. abc_xmemwr_q <= 1'b0;
  396. abc_racked <= 1'b0;
  397. abc_wacked <= 1'b0;
  398. end
  399. else
  400. begin
  401. abc_d_oe <= 1'b0;
  402. abc_di <= abc_d;
  403. abc_xmemrd_q <= abc_xmemrd & abc_rden;
  404. abc_xmemwr_q <= abc_xmemwr & abc_wren;
  405. abc_racked <= abc_xmemrd_q & (abc_rack | abc_racked);
  406. abc_wacked <= abc_xmemwr_q & (abc_wack | abc_wacked);
  407. abc_rrq <= abc_xmemrd_q & ~abc_racked;
  408. abc_wrq <= abc_xmemwr_q & ~abc_wacked;
  409. if (abc_xmemrd_q & abc_racked & abc_rready)
  410. begin
  411. abc_do <= abc_sr_rd;
  412. abc_d_oe <= 1'b1;
  413. end
  414. end // else: !if(~rst_n)
  415. //
  416. // SDRAM
  417. //
  418. wire [31:0] sdram_rd;
  419. wire sdram_rack;
  420. wire sdram_rready;
  421. wire sdram_wack;
  422. reg sdram_acked;
  423. wire [15:0] sdram_rom_wd;
  424. wire [24:1] sdram_rom_waddr;
  425. wire [ 1:0] sdram_rom_wrq;
  426. wire sdram_rom_wacc;
  427. always @(posedge sdram_clk)
  428. sdram_acked <= cpu_mem_quad[1] & (sdram_acked | sdram_rack | sdram_wack);
  429. wire sdram_req = cpu_mem_quad[1] & ~sdram_acked;
  430. sdram sdram (
  431. .rst_n ( rst_n ),
  432. .clk ( sdram_clk ), // Internal clock
  433. .out_clk ( sdram_out_clk ), // External clock (phase shifted)
  434. .sr_clk ( sr_clk ), // Output clock buffer
  435. .sr_cke ( sr_cke ),
  436. .sr_cs_n ( sr_cs_n ),
  437. .sr_ras_n ( sr_ras_n ),
  438. .sr_cas_n ( sr_cas_n ),
  439. .sr_we_n ( sr_we_n ),
  440. .sr_dqm ( sr_dqm ),
  441. .sr_ba ( sr_ba ),
  442. .sr_a ( sr_a ),
  443. .sr_dq ( sr_dq ),
  444. .a0 ( { abc_mempg, abc_a_q[8:0] } ),
  445. .rd0 ( abc_sr_rd ),
  446. .rrq0 ( abc_rrq ),
  447. .rack0 ( abc_rack ),
  448. .rready0 ( abc_rready ),
  449. .wd0 ( abc_d_q ),
  450. .wrq0 ( abc_wrq ),
  451. .wack0 ( abc_wack ),
  452. .a1 ( cpu_mem_addr[24:2] ),
  453. .rd1 ( sdram_rd ),
  454. .rrq1 ( sdram_req & ~|cpu_mem_wstrb ),
  455. .rack1 ( sdram_rack ),
  456. .rready1 ( sdram_rready ),
  457. .wd1 ( cpu_mem_wdata ),
  458. .wstrb1 ( {4{sdram_req}} & cpu_mem_wstrb ),
  459. .wack1 ( sdram_wack ),
  460. .a2 ( sdram_rom_waddr ),
  461. .wd2 ( sdram_rom_wd ),
  462. .wrq2 ( sdram_rom_wrq ),
  463. .wacc2 ( sdram_rom_wacc )
  464. );
  465. // I2C
  466. assign i2c_scl = 1'bz;
  467. assign i2c_sda = 1'bz;
  468. // GPIO
  469. assign gpio = 6'bzzzzzz;
  470. // Embedded RISC-V CPU
  471. parameter cpu_fast_mem_bits = 13; /* 2^[this] * 4 bytes */
  472. // Edge-triggered IRQs. picorv32 latches interrupts
  473. // but doesn't edge detect for a slow signal, so do it
  474. // here instead and use level triggered signalling to the
  475. // CPU.
  476. wire [31:0] cpu_eoi;
  477. reg [31:0] cpu_eoi_q;
  478. // sys_irq defined in iodevs.vh
  479. reg [31:0] sys_irq_q;
  480. reg [31:0] cpu_irq;
  481. always @(negedge rst_n or posedge sys_clk)
  482. if (~rst_n)
  483. begin
  484. sys_irq_q <= 32'b0;
  485. cpu_eoi_q <= 32'b0;
  486. cpu_irq <= 32'b0;
  487. end
  488. else
  489. begin
  490. sys_irq_q <= sys_irq & irq_edge_mask;
  491. cpu_eoi_q <= cpu_eoi & irq_edge_mask;
  492. cpu_irq <= (sys_irq & ~sys_irq_q)
  493. | (cpu_irq & irq_edge_mask & ~(cpu_eoi & ~cpu_eoi_q));
  494. end
  495. picorv32 #(
  496. .ENABLE_COUNTERS ( 1 ),
  497. .ENABLE_COUNTERS64 ( 1 ),
  498. .ENABLE_REGS_16_31 ( 1 ),
  499. .ENABLE_REGS_DUALPORT ( 1 ),
  500. .LATCHED_MEM_RDATA ( 1 ),
  501. .BARREL_SHIFTER ( 1 ),
  502. .TWO_CYCLE_COMPARE ( 0 ),
  503. .TWO_CYCLE_ALU ( 0 ),
  504. .COMPRESSED_ISA ( 1 ),
  505. .CATCH_MISALIGN ( 1 ),
  506. .CATCH_ILLINSN ( 1 ),
  507. .ENABLE_FAST_MUL ( 1 ),
  508. .ENABLE_DIV ( 1 ),
  509. .ENABLE_IRQ ( 1 ),
  510. .ENABLE_IRQ_QREGS ( 1 ),
  511. .ENABLE_IRQ_TIMER ( 1 ),
  512. .MASKED_IRQ ( irq_masked ),
  513. .LATCHED_IRQ ( 32'h0000_0007 ),
  514. .REGS_INIT_ZERO ( 1 ),
  515. .STACKADDR ( 32'h4 << cpu_fast_mem_bits )
  516. )
  517. cpu (
  518. .clk ( sys_clk ),
  519. .resetn ( rst_n ),
  520. .trap ( ),
  521. .progaddr_reset ( 32'h0000_0000 ),
  522. .progaddr_irq ( 32'h0000_0020 ),
  523. .mem_instr ( cpu_mem_instr ),
  524. .mem_ready ( cpu_mem_ready ),
  525. .mem_valid ( cpu_mem_valid ),
  526. .mem_wstrb ( cpu_mem_wstrb ),
  527. .mem_addr ( cpu_mem_addr ),
  528. .mem_wdata ( cpu_mem_wdata ),
  529. .mem_rdata ( cpu_mem_rdata ),
  530. .mem_la_read ( cpu_la_read ),
  531. .mem_la_write ( cpu_la_write ),
  532. .mem_la_wdata ( cpu_la_wdata ),
  533. .mem_la_addr ( cpu_la_addr ),
  534. .mem_la_wstrb ( cpu_la_wstrb ),
  535. .irq ( cpu_irq ),
  536. .eoi ( cpu_eoi )
  537. );
  538. // cpu_mem_ready is always true for fast memory; for SDRAM we have to
  539. // wait either for a write ack or a low-high transition on the
  540. // read ready signal.
  541. reg sdram_rready_q;
  542. reg sdram_mem_ready;
  543. reg [31:0] sdram_rdata;
  544. always @(posedge sys_clk)
  545. begin
  546. sdram_rready_q <= sdram_rready;
  547. if (cpu_mem_quad[1])
  548. sdram_mem_ready <= sdram_mem_ready | sdram_wack |
  549. (sdram_rready & ~sdram_rready_q);
  550. else
  551. sdram_mem_ready <= 1'b0;
  552. sdram_rdata <= sdram_rd;
  553. end
  554. // Add a mandatory wait state to iodevs to reduce the size
  555. // of the CPU memory input MUX (it hurts timing on memory
  556. // accesses...)
  557. reg iodev_mem_ready;
  558. always @(*)
  559. case ( cpu_mem_quad )
  560. 4'b0000: cpu_mem_ready = 1'b0;
  561. 4'b0001: cpu_mem_ready = 1'b1;
  562. 4'b0010: cpu_mem_ready = sdram_mem_ready;
  563. 4'b0100: cpu_mem_ready = 1'b1;
  564. 4'b1000: cpu_mem_ready = iodev_mem_ready;
  565. default: cpu_mem_ready = 1'bx;
  566. endcase // case ( mem_quad )
  567. //
  568. // Fast memory. This runs on the SDRAM clock, i.e. 2x the speed
  569. // of the CPU. The .bits parameter gives the number of dwords
  570. // as a power of 2, i.e. 11 = 2^11 * 4 = 8K.
  571. //
  572. wire [31:0] fast_mem_rdata;
  573. fast_mem // #(.bits(cpu_fast_mem_bits), .mif("../fw/boot"))
  574. fast_mem(
  575. .rst_n ( rst_n ),
  576. .clk ( sys_clk ),
  577. .read ( cpu_la_read & cpu_la_addr[31:30] == 2'b00 ),
  578. .write ( cpu_la_write & cpu_la_addr[31:30] == 2'b00 ),
  579. .wstrb ( cpu_la_wstrb ),
  580. .addr ( cpu_la_addr[14:2] ),
  581. .wdata ( cpu_la_wdata ),
  582. .rdata ( fast_mem_rdata )
  583. );
  584. // Register I/O data to reduce the size of the read data MUX
  585. reg [31:0] iodev_rdata_q;
  586. // Read data MUX
  587. always @(*)
  588. case ( cpu_mem_quad )
  589. 4'b0001: cpu_mem_rdata = fast_mem_rdata;
  590. 4'b0010: cpu_mem_rdata = sdram_rdata;
  591. 4'b1000: cpu_mem_rdata = iodev_rdata_q;
  592. default: cpu_mem_rdata = 32'hxxxx_xxxx;
  593. endcase
  594. // Miscellaneous system control/status registers
  595. wire [ 4:0] sysreg_subreg = cpu_mem_addr[6:2];
  596. wire [31:0] sysreg = iodev_valid_sys << sysreg_subreg;
  597. tri1 [31:0] sysreg_rdata[0:31];
  598. assign iodev_sys_rdata = sysreg_rdata[sysreg_subreg];
  599. assign sysreg_rdata[0] = 32'h5058414d;
  600. assign sysreg_rdata[1] = { 31'b0, rtc_32khz_rework };
  601. // Hard system reset under program control
  602. assign reset_cmd = sysreg[3] & cpu_mem_wstrb[0] & cpu_mem_wdata[0];
  603. // ABC80/800 status
  604. assign iodev_rdata_abcbus = { 30'b0, abc800, abc_clk_active };
  605. reg [1:0] abc_status[0:1];
  606. always @(posedge sys_clk)
  607. begin
  608. abc_status[0] <= iodev_rdata_abcbus;
  609. abc_status[1] <= abc_status[0];
  610. end
  611. wire iodev_irq_abcbus = (abc_status[1] != abc_status[0]);
  612. // LED indication from the CPU
  613. reg [2:0] led_q;
  614. always @(negedge rst_n or posedge sys_clk)
  615. if (~rst_n)
  616. led_q <= 3'b000;
  617. else
  618. if ( sysreg[2] & cpu_mem_wstrb[0] )
  619. led_q <= cpu_mem_wdata[2:0];
  620. assign led = led_q;
  621. assign sysreg_rdata[2] = { 29'b0, led_q };
  622. //
  623. // Serial ROM (also configuration ROM.) Fast hardwired data download
  624. // unit to SDRAM.
  625. //
  626. wire rom_done;
  627. reg rom_done_q;
  628. spirom ddu (
  629. .rst_n ( rst_n ),
  630. .rom_clk ( flash_clk ),
  631. .ram_clk ( sdram_clk ),
  632. .spi_sck ( flash_sck ),
  633. .spi_io ( flash_io ),
  634. .spi_cs_n ( flash_cs_n ),
  635. .wd ( sdram_rom_wd ),
  636. .waddr ( sdram_rom_waddr ),
  637. .wrq ( sdram_rom_wrq ),
  638. .wacc ( sdram_rom_wacc ),
  639. .done ( rom_done )
  640. );
  641. always @(posedge sys_clk)
  642. rom_done_q <= rom_done;
  643. assign sysreg_rdata[4] = { 31'b0, rom_done_q };
  644. //
  645. // Serial port. Direct to the CP2102N for reworked
  646. // boards or to GPIO for non-reworked boards, depending on
  647. // whether DTR# is asserted on either.
  648. //
  649. // The GPIO numbering matches the order of pins for FT[2]232H.
  650. // gpio[0] - TxD
  651. // gpio[1] - RxD
  652. // gpio[2] - RTS#
  653. // gpio[3] - CTS#
  654. // gpio[4] - DTR#
  655. //
  656. wire tty_data_out; // Output data
  657. wire tty_data_in; // Input data
  658. wire tty_cts_out; // Assert CTS# externally
  659. wire tty_rts_in; // RTS# received from outside
  660. assign tty_cts_out = 1'b0; // Assert CTS#
  661. tty console (
  662. .rst_n ( rst_n ),
  663. .clk ( sys_clk ),
  664. .valid ( iodev_valid_console ),
  665. .wstrb ( cpu_mem_wstrb ),
  666. .wdata ( cpu_mem_wdata ),
  667. .rdata ( iodev_rdata_console ),
  668. .addr ( cpu_mem_addr[3:2] ),
  669. .irq ( iodev_irq_console ),
  670. .tty_txd ( tty_data_out ) // DTE -> DCE
  671. );
  672. reg [1:0] tty_dtr_q;
  673. always @(posedge sys_clk)
  674. begin
  675. tty_dtr_q[0] <= tty_dtr;
  676. tty_dtr_q[1] <= gpio[4];
  677. end
  678. //
  679. // Route data to the two output ports
  680. //
  681. // tty_rxd because pins are DCE named
  682. assign tty_data_in = (tty_txd | tty_dtr_q[0]) &
  683. (gpio[0] | tty_dtr_q[1]);
  684. assign tty_rxd = tty_dtr_q[0] ? 1'bz : tty_data_out;
  685. assign gpio[1] = tty_dtr_q[1] ? 1'bz : tty_data_out;
  686. assign tty_rts_in = (tty_rts | tty_dtr_q[0]) &
  687. (gpio[2] | tty_dtr_q[1]);
  688. assign tty_cts = tty_dtr_q[0] ? 1'bz : tty_cts_out;
  689. assign gpio[3] = tty_dtr_q[1] ? 1'bz : tty_cts_out;
  690. // SD card
  691. sdcard #(
  692. .with_irq_mask ( 8'b0000_0001 )
  693. )
  694. sdcard (
  695. .rst_n ( rst_n ),
  696. .clk ( sys_clk ),
  697. .sd_cs_n ( sd_dat[3] ),
  698. .sd_di ( sd_cmd ),
  699. .sd_sclk ( sd_clk ),
  700. .sd_do ( sd_dat[0] ),
  701. .sd_cd_n ( 1'b0 ),
  702. .sd_irq_n ( 1'b1 ),
  703. .wdata ( cpu_mem_wdata ),
  704. .rdata ( iodev_rdata_sdcard ),
  705. .valid ( iodev_valid_sdcard ),
  706. .wstrb ( cpu_mem_wstrb ),
  707. .addr ( cpu_mem_addr[6:2] ),
  708. .wait_n ( iodev_wait_n_sdcard ),
  709. .irq ( iodev_irq_sdcard )
  710. );
  711. assign sd_dat[2:1] = 2'bzz;
  712. // System local clock (not an RTC, but settable from one)
  713. // Also provides a periodic interrupt (set to 32 Hz)
  714. //
  715. // XXX: the RTC 32 kHz signal is missing a pull-up,
  716. // so unless the board has been reworked, use a
  717. // divider down from the 84 MHz system clock. The
  718. // error is about 200 ppm; a proper NCO could do better.
  719. reg ctr_32khz;
  720. reg [10:0] ctr_64khz;
  721. always @(posedge sys_clk)
  722. begin
  723. if (~|ctr_64khz)
  724. begin
  725. ctr_32khz <= ~ctr_32khz;
  726. ctr_64khz <= 11'd1280;
  727. end
  728. else
  729. ctr_64khz <= ctr_64khz - 1'b1;
  730. end
  731. // 32kHz clock synchronized with sys_clk
  732. wire clk_32kHz = rtc_32khz_rework ? rtc_32khz : ctr_32khz;
  733. sysclock #(.PERIODIC_HZ_LG2 ( 5 ))
  734. sysclock (
  735. .rst_n ( rst_n ),
  736. .sys_clk ( sys_clk ),
  737. .rtc_clk ( clk_32kHz ),
  738. .wdata ( cpu_mem_wdata ),
  739. .rdata ( iodev_rdata_sysclock ),
  740. .valid ( iodev_valid_sysclock ),
  741. .wstrb ( cpu_mem_wstrb ),
  742. .addr ( cpu_mem_addr[2] ),
  743. .periodic ( iodev_irq_sysclock )
  744. );
  745. // SPI bus to ESP32; using the sdcard IP as a SPI master for now at
  746. // least...
  747. `ifdef REALLY_ESP32
  748. // ESP32
  749. assign spi_cs_flash_n = 1'bz;
  750. assign esp_io0 = 1'b1; // If pulled down on reset, ESP32 will enter
  751. // firmware download mode
  752. sdcard #(
  753. .with_irq_mask ( 8'b0000_0101 ),
  754. .with_crc7 ( 1'b0 ),
  755. .with_crc16 ( 1'b0 )
  756. )
  757. esp (
  758. .rst_n ( rst_n ),
  759. .clk ( sys_clk ),
  760. .sd_cs_n ( spi_cs_esp_n ),
  761. .sd_di ( spi_mosi ),
  762. .sd_sclk ( spi_clk ),
  763. .sd_do ( spi_miso ),
  764. .sd_cd_n ( 1'b0 ),
  765. .sd_irq_n ( esp_int ),
  766. .wdata ( cpu_mem_wdata ),
  767. .rdata ( iodev_rdata_esp ),
  768. .valid ( iodev_valid_esp ),
  769. .wstrb ( cpu_mem_wstrb ),
  770. .addr ( cpu_mem_addr[6:2] ),
  771. .wait_n ( iodev_wait_n_esp ),
  772. .irq ( iodev_irq_esp )
  773. );
  774. `else // !`ifdef REALLY_ESP32
  775. reg [5:-13] esp_ctr; // 32768 * 2^-13 = 4 Hz
  776. always @(posedge clk_32kHz)
  777. esp_ctr <= esp_ctr + 1'b1;
  778. assign spi_clk = esp_ctr[0];
  779. assign spi_mosi = esp_ctr[1];
  780. assign spi_miso = esp_ctr[2];
  781. assign spi_cs_flash_n = esp_ctr[3]; // IO01
  782. assign spi_cs_esp_n = esp_ctr[4]; // IO10
  783. assign spi_int = esp_ctr[5]; // IO09
  784. assign esp_io0 = 1'b1;
  785. `endif
  786. //
  787. // Registering of I/O data and handling of iodev_mem_ready
  788. //
  789. always @(posedge sys_clk)
  790. iodev_rdata_q <= iodev_rdata;
  791. always @(negedge rst_n or posedge sys_clk)
  792. if (~rst_n)
  793. iodev_mem_ready <= 1'b0;
  794. else
  795. iodev_mem_ready <= iodev_wait_n & cpu_mem_valid;
  796. endmodule