123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192 |
- // megafunction wizard: %RAM: 1-PORT%
- // GENERATION: STANDARD
- // VERSION: WM1.0
- // MODULE: altsyncram
- // ============================================================
- // File Name: fastmem_ip.v
- // Megafunction Name(s):
- // altsyncram
- //
- // Simulation Library Files(s):
- // altera_mf
- // ============================================================
- // ************************************************************
- // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
- //
- // 20.1.1 Build 720 11/11/2020 SJ Lite Edition
- // ************************************************************
- //Copyright (C) 2020 Intel Corporation. All rights reserved.
- //Your use of Intel Corporation's design tools, logic functions
- //and other software and tools, and any partner logic
- //functions, and any output files from any of the foregoing
- //(including device programming or simulation files), and any
- //associated documentation or information are expressly subject
- //to the terms and conditions of the Intel Program License
- //Subscription Agreement, the Intel Quartus Prime License Agreement,
- //the Intel FPGA IP License Agreement, or other applicable license
- //agreement, including, without limitation, that your use is for
- //the sole purpose of programming logic devices manufactured by
- //Intel and sold by Intel or its authorized distributors. Please
- //refer to the applicable agreement for further details, at
- //https://fpgasoftware.intel.com/eula.
- // synopsys translate_off
- `timescale 1 ps / 1 ps
- // synopsys translate_on
- module fastmem_ip (
- aclr,
- address,
- byteena,
- clock,
- data,
- rden,
- wren,
- q);
- input aclr;
- input [12:0] address;
- input [3:0] byteena;
- input clock;
- input [31:0] data;
- input rden;
- input wren;
- output [31:0] q;
- `ifndef ALTERA_RESERVED_QIS
- // synopsys translate_off
- `endif
- tri0 aclr;
- tri1 [3:0] byteena;
- tri1 clock;
- tri1 rden;
- `ifndef ALTERA_RESERVED_QIS
- // synopsys translate_on
- `endif
- wire [31:0] sub_wire0;
- wire [31:0] q = sub_wire0[31:0];
- altsyncram altsyncram_component (
- .aclr0 (aclr),
- .address_a (address),
- .byteena_a (byteena),
- .clock0 (clock),
- .data_a (data),
- .rden_a (rden),
- .wren_a (wren),
- .q_a (sub_wire0),
- .aclr1 (1'b0),
- .address_b (1'b1),
- .addressstall_a (1'b0),
- .addressstall_b (1'b0),
- .byteena_b (1'b1),
- .clock1 (1'b1),
- .clocken0 (1'b1),
- .clocken1 (1'b1),
- .clocken2 (1'b1),
- .clocken3 (1'b1),
- .data_b (1'b1),
- .eccstatus (),
- .q_b (),
- .rden_b (1'b1),
- .wren_b (1'b0));
- defparam
- altsyncram_component.byte_size = 8,
- altsyncram_component.clock_enable_input_a = "BYPASS",
- altsyncram_component.clock_enable_output_a = "BYPASS",
- altsyncram_component.init_file = "../fw/boot.mif",
- altsyncram_component.intended_device_family = "Cyclone IV E",
- altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
- altsyncram_component.lpm_type = "altsyncram",
- altsyncram_component.numwords_a = 8192,
- altsyncram_component.operation_mode = "SINGLE_PORT",
- altsyncram_component.outdata_aclr_a = "CLEAR0",
- altsyncram_component.outdata_reg_a = "UNREGISTERED",
- altsyncram_component.power_up_uninitialized = "FALSE",
- altsyncram_component.read_during_write_mode_port_a = "DONT_CARE",
- altsyncram_component.widthad_a = 13,
- altsyncram_component.width_a = 32,
- altsyncram_component.width_byteena_a = 4;
- endmodule
- // ============================================================
- // CNX file retrieval info
- // ============================================================
- // Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
- // Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
- // Retrieval info: PRIVATE: AclrByte NUMERIC "0"
- // Retrieval info: PRIVATE: AclrData NUMERIC "0"
- // Retrieval info: PRIVATE: AclrOutput NUMERIC "1"
- // Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1"
- // Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
- // Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
- // Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
- // Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
- // Retrieval info: PRIVATE: Clken NUMERIC "0"
- // Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
- // Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
- // Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
- // Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
- // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
- // Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
- // Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
- // Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
- // Retrieval info: PRIVATE: MIFfilename STRING "../fw/boot.mif"
- // Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "8192"
- // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
- // Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "2"
- // Retrieval info: PRIVATE: RegAddr NUMERIC "1"
- // Retrieval info: PRIVATE: RegData NUMERIC "1"
- // Retrieval info: PRIVATE: RegOutput NUMERIC "0"
- // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
- // Retrieval info: PRIVATE: SingleClock NUMERIC "1"
- // Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
- // Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
- // Retrieval info: PRIVATE: WidthAddr NUMERIC "13"
- // Retrieval info: PRIVATE: WidthData NUMERIC "32"
- // Retrieval info: PRIVATE: rden NUMERIC "1"
- // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
- // Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8"
- // Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
- // Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
- // Retrieval info: CONSTANT: INIT_FILE STRING "../fw/boot.mif"
- // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
- // Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
- // Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
- // Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8192"
- // Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
- // Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0"
- // Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
- // Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
- // Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "DONT_CARE"
- // Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
- // Retrieval info: CONSTANT: WIDTH_A NUMERIC "32"
- // Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "4"
- // Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
- // Retrieval info: USED_PORT: address 0 0 13 0 INPUT NODEFVAL "address[12..0]"
- // Retrieval info: USED_PORT: byteena 0 0 4 0 INPUT VCC "byteena[3..0]"
- // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
- // Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]"
- // Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]"
- // Retrieval info: USED_PORT: rden 0 0 0 0 INPUT VCC "rden"
- // Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
- // Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0
- // Retrieval info: CONNECT: @address_a 0 0 13 0 address 0 0 13 0
- // Retrieval info: CONNECT: @byteena_a 0 0 4 0 byteena 0 0 4 0
- // Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
- // Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0
- // Retrieval info: CONNECT: @rden_a 0 0 0 0 rden 0 0 0 0
- // Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
- // Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0
- // Retrieval info: GEN_FILE: TYPE_NORMAL fastmem_ip.v TRUE
- // Retrieval info: GEN_FILE: TYPE_NORMAL fastmem_ip.inc FALSE
- // Retrieval info: GEN_FILE: TYPE_NORMAL fastmem_ip.cmp FALSE
- // Retrieval info: GEN_FILE: TYPE_NORMAL fastmem_ip.bsf FALSE
- // Retrieval info: GEN_FILE: TYPE_NORMAL fastmem_ip_inst.v TRUE
- // Retrieval info: GEN_FILE: TYPE_NORMAL fastmem_ip_bb.v TRUE
- // Retrieval info: LIB_FILE: altera_mf
|