Greaseweazle.kicad_pro 12 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528
  1. {
  2. "board": {
  3. "3dviewports": [],
  4. "design_settings": {
  5. "defaults": {
  6. "board_outline_line_width": 0.049999999999999996,
  7. "copper_line_width": 0.19999999999999998,
  8. "copper_text_italic": false,
  9. "copper_text_size_h": 1.5,
  10. "copper_text_size_v": 1.5,
  11. "copper_text_thickness": 0.3,
  12. "copper_text_upright": false,
  13. "courtyard_line_width": 0.049999999999999996,
  14. "dimension_precision": 4,
  15. "dimension_units": 3,
  16. "dimensions": {
  17. "arrow_length": 1270000,
  18. "extension_offset": 500000,
  19. "keep_text_aligned": true,
  20. "suppress_zeroes": false,
  21. "text_position": 0,
  22. "units_format": 1
  23. },
  24. "fab_line_width": 0.09999999999999999,
  25. "fab_text_italic": false,
  26. "fab_text_size_h": 1.0,
  27. "fab_text_size_v": 1.0,
  28. "fab_text_thickness": 0.15,
  29. "fab_text_upright": false,
  30. "other_line_width": 0.09999999999999999,
  31. "other_text_italic": false,
  32. "other_text_size_h": 1.0,
  33. "other_text_size_v": 1.0,
  34. "other_text_thickness": 0.15,
  35. "other_text_upright": false,
  36. "pads": {
  37. "drill": 0.0,
  38. "height": 0.4,
  39. "width": 1.475
  40. },
  41. "silk_line_width": 0.12,
  42. "silk_text_italic": false,
  43. "silk_text_size_h": 1.0,
  44. "silk_text_size_v": 1.0,
  45. "silk_text_thickness": 0.15,
  46. "silk_text_upright": false,
  47. "zones": {
  48. "45_degree_only": false,
  49. "min_clearance": 0.508
  50. }
  51. },
  52. "diff_pair_dimensions": [
  53. {
  54. "gap": 0.0,
  55. "via_gap": 0.0,
  56. "width": 0.0
  57. }
  58. ],
  59. "drc_exclusions": [
  60. "silk_edge_clearance|196000000|113962000|fc56b098-c3aa-474b-aac9-da58d4f42386|67e5e8b2-a523-4f55-af07-652fedfa92c5",
  61. "silk_edge_clearance|196000000|97112000|fc56b098-c3aa-474b-aac9-da58d4f42386|471a9dc0-32ae-4cb5-bb94-efe6f9efd4e7"
  62. ],
  63. "meta": {
  64. "filename": "board_design_settings.json",
  65. "version": 2
  66. },
  67. "rule_severities": {
  68. "annular_width": "error",
  69. "clearance": "error",
  70. "connection_width": "warning",
  71. "copper_edge_clearance": "error",
  72. "copper_sliver": "warning",
  73. "courtyards_overlap": "error",
  74. "diff_pair_gap_out_of_range": "error",
  75. "diff_pair_uncoupled_length_too_long": "error",
  76. "drill_out_of_range": "error",
  77. "duplicate_footprints": "warning",
  78. "extra_footprint": "warning",
  79. "footprint": "error",
  80. "footprint_type_mismatch": "ignore",
  81. "hole_clearance": "error",
  82. "hole_near_hole": "error",
  83. "invalid_outline": "error",
  84. "isolated_copper": "warning",
  85. "item_on_disabled_layer": "error",
  86. "items_not_allowed": "error",
  87. "length_out_of_range": "error",
  88. "lib_footprint_issues": "warning",
  89. "lib_footprint_mismatch": "warning",
  90. "malformed_courtyard": "error",
  91. "microvia_drill_out_of_range": "error",
  92. "missing_courtyard": "ignore",
  93. "missing_footprint": "warning",
  94. "net_conflict": "warning",
  95. "npth_inside_courtyard": "ignore",
  96. "padstack": "error",
  97. "pth_inside_courtyard": "ignore",
  98. "shorting_items": "error",
  99. "silk_edge_clearance": "warning",
  100. "silk_over_copper": "warning",
  101. "silk_overlap": "warning",
  102. "skew_out_of_range": "error",
  103. "solder_mask_bridge": "error",
  104. "starved_thermal": "error",
  105. "text_height": "warning",
  106. "text_thickness": "warning",
  107. "through_hole_pad_without_hole": "error",
  108. "too_many_vias": "error",
  109. "track_dangling": "warning",
  110. "track_width": "error",
  111. "tracks_crossing": "error",
  112. "unconnected_items": "error",
  113. "unresolved_variable": "error",
  114. "via_dangling": "warning",
  115. "zones_intersect": "error"
  116. },
  117. "rule_severitieslegacy_courtyards_overlap": true,
  118. "rule_severitieslegacy_no_courtyard_defined": false,
  119. "rules": {
  120. "allow_blind_buried_vias": false,
  121. "allow_microvias": false,
  122. "max_error": 0.005,
  123. "min_clearance": 0.0,
  124. "min_connection": 0.0,
  125. "min_copper_edge_clearance": 0.075,
  126. "min_hole_clearance": 0.25,
  127. "min_hole_to_hole": 0.25,
  128. "min_microvia_diameter": 0.19999999999999998,
  129. "min_microvia_drill": 0.09999999999999999,
  130. "min_resolved_spokes": 2,
  131. "min_silk_clearance": 0.0,
  132. "min_text_height": 0.6,
  133. "min_text_thickness": 0.08,
  134. "min_through_hole_diameter": 0.3,
  135. "min_track_width": 0.19999999999999998,
  136. "min_via_annular_width": 0.049999999999999996,
  137. "min_via_diameter": 0.39999999999999997,
  138. "solder_mask_to_copper_clearance": 0.0,
  139. "use_height_for_length_calcs": true
  140. },
  141. "teardrop_options": [
  142. {
  143. "td_allow_use_two_tracks": true,
  144. "td_curve_segcount": 5,
  145. "td_on_pad_in_zone": false,
  146. "td_onpadsmd": true,
  147. "td_onroundshapesonly": false,
  148. "td_ontrackend": false,
  149. "td_onviapad": true
  150. }
  151. ],
  152. "teardrop_parameters": [
  153. {
  154. "td_curve_segcount": 0,
  155. "td_height_ratio": 1.0,
  156. "td_length_ratio": 0.5,
  157. "td_maxheight": 2.0,
  158. "td_maxlen": 1.0,
  159. "td_target_name": "td_round_shape",
  160. "td_width_to_size_filter_ratio": 0.9
  161. },
  162. {
  163. "td_curve_segcount": 0,
  164. "td_height_ratio": 1.0,
  165. "td_length_ratio": 0.5,
  166. "td_maxheight": 2.0,
  167. "td_maxlen": 1.0,
  168. "td_target_name": "td_rect_shape",
  169. "td_width_to_size_filter_ratio": 0.9
  170. },
  171. {
  172. "td_curve_segcount": 0,
  173. "td_height_ratio": 1.0,
  174. "td_length_ratio": 0.5,
  175. "td_maxheight": 2.0,
  176. "td_maxlen": 1.0,
  177. "td_target_name": "td_track_end",
  178. "td_width_to_size_filter_ratio": 0.9
  179. }
  180. ],
  181. "track_widths": [
  182. 0.0
  183. ],
  184. "via_dimensions": [
  185. {
  186. "diameter": 0.0,
  187. "drill": 0.0
  188. }
  189. ],
  190. "zones_allow_external_fillets": false,
  191. "zones_use_no_outline": true
  192. },
  193. "layer_presets": [],
  194. "viewports": []
  195. },
  196. "boards": [],
  197. "cvpcb": {
  198. "equivalence_files": []
  199. },
  200. "erc": {
  201. "erc_exclusions": [],
  202. "meta": {
  203. "version": 0
  204. },
  205. "pin_map": [
  206. [
  207. 0,
  208. 0,
  209. 0,
  210. 0,
  211. 0,
  212. 0,
  213. 1,
  214. 0,
  215. 0,
  216. 0,
  217. 0,
  218. 2
  219. ],
  220. [
  221. 0,
  222. 2,
  223. 0,
  224. 1,
  225. 0,
  226. 0,
  227. 1,
  228. 0,
  229. 2,
  230. 2,
  231. 2,
  232. 2
  233. ],
  234. [
  235. 0,
  236. 0,
  237. 0,
  238. 0,
  239. 0,
  240. 0,
  241. 1,
  242. 0,
  243. 1,
  244. 0,
  245. 1,
  246. 2
  247. ],
  248. [
  249. 0,
  250. 1,
  251. 0,
  252. 0,
  253. 0,
  254. 0,
  255. 1,
  256. 1,
  257. 2,
  258. 1,
  259. 1,
  260. 2
  261. ],
  262. [
  263. 0,
  264. 0,
  265. 0,
  266. 0,
  267. 0,
  268. 0,
  269. 1,
  270. 0,
  271. 0,
  272. 0,
  273. 0,
  274. 2
  275. ],
  276. [
  277. 0,
  278. 0,
  279. 0,
  280. 0,
  281. 0,
  282. 0,
  283. 0,
  284. 0,
  285. 0,
  286. 0,
  287. 0,
  288. 2
  289. ],
  290. [
  291. 1,
  292. 1,
  293. 1,
  294. 1,
  295. 1,
  296. 0,
  297. 1,
  298. 1,
  299. 1,
  300. 1,
  301. 1,
  302. 2
  303. ],
  304. [
  305. 0,
  306. 0,
  307. 0,
  308. 1,
  309. 0,
  310. 0,
  311. 1,
  312. 0,
  313. 0,
  314. 0,
  315. 0,
  316. 2
  317. ],
  318. [
  319. 0,
  320. 2,
  321. 1,
  322. 2,
  323. 0,
  324. 0,
  325. 1,
  326. 0,
  327. 2,
  328. 2,
  329. 2,
  330. 2
  331. ],
  332. [
  333. 0,
  334. 2,
  335. 0,
  336. 1,
  337. 0,
  338. 0,
  339. 1,
  340. 0,
  341. 2,
  342. 0,
  343. 0,
  344. 2
  345. ],
  346. [
  347. 0,
  348. 2,
  349. 1,
  350. 1,
  351. 0,
  352. 0,
  353. 1,
  354. 0,
  355. 2,
  356. 0,
  357. 0,
  358. 2
  359. ],
  360. [
  361. 2,
  362. 2,
  363. 2,
  364. 2,
  365. 2,
  366. 2,
  367. 2,
  368. 2,
  369. 2,
  370. 2,
  371. 2,
  372. 2
  373. ]
  374. ],
  375. "rule_severities": {
  376. "bus_definition_conflict": "error",
  377. "bus_entry_needed": "error",
  378. "bus_to_bus_conflict": "error",
  379. "bus_to_net_conflict": "error",
  380. "conflicting_netclasses": "error",
  381. "different_unit_footprint": "error",
  382. "different_unit_net": "error",
  383. "duplicate_reference": "error",
  384. "duplicate_sheet_names": "error",
  385. "endpoint_off_grid": "warning",
  386. "extra_units": "error",
  387. "global_label_dangling": "warning",
  388. "hier_label_mismatch": "error",
  389. "label_dangling": "error",
  390. "lib_symbol_issues": "warning",
  391. "missing_bidi_pin": "warning",
  392. "missing_input_pin": "warning",
  393. "missing_power_pin": "error",
  394. "missing_unit": "warning",
  395. "multiple_net_names": "warning",
  396. "net_not_bus_member": "warning",
  397. "no_connect_connected": "warning",
  398. "no_connect_dangling": "warning",
  399. "pin_not_connected": "error",
  400. "pin_not_driven": "error",
  401. "pin_to_pin": "warning",
  402. "power_pin_not_driven": "error",
  403. "similar_labels": "warning",
  404. "simulation_model_issue": "error",
  405. "unannotated": "error",
  406. "unit_value_mismatch": "error",
  407. "unresolved_variable": "error",
  408. "wire_dangling": "error"
  409. }
  410. },
  411. "libraries": {
  412. "pinned_footprint_libs": [],
  413. "pinned_symbol_libs": []
  414. },
  415. "meta": {
  416. "filename": "Greaseweazle.kicad_pro",
  417. "version": 1
  418. },
  419. "net_settings": {
  420. "classes": [
  421. {
  422. "bus_width": 12,
  423. "clearance": 0.15,
  424. "diff_pair_gap": 0.25,
  425. "diff_pair_via_gap": 0.25,
  426. "diff_pair_width": 0.2,
  427. "line_style": 0,
  428. "microvia_diameter": 0.3,
  429. "microvia_drill": 0.1,
  430. "name": "Default",
  431. "pcb_color": "rgba(0, 0, 0, 0.000)",
  432. "schematic_color": "rgba(0, 0, 0, 0.000)",
  433. "track_width": 0.25,
  434. "via_diameter": 0.8,
  435. "via_drill": 0.4,
  436. "wire_width": 6
  437. }
  438. ],
  439. "meta": {
  440. "version": 3
  441. },
  442. "net_colors": null,
  443. "netclass_assignments": null,
  444. "netclass_patterns": []
  445. },
  446. "pcbnew": {
  447. "last_paths": {
  448. "gencad": "",
  449. "idf": "",
  450. "netlist": "",
  451. "specctra_dsn": "",
  452. "step": "",
  453. "vrml": ""
  454. },
  455. "page_layout_descr_file": ""
  456. },
  457. "schematic": {
  458. "annotate_start_num": 0,
  459. "drawing": {
  460. "dashed_lines_dash_length_ratio": 12.0,
  461. "dashed_lines_gap_length_ratio": 3.0,
  462. "default_line_thickness": 6.0,
  463. "default_text_size": 50.0,
  464. "field_names": [],
  465. "intersheets_ref_own_page": false,
  466. "intersheets_ref_prefix": "",
  467. "intersheets_ref_short": false,
  468. "intersheets_ref_show": false,
  469. "intersheets_ref_suffix": "",
  470. "junction_size_choice": 3,
  471. "label_size_ratio": 0.25,
  472. "pin_symbol_size": 0.0,
  473. "text_offset_ratio": 0.08
  474. },
  475. "legacy_lib_dir": "",
  476. "legacy_lib_list": [],
  477. "meta": {
  478. "version": 1
  479. },
  480. "net_format_name": "",
  481. "ngspice": {
  482. "fix_include_paths": true,
  483. "fix_passive_vals": false,
  484. "meta": {
  485. "version": 0
  486. },
  487. "model_mode": 0,
  488. "workbook_filename": ""
  489. },
  490. "page_layout_descr_file": "",
  491. "plot_directory": "pdf",
  492. "spice_adjust_passive_values": false,
  493. "spice_current_sheet_as_root": false,
  494. "spice_external_command": "spice \"%I\"",
  495. "spice_model_current_sheet_as_root": true,
  496. "spice_save_all_currents": false,
  497. "spice_save_all_voltages": false,
  498. "subpart_first_id": 65,
  499. "subpart_id_separator": 0
  500. },
  501. "sheets": [
  502. [
  503. "c1bac86f-cbf6-4c5b-b60d-c26fa73d9c09",
  504. ""
  505. ],
  506. [
  507. "00000000-0000-0000-0000-0000619586c3",
  508. "Power"
  509. ],
  510. [
  511. "00000000-0000-0000-0000-0000618a932e",
  512. "Power_USB"
  513. ],
  514. [
  515. "00000000-0000-0000-0000-000060f22a52",
  516. "USB"
  517. ],
  518. [
  519. "587e0876-966b-4d35-bd3c-c5066c967aa3",
  520. "AD"
  521. ],
  522. [
  523. "39d9aca3-c269-4acc-b200-a28fd3c81717",
  524. "AD_USB"
  525. ]
  526. ],
  527. "text_variables": {}
  528. }