Browse Source

Update PLL IP files; fix .sdc file

H. Peter Anvin 3 years ago
parent
commit
6ef7e7822d

+ 1 - 1
ip/pll.qip

@@ -1,6 +1,6 @@
 set_global_assignment -name IP_TOOL_NAME "ALTPLL"
 set_global_assignment -name IP_TOOL_VERSION "18.1"
-set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}"
+set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}"
 set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"]
 set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.bsf"]
 set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_inst.v"]

+ 14 - 43
ip/pll.v

@@ -47,7 +47,6 @@ module pll (
 	c0,
 	c1,
 	c2,
-	c3,
 	locked,
 	phasedone);
 
@@ -60,7 +59,6 @@ module pll (
 	output	  c0;
 	output	  c1;
 	output	  c2;
-	output	  c3;
 	output	  locked;
 	output	  phasedone;
 `ifndef ALTERA_RESERVED_QIS
@@ -75,32 +73,30 @@ module pll (
 `endif
 
 	wire [4:0] sub_wire0;
+	wire  sub_wire4;
 	wire  sub_wire5;
-	wire  sub_wire6;
-	wire [0:0] sub_wire9 = 1'h0;
-	wire [3:3] sub_wire4 = sub_wire0[3:3];
+	wire [0:0] sub_wire8 = 1'h0;
 	wire [2:2] sub_wire3 = sub_wire0[2:2];
 	wire [1:1] sub_wire2 = sub_wire0[1:1];
 	wire [0:0] sub_wire1 = sub_wire0[0:0];
 	wire  c0 = sub_wire1;
 	wire  c1 = sub_wire2;
 	wire  c2 = sub_wire3;
-	wire  c3 = sub_wire4;
-	wire  locked = sub_wire5;
-	wire  phasedone = sub_wire6;
-	wire  sub_wire7 = inclk0;
-	wire [1:0] sub_wire8 = {sub_wire9, sub_wire7};
+	wire  locked = sub_wire4;
+	wire  phasedone = sub_wire5;
+	wire  sub_wire6 = inclk0;
+	wire [1:0] sub_wire7 = {sub_wire8, sub_wire6};
 
 	altpll	altpll_component (
 				.areset (areset),
-				.inclk (sub_wire8),
+				.inclk (sub_wire7),
 				.phasecounterselect (phasecounterselect),
 				.phasestep (phasestep),
 				.phaseupdown (phaseupdown),
 				.scanclk (scanclk),
 				.clk (sub_wire0),
-				.locked (sub_wire5),
-				.phasedone (sub_wire6),
+				.locked (sub_wire4),
+				.phasedone (sub_wire5),
 				.activeclock (),
 				.clkbad (),
 				.clkena ({6{1'b1}}),
@@ -143,13 +139,9 @@ module pll (
 		altpll_component.clk2_duty_cycle = 50,
 		altpll_component.clk2_multiply_by = 3,
 		altpll_component.clk2_phase_shift = "0",
-		altpll_component.clk3_divide_by = 2,
-		altpll_component.clk3_duty_cycle = 50,
-		altpll_component.clk3_multiply_by = 15,
-		altpll_component.clk3_phase_shift = "0",
 		altpll_component.compensate_clock = "CLK0",
 		altpll_component.inclk0_input_frequency = 20833,
-		altpll_component.intended_device_family = "MAX 10",
+		altpll_component.intended_device_family = "Cyclone IV E",
 		altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll",
 		altpll_component.lpm_type = "altpll",
 		altpll_component.operation_mode = "NORMAL",
@@ -182,7 +174,7 @@ module pll (
 		altpll_component.port_clk0 = "PORT_USED",
 		altpll_component.port_clk1 = "PORT_USED",
 		altpll_component.port_clk2 = "PORT_USED",
-		altpll_component.port_clk3 = "PORT_USED",
+		altpll_component.port_clk3 = "PORT_UNUSED",
 		altpll_component.port_clk4 = "PORT_UNUSED",
 		altpll_component.port_clk5 = "PORT_UNUSED",
 		altpll_component.port_clkena0 = "PORT_UNUSED",
@@ -224,15 +216,12 @@ endmodule
 // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "22"
 // Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
 // Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "4"
-// Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "2"
 // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
 // Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
 // Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
-// Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "96.000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "96.000000"
 // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "36.000000"
-// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "360.000000"
 // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
 // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
 // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
@@ -246,7 +235,7 @@ endmodule
 // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
 // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
 // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
 // Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
 // Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
 // Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
@@ -255,40 +244,32 @@ endmodule
 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
-// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "ps"
 // Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
 // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
 // Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
 // Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
-// Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0"
 // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "45"
 // Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2"
 // Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "3"
-// Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "15"
 // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
 // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "96.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "96.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "36.00000000"
-// Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "360.00000000"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "0"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
-// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
 // Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
 // Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "1"
 // Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
-// Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "0.00000000"
 // Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps"
-// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "ps"
 // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
 // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
 // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
@@ -313,19 +294,15 @@ endmodule
 // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
 // Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
 // Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
-// Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
-// Retrieval info: PRIVATE: STICKY_CLK4 STRING "0"
 // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
 // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
 // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
 // Retrieval info: PRIVATE: USE_CLK0 STRING "1"
 // Retrieval info: PRIVATE: USE_CLK1 STRING "1"
 // Retrieval info: PRIVATE: USE_CLK2 STRING "1"
-// Retrieval info: PRIVATE: USE_CLK3 STRING "1"
 // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
 // Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
 // Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
-// Retrieval info: PRIVATE: USE_CLKENA3 STRING "0"
 // Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
 // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
@@ -342,13 +319,9 @@ endmodule
 // Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
 // Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "3"
 // Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
-// Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "2"
-// Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
-// Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "15"
-// Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
 // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
 // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20833"
-// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
 // Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
 // Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
 // Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
@@ -380,7 +353,7 @@ endmodule
 // Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
 // Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
 // Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
 // Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
 // Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
 // Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
@@ -401,7 +374,6 @@ endmodule
 // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
 // Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
 // Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
-// Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
 // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
 // Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
 // Retrieval info: USED_PORT: phasecounterselect 0 0 3 0 INPUT GND "phasecounterselect[2..0]"
@@ -419,7 +391,6 @@ endmodule
 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
 // Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
 // Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
-// Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
 // Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
 // Retrieval info: CONNECT: phasedone 0 0 0 0 @phasedone 0 0 0 0
 // Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE

+ 37 - 0
max80.sdc

@@ -0,0 +1,37 @@
+# -*- tcl -*-
+
+# Clock constraints
+
+# Note: round up
+create_clock -name "clock_48" -period 20.834ns [get_ports {clock_48}]
+create_clock -name "rtc_32khz" -period 30517.579ns [get_ports {rtc_32khz}]
+
+# Automatically constrain PLL and other generated clocks
+derive_pll_clocks
+
+# Automatically calculate clock uncertainty to jitter and other effects.
+derive_clock_uncertainty
+
+# Reset isn't actually a clock, but Quartus thinks it is
+create_generated_clock -name rst_n \
+    -source [get_nets pll|*clk\[1\]] \
+    [get_registers rst_n]
+
+# Reset is asynchronous  with everything as far as we are concerned.
+set main_clocks [get_clocks pll|*]
+set_clock_groups -asynchronous \
+    -group $main_clocks \
+    -group [get_clocks rst_n]
+
+# Anything that feeds into a synchronizer is by definition
+# asynchronous, but encode it as allowing multicycle of one
+# clock, to limit the possible skew (but it is of course not possible
+# to eliminate it...)
+set synchro_inputs [get_registers *|synchronizer:*|qreg0*] 
+set_multicycle_path -from [all_clocks] -to $synchro_inputs \
+    -start -setup 2
+set_multicycle_path -from [all_clocks] -to $synchro_inputs \
+    -start -hold -1
+
+# Don't report signaltap clock problems...
+set_false_path -to [get_registers sld_signaltap:*]

+ 8 - 8
output_files/max80.asm.rpt

@@ -1,5 +1,5 @@
 Assembler report for max80
-Wed Jul 28 12:56:10 2021
+Thu Jul 29 01:11:28 2021
 Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 
 
@@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula.
 +---------------------------------------------------------------+
 ; Assembler Summary                                             ;
 +-----------------------+---------------------------------------+
-; Assembler Status      ; Successful - Wed Jul 28 12:56:10 2021 ;
+; Assembler Status      ; Successful - Thu Jul 29 01:11:28 2021 ;
 ; Revision Name         ; max80                                 ;
 ; Top-level Entity Name ; max80                                 ;
 ; Family                ; Cyclone IV E                          ;
@@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula.
 +----------------+--------------------+
 ; Option         ; Setting            ;
 +----------------+--------------------+
-; JTAG usercode  ; 0x0010ECFB         ;
-; Checksum       ; 0x0010ECFB         ;
+; JTAG usercode  ; 0x0010D31B         ;
+; Checksum       ; 0x0010D31B         ;
 +----------------+--------------------+
 
 
@@ -89,7 +89,7 @@ https://fpgasoftware.intel.com/eula.
 Info: *******************************************************************
 Info: Running Quartus Prime Assembler
     Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
-    Info: Processing started: Wed Jul 28 12:56:08 2021
+    Info: Processing started: Thu Jul 29 01:11:27 2021
 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (115031): Writing out detailed assembly data for power analysis
@@ -97,9 +97,9 @@ Info (115030): Assembler is generating device programming files
 Info (210117): Created JAM or JBC file for the specified chain: 
 Device 1 (EP4CE15F17; /home/hpa/abc80/max80/blinktest/output_files/max80.sof)
 Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
-    Info: Peak virtual memory: 906 megabytes
-    Info: Processing ended: Wed Jul 28 12:56:10 2021
-    Info: Elapsed time: 00:00:02
+    Info: Peak virtual memory: 903 megabytes
+    Info: Processing ended: Thu Jul 29 01:11:28 2021
+    Info: Elapsed time: 00:00:01
     Info: Total CPU time (on all processors): 00:00:02
 
 

+ 1 - 1
output_files/max80.done

@@ -1 +1 @@
-Wed Jul 28 12:56:16 2021
+Thu Jul 29 01:11:35 2021

+ 6 - 6
output_files/max80.eda.rpt

@@ -1,5 +1,5 @@
 EDA Netlist Writer report for max80
-Wed Jul 28 12:56:16 2021
+Thu Jul 29 01:11:34 2021
 Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 
 
@@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula.
 +-------------------------------------------------------------------+
 ; EDA Netlist Writer Summary                                        ;
 +---------------------------+---------------------------------------+
-; EDA Netlist Writer Status ; Successful - Wed Jul 28 12:56:16 2021 ;
+; EDA Netlist Writer Status ; Successful - Thu Jul 29 01:11:34 2021 ;
 ; Revision Name             ; max80                                 ;
 ; Top-level Entity Name     ; max80                                 ;
 ; Family                    ; Cyclone IV E                          ;
@@ -81,14 +81,14 @@ https://fpgasoftware.intel.com/eula.
 Info: *******************************************************************
 Info: Running Quartus Prime EDA Netlist Writer
     Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
-    Info: Processing started: Wed Jul 28 12:56:16 2021
+    Info: Processing started: Thu Jul 29 01:11:34 2021
 Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (204019): Generated file max80.vo in folder "/home/hpa/abc80/max80/blinktest/simulation/modelsim/" for EDA simulation tool
 Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
-    Info: Peak virtual memory: 1125 megabytes
-    Info: Processing ended: Wed Jul 28 12:56:16 2021
+    Info: Peak virtual memory: 1127 megabytes
+    Info: Processing ended: Thu Jul 29 01:11:34 2021
     Info: Elapsed time: 00:00:00
-    Info: Total CPU time (on all processors): 00:00:01
+    Info: Total CPU time (on all processors): 00:00:00
 
 

+ 208 - 157
output_files/max80.fit.rpt

@@ -1,5 +1,5 @@
 Fitter report for max80
-Wed Jul 28 12:56:07 2021
+Thu Jul 29 01:11:26 2021
 Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 
 
@@ -73,14 +73,14 @@ https://fpgasoftware.intel.com/eula.
 +----------------------------------------------------------------------------------+
 ; Fitter Summary                                                                   ;
 +------------------------------------+---------------------------------------------+
-; Fitter Status                      ; Successful - Wed Jul 28 12:56:07 2021       ;
+; Fitter Status                      ; Successful - Thu Jul 29 01:11:26 2021       ;
 ; Quartus Prime Version              ; 18.1.1 Build 646 04/11/2019 SJ Lite Edition ;
 ; Revision Name                      ; max80                                       ;
 ; Top-level Entity Name              ; max80                                       ;
 ; Family                             ; Cyclone IV E                                ;
 ; Device                             ; EP4CE15F17C8                                ;
 ; Timing Models                      ; Final                                       ;
-; Total logic elements               ; 332 / 15,408 ( 2 % )                        ;
+; Total logic elements               ; 328 / 15,408 ( 2 % )                        ;
 ;     Total combinational functions  ; 277 / 15,408 ( 2 % )                        ;
 ;     Dedicated logic registers      ; 218 / 15,408 ( 1 % )                        ;
 ; Total registers                    ; 229                                         ;
@@ -166,7 +166,7 @@ https://fpgasoftware.intel.com/eula.
 ;                            ;             ;
 ; Usage by Processor         ; % Time Used ;
 ;     Processor 1            ; 100.0%      ;
-;     Processor 2            ;   0.7%      ;
+;     Processor 2            ;   0.6%      ;
 +----------------------------+-------------+
 
 
@@ -217,8 +217,8 @@ https://fpgasoftware.intel.com/eula.
 ; Type                ; Total [A + B]      ; From Design Partitions [A] ; From Rapid Recompile [B] ;
 +---------------------+--------------------+----------------------------+--------------------------+
 ; Placement (by node) ;                    ;                            ;                          ;
-;     -- Requested    ; 0.00 % ( 0 / 815 ) ; 0.00 % ( 0 / 815 )         ; 0.00 % ( 0 / 815 )       ;
-;     -- Achieved     ; 0.00 % ( 0 / 815 ) ; 0.00 % ( 0 / 815 )         ; 0.00 % ( 0 / 815 )       ;
+;     -- Requested    ; 0.00 % ( 0 / 816 ) ; 0.00 % ( 0 / 816 )         ; 0.00 % ( 0 / 816 )       ;
+;     -- Achieved     ; 0.00 % ( 0 / 816 ) ; 0.00 % ( 0 / 816 )         ; 0.00 % ( 0 / 816 )       ;
 ;                     ;                    ;                            ;                          ;
 ; Routing (by net)    ;                    ;                            ;                          ;
 ;     -- Requested    ; 0.00 % ( 0 / 0 )   ; 0.00 % ( 0 / 0 )           ; 0.00 % ( 0 / 0 )         ;
@@ -241,7 +241,7 @@ https://fpgasoftware.intel.com/eula.
 +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+
 ; Partition Name                 ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ;
 +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+
-; Top                            ; 0.00 % ( 0 / 786 )    ; N/A                     ; Source File       ; N/A                 ;       ;
+; Top                            ; 0.00 % ( 0 / 787 )    ; N/A                     ; Source File       ; N/A                 ;       ;
 ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 29 )     ; N/A                     ; Source File       ; N/A                 ;       ;
 +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+
 
@@ -257,16 +257,16 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 +---------------------------------------------+----------------------+
 ; Resource                                    ; Usage                ;
 +---------------------------------------------+----------------------+
-; Total logic elements                        ; 332 / 15,408 ( 2 % ) ;
-;     -- Combinational with no register       ; 114                  ;
-;     -- Register only                        ; 55                   ;
-;     -- Combinational with a register        ; 163                  ;
+; Total logic elements                        ; 328 / 15,408 ( 2 % ) ;
+;     -- Combinational with no register       ; 110                  ;
+;     -- Register only                        ; 51                   ;
+;     -- Combinational with a register        ; 167                  ;
 ;                                             ;                      ;
 ; Logic element usage by number of LUT inputs ;                      ;
 ;     -- 4 input functions                    ; 105                  ;
 ;     -- 3 input functions                    ; 65                   ;
 ;     -- <=2 input functions                  ; 107                  ;
-;     -- Register only                        ; 55                   ;
+;     -- Register only                        ; 51                   ;
 ;                                             ;                      ;
 ; Logic elements by mode                      ;                      ;
 ;     -- normal mode                          ; 220                  ;
@@ -276,7 +276,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ;     -- Dedicated logic registers            ; 218 / 15,408 ( 1 % ) ;
 ;     -- I/O registers                        ; 11 / 758 ( 1 % )     ;
 ;                                             ;                      ;
-; Total LABs:  partially or completely used   ; 31 / 963 ( 3 % )     ;
+; Total LABs:  partially or completely used   ; 30 / 963 ( 3 % )     ;
 ; Virtual pins                                ; 0                    ;
 ; I/O pins                                    ; 134 / 166 ( 81 % )   ;
 ;     -- Clock pins                           ; 4 / 3 ( 133 % )      ;
@@ -287,19 +287,19 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ; Total block memory implementation bits      ; 0 / 516,096 ( 0 % )  ;
 ; Embedded Multiplier 9-bit elements          ; 0 / 112 ( 0 % )      ;
 ; PLLs                                        ; 2 / 2 ( 100 % )      ;
-; Global signals                              ; 5                    ;
-;     -- Global clocks                        ; 5 / 20 ( 25 % )      ;
+; Global signals                              ; 6                    ;
+;     -- Global clocks                        ; 6 / 20 ( 30 % )      ;
 ; JTAGs                                       ; 0 / 1 ( 0 % )        ;
 ; CRC blocks                                  ; 0 / 1 ( 0 % )        ;
 ; ASMI blocks                                 ; 0 / 1 ( 0 % )        ;
 ; Oscillator blocks                           ; 0 / 1 ( 0 % )        ;
 ; Impedance control blocks                    ; 0 / 4 ( 0 % )        ;
-; Average interconnect usage (total/H/V)      ; 0.4% / 0.5% / 0.4%   ;
-; Peak interconnect usage (total/H/V)         ; 2.9% / 3.2% / 2.6%   ;
+; Average interconnect usage (total/H/V)      ; 0.3% / 0.3% / 0.3%   ;
+; Peak interconnect usage (total/H/V)         ; 2.2% / 2.5% / 1.7%   ;
 ; Maximum fan-out                             ; 90                   ;
-; Highest non-global fan-out                  ; 76                   ;
-; Total fan-out                               ; 1634                 ;
-; Average fan-out                             ; 1.88                 ;
+; Highest non-global fan-out                  ; 42                   ;
+; Total fan-out                               ; 1632                 ;
+; Average fan-out                             ; 1.89                 ;
 +---------------------------------------------+----------------------+
 *  Register count does not include registers inside RAM blocks or DSP blocks.
 
@@ -312,16 +312,16 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 +---------------------------------------------+---------------------+--------------------------------+
 ; Difficulty Clustering Region                ; Low                 ; Low                            ;
 ;                                             ;                     ;                                ;
-; Total logic elements                        ; 326 / 15408 ( 2 % ) ; 6 / 15408 ( < 1 % )            ;
-;     -- Combinational with no register       ; 108                 ; 6                              ;
-;     -- Register only                        ; 55                  ; 0                              ;
-;     -- Combinational with a register        ; 163                 ; 0                              ;
+; Total logic elements                        ; 322 / 15408 ( 2 % ) ; 6 / 15408 ( < 1 % )            ;
+;     -- Combinational with no register       ; 104                 ; 6                              ;
+;     -- Register only                        ; 51                  ; 0                              ;
+;     -- Combinational with a register        ; 167                 ; 0                              ;
 ;                                             ;                     ;                                ;
 ; Logic element usage by number of LUT inputs ;                     ;                                ;
 ;     -- 4 input functions                    ; 102                 ; 3                              ;
 ;     -- 3 input functions                    ; 65                  ; 0                              ;
 ;     -- <=2 input functions                  ; 104                 ; 3                              ;
-;     -- Register only                        ; 55                  ; 0                              ;
+;     -- Register only                        ; 51                  ; 0                              ;
 ;                                             ;                     ;                                ;
 ; Logic elements by mode                      ;                     ;                                ;
 ;     -- normal mode                          ; 214                 ; 6                              ;
@@ -331,7 +331,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ;     -- Dedicated logic registers            ; 218 / 15408 ( 1 % ) ; 0 / 15408 ( 0 % )              ;
 ;     -- I/O registers                        ; 6                   ; 16                             ;
 ;                                             ;                     ;                                ;
-; Total LABs:  partially or completely used   ; 31 / 963 ( 3 % )    ; 1 / 963 ( < 1 % )              ;
+; Total LABs:  partially or completely used   ; 30 / 963 ( 3 % )    ; 1 / 963 ( < 1 % )              ;
 ;                                             ;                     ;                                ;
 ; Virtual pins                                ; 0                   ; 0                              ;
 ; I/O pins                                    ; 126                 ; 8                              ;
@@ -339,7 +339,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ; Total memory bits                           ; 0                   ; 0                              ;
 ; Total RAM block bits                        ; 0                   ; 0                              ;
 ; PLL                                         ; 0 / 2 ( 0 % )       ; 2 / 2 ( 100 % )                ;
-; Clock control block                         ; 0 / 24 ( 0 % )      ; 5 / 24 ( 20 % )                ;
+; Clock control block                         ; 1 / 24 ( 4 % )      ; 5 / 24 ( 20 % )                ;
 ; Double Data Rate I/O output circuitry       ; 3 / 336 ( < 1 % )   ; 4 / 336 ( 1 % )                ;
 ;                                             ;                     ;                                ;
 ; Connections                                 ;                     ;                                ;
@@ -349,8 +349,8 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ;     -- Registered Output Connections        ; 8                   ; 0                              ;
 ;                                             ;                     ;                                ;
 ; Internal Connections                        ;                     ;                                ;
-;     -- Total Connections                    ; 1598                ; 291                            ;
-;     -- Registered Connections               ; 840                 ; 0                              ;
+;     -- Total Connections                    ; 1596                ; 291                            ;
+;     -- Registered Connections               ; 766                 ; 0                              ;
 ;                                             ;                     ;                                ;
 ; External Connections                        ;                     ;                                ;
 ;     -- Top                                  ; 90                  ; 247                            ;
@@ -1023,12 +1023,12 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
 +--------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------+--------------+
 ; Compilation Hierarchy Node                                   ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                ; Entity Name               ; Library Name ;
 +--------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------+--------------+
-; |max80                                                       ; 332 (67)    ; 218 (66)                  ; 11 (11)       ; 0           ; 0    ; 0            ; 0       ; 0         ; 134  ; 0            ; 114 (1)      ; 55 (3)            ; 163 (50)         ; |max80                                                                                                             ; max80                     ; work         ;
-;    |hdmitx:hdmitx|                                           ; 119 (0)     ; 109 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 40 (0)            ; 69 (0)           ; |max80|hdmitx:hdmitx                                                                                               ; hdmitx                    ; work         ;
-;       |altlvds_tx:ALTLVDS_TX_component|                      ; 119 (0)     ; 109 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 40 (0)            ; 69 (0)           ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ; altlvds_tx                ; work         ;
-;          |hdmitx_lvds_tx:auto_generated|                     ; 119 (60)    ; 109 (60)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (1)       ; 40 (39)           ; 69 (20)          ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ; hdmitx_lvds_tx            ; work         ;
-;             |hdmitx_cntr:cntr13|                             ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 4 (4)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13              ; hdmitx_cntr               ; work         ;
-;             |hdmitx_cntr:cntr2|                              ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 3 (3)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2               ; hdmitx_cntr               ; work         ;
+; |max80                                                       ; 328 (67)    ; 218 (66)                  ; 11 (11)       ; 0           ; 0    ; 0            ; 0       ; 0         ; 134  ; 0            ; 110 (1)      ; 51 (1)            ; 167 (50)         ; |max80                                                                                                             ; max80                     ; work         ;
+;    |hdmitx:hdmitx|                                           ; 119 (0)     ; 109 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 41 (0)            ; 68 (0)           ; |max80|hdmitx:hdmitx                                                                                               ; hdmitx                    ; work         ;
+;       |altlvds_tx:ALTLVDS_TX_component|                      ; 119 (0)     ; 109 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 41 (0)            ; 68 (0)           ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ; altlvds_tx                ; work         ;
+;          |hdmitx_lvds_tx:auto_generated|                     ; 119 (61)    ; 109 (60)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (1)       ; 41 (40)           ; 68 (19)          ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ; hdmitx_lvds_tx            ; work         ;
+;             |hdmitx_cntr:cntr13|                             ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 3 (3)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13              ; hdmitx_cntr               ; work         ;
+;             |hdmitx_cntr:cntr2|                              ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 4 (4)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2               ; hdmitx_cntr               ; work         ;
 ;             |hdmitx_ddio_out1:outclock_ddio|                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio  ; hdmitx_ddio_out1          ; work         ;
 ;             |hdmitx_ddio_out:ddio_out|                       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out        ; hdmitx_ddio_out           ; work         ;
 ;             |hdmitx_shift_reg1:shift_reg23|                  ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23   ; hdmitx_shift_reg1         ; work         ;
@@ -1045,9 +1045,9 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
 ;             |pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5| ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5    ; pll_altpll_dyn_phase_le12 ; work         ;
 ;             |pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4|  ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4     ; pll_altpll_dyn_phase_le1  ; work         ;
 ;             |pll_altpll_dyn_phase_le:altpll_dyn_phase_le2|   ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le:altpll_dyn_phase_le2      ; pll_altpll_dyn_phase_le   ; work         ;
-;    |tmdsenc:hdmitmds[0].enc|                                 ; 51 (51)     ; 15 (15)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 3 (3)             ; 17 (17)          ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ; tmdsenc                   ; work         ;
-;    |tmdsenc:hdmitmds[1].enc|                                 ; 50 (50)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 3 (3)             ; 16 (16)          ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ; tmdsenc                   ; work         ;
-;    |tmdsenc:hdmitmds[2].enc|                                 ; 53 (53)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 35 (35)      ; 6 (6)             ; 12 (12)          ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ; tmdsenc                   ; work         ;
+;    |tmdsenc:hdmitmds[0].enc|                                 ; 51 (51)     ; 15 (15)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 30 (30)      ; 3 (3)             ; 18 (18)          ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ; tmdsenc                   ; work         ;
+;    |tmdsenc:hdmitmds[1].enc|                                 ; 50 (50)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 32 (32)      ; 3 (3)             ; 15 (15)          ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ; tmdsenc                   ; work         ;
+;    |tmdsenc:hdmitmds[2].enc|                                 ; 50 (50)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 3 (3)             ; 16 (16)          ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ; tmdsenc                   ; work         ;
 +--------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------+--------------+
 Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
 
@@ -1292,27 +1292,28 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +-----------------------------------------------------------------------------------------------------+----------------+---------+-------------------------+--------+----------------------+------------------+---------------------------+
 ; clock_48                                                                                            ; PIN_M15        ; 1       ; Clock                   ; no     ; --                   ; --               ; --                        ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; PLL_1          ; 82      ; Clock                   ; yes    ; Global Clock         ; GCLK3            ; --                        ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a            ; FF_X15_Y26_N19 ; 41      ; Clock enable            ; no     ; --                   ; --               ; --                        ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a            ; FF_X23_Y21_N17 ; 41      ; Clock enable            ; no     ; --                   ; --               ; --                        ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; PLL_1          ; 31      ; Clock                   ; yes    ; Global Clock         ; GCLK4            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; PLL_2          ; 45      ; Clock                   ; yes    ; Global Clock         ; GCLK7            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; PLL_2          ; 68      ; Clock                   ; yes    ; Global Clock         ; GCLK9            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_locked                          ; PLL_2          ; 13      ; Async. clear            ; no     ; --                   ; --               ; --                        ;
-; rst_n                                                                                               ; FF_X15_Y23_N29 ; 76      ; Async. clear            ; no     ; --                   ; --               ; --                        ;
-; tmdsenc:hdmitmds[0].enc|denreg                                                                      ; FF_X14_Y23_N27 ; 42      ; Sync. clear, Sync. load ; no     ; --                   ; --               ; --                        ;
+; rst_n                                                                                               ; FF_X40_Y27_N27 ; 75      ; Async. clear            ; yes    ; Global Clock         ; GCLK5            ; --                        ;
+; tmdsenc:hdmitmds[0].enc|denreg                                                                      ; FF_X26_Y23_N7  ; 42      ; Sync. clear, Sync. load ; no     ; --                   ; --               ; --                        ;
 +-----------------------------------------------------------------------------------------------------+----------------+---------+-------------------------+--------+----------------------+------------------+---------------------------+
 
 
-+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Global & Other Fast Signals                                                                                                                                                                                                           ;
-+-----------------------------------------------------------------------------------------------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
-; Name                                                                                                ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
-+-----------------------------------------------------------------------------------------------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; PLL_1    ; 82      ; 0                                    ; Global Clock         ; GCLK3            ; --                        ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; PLL_1    ; 31      ; 0                                    ; Global Clock         ; GCLK4            ; --                        ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]                          ; PLL_2    ; 1       ; 0                                    ; Global Clock         ; GCLK8            ; --                        ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; PLL_2    ; 45      ; 0                                    ; Global Clock         ; GCLK7            ; --                        ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; PLL_2    ; 68      ; 0                                    ; Global Clock         ; GCLK9            ; --                        ;
-+-----------------------------------------------------------------------------------------------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Global & Other Fast Signals                                                                                                                                                                                                                 ;
++-----------------------------------------------------------------------------------------------------+----------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+; Name                                                                                                ; Location       ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
++-----------------------------------------------------------------------------------------------------+----------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; PLL_1          ; 82      ; 0                                    ; Global Clock         ; GCLK3            ; --                        ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; PLL_1          ; 31      ; 0                                    ; Global Clock         ; GCLK4            ; --                        ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]                          ; PLL_2          ; 1       ; 0                                    ; Global Clock         ; GCLK8            ; --                        ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; PLL_2          ; 45      ; 0                                    ; Global Clock         ; GCLK7            ; --                        ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; PLL_2          ; 68      ; 0                                    ; Global Clock         ; GCLK9            ; --                        ;
+; rst_n                                                                                               ; FF_X40_Y27_N27 ; 75      ; 0                                    ; Global Clock         ; GCLK5            ; --                        ;
++-----------------------------------------------------------------------------------------------------+----------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
 
 
 +------------------------------------------------+
@@ -1320,137 +1321,144 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +-----------------------+------------------------+
 ; Routing Resource Type ; Usage                  ;
 +-----------------------+------------------------+
-; Block interconnects   ; 264 / 47,787 ( < 1 % ) ;
-; C16 interconnects     ; 8 / 1,804 ( < 1 % )    ;
-; C4 interconnects      ; 114 / 31,272 ( < 1 % ) ;
-; Direct links          ; 65 / 47,787 ( < 1 % )  ;
-; Global clocks         ; 5 / 20 ( 25 % )        ;
-; Local interconnects   ; 214 / 15,408 ( 1 % )   ;
-; R24 interconnects     ; 9 / 1,775 ( < 1 % )    ;
-; R4 interconnects      ; 158 / 41,310 ( < 1 % ) ;
+; Block interconnects   ; 241 / 47,787 ( < 1 % ) ;
+; C16 interconnects     ; 5 / 1,804 ( < 1 % )    ;
+; C4 interconnects      ; 72 / 31,272 ( < 1 % )  ;
+; Direct links          ; 89 / 47,787 ( < 1 % )  ;
+; Global clocks         ; 6 / 20 ( 30 % )        ;
+; Local interconnects   ; 205 / 15,408 ( 1 % )   ;
+; R24 interconnects     ; 5 / 1,775 ( < 1 % )    ;
+; R4 interconnects      ; 103 / 41,310 ( < 1 % ) ;
 +-----------------------+------------------------+
 
 
 +----------------------------------------------------------------------------+
 ; LAB Logic Elements                                                         ;
 +---------------------------------------------+------------------------------+
-; Number of Logic Elements  (Average = 10.71) ; Number of LABs  (Total = 31) ;
+; Number of Logic Elements  (Average = 10.93) ; Number of LABs  (Total = 30) ;
 +---------------------------------------------+------------------------------+
-; 1                                           ; 3                            ;
-; 2                                           ; 2                            ;
-; 3                                           ; 0                            ;
-; 4                                           ; 2                            ;
-; 5                                           ; 1                            ;
+; 1                                           ; 5                            ;
+; 2                                           ; 1                            ;
+; 3                                           ; 1                            ;
+; 4                                           ; 0                            ;
+; 5                                           ; 0                            ;
 ; 6                                           ; 0                            ;
-; 7                                           ; 2                            ;
-; 8                                           ; 0                            ;
+; 7                                           ; 1                            ;
+; 8                                           ; 1                            ;
 ; 9                                           ; 0                            ;
-; 10                                          ; 2                            ;
+; 10                                          ; 3                            ;
 ; 11                                          ; 0                            ;
-; 12                                          ; 2                            ;
+; 12                                          ; 0                            ;
 ; 13                                          ; 3                            ;
-; 14                                          ; 4                            ;
-; 15                                          ; 1                            ;
-; 16                                          ; 9                            ;
+; 14                                          ; 2                            ;
+; 15                                          ; 2                            ;
+; 16                                          ; 11                           ;
 +---------------------------------------------+------------------------------+
 
 
 +-------------------------------------------------------------------+
 ; LAB-wide Signals                                                  ;
 +------------------------------------+------------------------------+
-; LAB-wide Signals  (Average = 1.52) ; Number of LABs  (Total = 31) ;
+; LAB-wide Signals  (Average = 1.37) ; Number of LABs  (Total = 30) ;
 +------------------------------------+------------------------------+
-; 1 Async. clear                     ; 11                           ;
-; 1 Clock                            ; 21                           ;
-; 1 Clock enable                     ; 3                            ;
-; 1 Sync. clear                      ; 4                            ;
-; 1 Sync. load                       ; 1                            ;
-; 2 Clocks                           ; 7                            ;
+; 1 Async. clear                     ; 10                           ;
+; 1 Clock                            ; 18                           ;
+; 1 Clock enable                     ; 2                            ;
+; 1 Sync. clear                      ; 3                            ;
+; 2 Clocks                           ; 8                            ;
 +------------------------------------+------------------------------+
 
 
 +-----------------------------------------------------------------------------+
 ; LAB Signals Sourced                                                         ;
 +----------------------------------------------+------------------------------+
-; Number of Signals Sourced  (Average = 17.45) ; Number of LABs  (Total = 31) ;
+; Number of Signals Sourced  (Average = 17.93) ; Number of LABs  (Total = 30) ;
 +----------------------------------------------+------------------------------+
 ; 0                                            ; 0                            ;
-; 1                                            ; 1                            ;
-; 2                                            ; 3                            ;
+; 1                                            ; 3                            ;
+; 2                                            ; 2                            ;
 ; 3                                            ; 1                            ;
-; 4                                            ; 0                            ;
+; 4                                            ; 1                            ;
 ; 5                                            ; 0                            ;
 ; 6                                            ; 0                            ;
-; 7                                            ; 2                            ;
-; 8                                            ; 1                            ;
-; 9                                            ; 1                            ;
+; 7                                            ; 1                            ;
+; 8                                            ; 0                            ;
+; 9                                            ; 0                            ;
 ; 10                                           ; 0                            ;
 ; 11                                           ; 0                            ;
 ; 12                                           ; 0                            ;
 ; 13                                           ; 0                            ;
-; 14                                           ; 2                            ;
+; 14                                           ; 0                            ;
 ; 15                                           ; 0                            ;
-; 16                                           ; 0                            ;
+; 16                                           ; 1                            ;
 ; 17                                           ; 0                            ;
 ; 18                                           ; 0                            ;
-; 19                                           ; 3                            ;
-; 20                                           ; 2                            ;
-; 21                                           ; 0                            ;
-; 22                                           ; 2                            ;
-; 23                                           ; 5                            ;
+; 19                                           ; 4                            ;
+; 20                                           ; 1                            ;
+; 21                                           ; 2                            ;
+; 22                                           ; 0                            ;
+; 23                                           ; 4                            ;
 ; 24                                           ; 2                            ;
 ; 25                                           ; 1                            ;
-; 26                                           ; 1                            ;
-; 27                                           ; 1                            ;
-; 28                                           ; 1                            ;
-; 29                                           ; 0                            ;
+; 26                                           ; 2                            ;
+; 27                                           ; 0                            ;
+; 28                                           ; 2                            ;
+; 29                                           ; 1                            ;
 ; 30                                           ; 1                            ;
-; 31                                           ; 0                            ;
-; 32                                           ; 1                            ;
+; 31                                           ; 1                            ;
 +----------------------------------------------+------------------------------+
 
 
 +--------------------------------------------------------------------------------+
 ; LAB Signals Sourced Out                                                        ;
 +-------------------------------------------------+------------------------------+
-; Number of Signals Sourced Out  (Average = 4.39) ; Number of LABs  (Total = 31) ;
+; Number of Signals Sourced Out  (Average = 4.80) ; Number of LABs  (Total = 30) ;
 +-------------------------------------------------+------------------------------+
 ; 0                                               ; 1                            ;
-; 1                                               ; 3                            ;
-; 2                                               ; 8                            ;
-; 3                                               ; 5                            ;
-; 4                                               ; 3                            ;
+; 1                                               ; 9                            ;
+; 2                                               ; 4                            ;
+; 3                                               ; 4                            ;
+; 4                                               ; 2                            ;
 ; 5                                               ; 1                            ;
-; 6                                               ; 1                            ;
-; 7                                               ; 2                            ;
-; 8                                               ; 2                            ;
-; 9                                               ; 2                            ;
-; 10                                              ; 2                            ;
+; 6                                               ; 0                            ;
+; 7                                               ; 1                            ;
+; 8                                               ; 1                            ;
+; 9                                               ; 0                            ;
+; 10                                              ; 1                            ;
 ; 11                                              ; 1                            ;
+; 12                                              ; 2                            ;
+; 13                                              ; 1                            ;
+; 14                                              ; 1                            ;
+; 15                                              ; 1                            ;
 +-------------------------------------------------+------------------------------+
 
 
 +----------------------------------------------------------------------------+
 ; LAB Distinct Inputs                                                        ;
 +---------------------------------------------+------------------------------+
-; Number of Distinct Inputs  (Average = 7.00) ; Number of LABs  (Total = 31) ;
+; Number of Distinct Inputs  (Average = 6.57) ; Number of LABs  (Total = 30) ;
 +---------------------------------------------+------------------------------+
 ; 0                                           ; 0                            ;
 ; 1                                           ; 0                            ;
-; 2                                           ; 5                            ;
-; 3                                           ; 5                            ;
-; 4                                           ; 1                            ;
-; 5                                           ; 5                            ;
-; 6                                           ; 1                            ;
+; 2                                           ; 6                            ;
+; 3                                           ; 8                            ;
+; 4                                           ; 0                            ;
+; 5                                           ; 3                            ;
+; 6                                           ; 0                            ;
 ; 7                                           ; 0                            ;
-; 8                                           ; 0                            ;
+; 8                                           ; 4                            ;
 ; 9                                           ; 0                            ;
-; 10                                          ; 4                            ;
+; 10                                          ; 1                            ;
 ; 11                                          ; 1                            ;
-; 12                                          ; 3                            ;
-; 13                                          ; 2                            ;
-; 14                                          ; 1                            ;
-; 15                                          ; 2                            ;
+; 12                                          ; 1                            ;
+; 13                                          ; 1                            ;
+; 14                                          ; 0                            ;
+; 15                                          ; 1                            ;
+; 16                                          ; 1                            ;
+; 17                                          ; 1                            ;
+; 18                                          ; 0                            ;
+; 19                                          ; 0                            ;
+; 20                                          ; 1                            ;
 +---------------------------------------------+------------------------------+
 
 
@@ -1693,7 +1701,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +---------------------------------------------------------------+---------------------------------------------------------------+-------------------+
 ; Source Clock(s)                                               ; Destination Clock(s)                                          ; Delay Added in ns ;
 +---------------------------------------------------------------+---------------------------------------------------------------+-------------------+
-; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 6.4               ;
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 15.3              ;
 +---------------------------------------------------------------+---------------------------------------------------------------+-------------------+
 Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
 This will disable optimization of problematic paths and expose them for further analysis using the Timing Analyzer.
@@ -1704,25 +1712,41 @@ This will disable optimization of problematic paths and expose them for further
 +--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
 ; Source Register                                                                                                          ; Destination Register                                                                                                     ; Delay Added in ns ;
 +--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3]   ; 0.584             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a                                 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.433             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4]   ; 0.330             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1]   ; 0.302             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3]   ; 0.298             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0]   ; 0.273             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1]   ; 0.242             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.185             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.185             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.185             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.185             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.185             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.185             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[8]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[6]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[0]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a                                 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.430             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4]   ; 0.276             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1]   ; 0.275             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[2]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[3]   ; 0.275             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1]   ; 0.275             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.044             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.044             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.044             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11                                       ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4]   ; 0.043             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; 0.025             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; 0.025             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[6] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6] ; 0.025             ;
@@ -1730,9 +1754,8 @@ This will disable optimization of problematic paths and expose them for further
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[3] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; 0.025             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; 0.025             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; 0.025             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3]   ; 0.024             ;
 +--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
-Note: This table only shows the top 27 path(s) that have the largest delay added for hold.
+Note: This table only shows the top 42 path(s) that have the largest delay added for hold.
 
 
 +-----------------+
@@ -1806,13 +1829,37 @@ Warning (332049): Ignored set_false_path at qfit2_legacy_fmain_fitter_flow.tcl(1
 Warning (332174): Ignored filter at qfit2_legacy_fmain_fitter_flow.tcl(117): *internal_phasestep* could not be matched with a clock or keeper or register or port or pin or cell or partition File: /opt/altera/18.1/quartus/common/tcl/internal/qfit2_legacy_fmain_fitter_flow.tcl Line: 117
 Warning (332049): Ignored set_false_path at qfit2_legacy_fmain_fitter_flow.tcl(117): Argument <to> is not an object ID File: /opt/altera/18.1/quartus/common/tcl/internal/qfit2_legacy_fmain_fitter_flow.tcl Line: 117
     Info (332050): run_legacy_fitter_flow File: /opt/altera/18.1/quartus/common/tcl/internal/qfit2_legacy_fmain_fitter_flow.tcl Line: 117
-Critical Warning (332012): Synopsys Design Constraints File file not found: 'max80.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
-Info (332144): No user constrained generated clocks found in the design
-Info (332144): No user constrained base clocks found in the design
-Info (332096): The command derive_clocks did not find any clocks to derive.  No clocks were created or changed.
-Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
+Info (332104): Reading SDC File: 'max80.sdc'
+Info (332110): Deriving PLL clocks
+    Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]}
+    Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[1]} {pll|altpll_component|auto_generated|pll1|clk[1]}
+    Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 4 -multiply_by 3 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[2]} {pll|altpll_component|auto_generated|pll1|clk[2]}
+    Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -multiply_by 5 -phase -90.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]}
+    Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -phase -18.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]}
+Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
+Warning (332174): Ignored filter at max80.sdc(30): *|synchronizer:*|qreg0* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 30
+Warning (332049): Ignored set_multicycle_path at max80.sdc(31): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
+    Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
+    -start -setup 2 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
+Warning (332049): Ignored set_multicycle_path at max80.sdc(33): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
+    Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
+    -start -hold -1 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
+Warning (332174): Ignored filter at max80.sdc(37): sld_signaltap:* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
+Warning (332049): Ignored set_false_path at max80.sdc(37): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
+    Info (332050): set_false_path -to [get_registers sld_signaltap:*] File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
 Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
-Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
+Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
+Info (332111): Found 8 clocks
+    Info (332111):   Period   Clock Name
+    Info (332111): ======== ============
+    Info (332111):   20.834     clock_48
+    Info (332111):    5.555 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]
+    Info (332111):   27.778 hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]
+    Info (332111):   10.417 pll|altpll_component|auto_generated|pll1|clk[0]
+    Info (332111):   10.417 pll|altpll_component|auto_generated|pll1|clk[1]
+    Info (332111):   27.778 pll|altpll_component|auto_generated|pll1|clk[2]
+    Info (332111):   10.417        rst_n
+    Info (332111): 30517.579    rtc_32khz
 Info (176353): Automatically promoted node hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock (placed in counter C0 of PLL_1) File: /home/hpa/abc80/max80/blinktest/db/hdmitx_lvds_tx.v Line: 891
     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3
 Info (176353): Automatically promoted node hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] (placed in counter C1 of PLL_1) File: /home/hpa/abc80/max80/blinktest/db/hdmitx_lvds_tx.v Line: 891
@@ -1823,6 +1870,10 @@ Info (176353): Automatically promoted node pll:pll|altpll:altpll_component|pll_a
     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G7
 Info (176353): Automatically promoted node pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2] (placed in counter C1 of PLL_2) File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 605
     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G9
+Info (176353): Automatically promoted node rst_n  File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 110
+    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
+    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
+        Info (176357): Destination node rst_ctr[0]~12 File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 137
 Info (176233): Starting register packing
 Info (176221): The fitter is attempting to aggressively pack all registers connected to the input, output, or output enable pins into I/Os.
 Info (176235): Finished register packing
@@ -1846,7 +1897,7 @@ Warning (15705): Ignored locations or region assignments to the following nodes
     Warning (15706): Node "xabc_op[2]" is assigned to location or region, but does not exist in design
     Warning (15706): Node "xabc_xio_n" is assigned to location or region, but does not exist in design
     Warning (15706): Node "xabc_xm_n" is assigned to location or region, but does not exist in design
-Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02
+Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
 Info (170189): Fitter placement preparation operations beginning
 Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
@@ -1855,12 +1906,12 @@ Info (170137): Fitter placement was successful
 Info (170192): Fitter placement operations ending: elapsed time is 00:00:00
 Info (170193): Fitter routing operations beginning
 Info (170195): Router estimated average interconnect usage is 0% of the available device resources
-    Info (170196): Router estimated peak interconnect usage is 2% of the available device resources in the region that extends from location X10_Y20 to location X20_Y29
+    Info (170196): Router estimated peak interconnect usage is 2% of the available device resources in the region that extends from location X21_Y20 to location X30_Y29
 Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
     Info (170201): Optimizations that may affect the design's routability were skipped
     Info (170200): Optimizations that may affect the design's timing were skipped
 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
-Info (11888): Total time spent on timing analysis during the Fitter is 0.17 seconds.
+Info (11888): Total time spent on timing analysis during the Fitter is 0.12 seconds.
 Info (334003): Started post-fitting delay annotation
 Info (334004): Delay annotation completed successfully
 Info (334003): Started post-fitting delay annotation
@@ -2000,10 +2051,10 @@ Warning (169064): Following 45 pins have no output enable or a GND or VCC output
     Info (169065): Pin hdmi_scl has a permanently disabled output enable File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 98
     Info (169065): Pin hdmi_hpd has a permanently disabled output enable File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 101
 Info (144001): Generated suppressed messages file /home/hpa/abc80/max80/blinktest/output_files/max80.fit.smsg
-Info: Quartus Prime Fitter was successful. 0 errors, 35 warnings
-    Info: Peak virtual memory: 1345 megabytes
-    Info: Processing ended: Wed Jul 28 12:56:07 2021
-    Info: Elapsed time: 00:00:08
+Info: Quartus Prime Fitter was successful. 0 errors, 39 warnings
+    Info: Peak virtual memory: 1333 megabytes
+    Info: Processing ended: Thu Jul 29 01:11:26 2021
+    Info: Elapsed time: 00:00:09
     Info: Total CPU time (on all processors): 00:00:09
 
 

+ 2 - 2
output_files/max80.fit.summary

@@ -1,11 +1,11 @@
-Fitter Status : Successful - Wed Jul 28 12:56:07 2021
+Fitter Status : Successful - Thu Jul 29 01:11:26 2021
 Quartus Prime Version : 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 Revision Name : max80
 Top-level Entity Name : max80
 Family : Cyclone IV E
 Device : EP4CE15F17C8
 Timing Models : Final
-Total logic elements : 332 / 15,408 ( 2 % )
+Total logic elements : 328 / 15,408 ( 2 % )
     Total combinational functions : 277 / 15,408 ( 2 % )
     Dedicated logic registers : 218 / 15,408 ( 1 % )
 Total registers : 229

+ 12 - 12
output_files/max80.flow.rpt

@@ -1,5 +1,5 @@
 Flow report for max80
-Wed Jul 28 12:56:16 2021
+Thu Jul 29 01:11:34 2021
 Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 
 
@@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula.
 +----------------------------------------------------------------------------------+
 ; Flow Summary                                                                     ;
 +------------------------------------+---------------------------------------------+
-; Flow Status                        ; Successful - Wed Jul 28 12:56:16 2021       ;
+; Flow Status                        ; Successful - Thu Jul 29 01:11:34 2021       ;
 ; Quartus Prime Version              ; 18.1.1 Build 646 04/11/2019 SJ Lite Edition ;
 ; Revision Name                      ; max80                                       ;
 ; Top-level Entity Name              ; max80                                       ;
 ; Family                             ; Cyclone IV E                                ;
 ; Device                             ; EP4CE15F17C8                                ;
 ; Timing Models                      ; Final                                       ;
-; Total logic elements               ; 332 / 15,408 ( 2 % )                        ;
+; Total logic elements               ; 328 / 15,408 ( 2 % )                        ;
 ;     Total combinational functions  ; 277 / 15,408 ( 2 % )                        ;
 ;     Dedicated logic registers      ; 218 / 15,408 ( 1 % )                        ;
 ; Total registers                    ; 229                                         ;
@@ -65,7 +65,7 @@ https://fpgasoftware.intel.com/eula.
 +-------------------+---------------------+
 ; Option            ; Setting             ;
 +-------------------+---------------------+
-; Start date & time ; 07/28/2021 12:55:46 ;
+; Start date & time ; 07/29/2021 01:11:04 ;
 ; Main task         ; Compilation         ;
 ; Revision Name     ; max80               ;
 +-------------------+---------------------+
@@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula.
 +--------------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+
 ; Assignment Name                            ; Value                                  ; Default Value ; Entity Name ; Section Id                        ;
 +--------------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+
-; COMPILER_SIGNATURE_ID                      ; 180546899331588.162750214609282        ; --            ; --          ; --                                ;
+; COMPILER_SIGNATURE_ID                      ; 180546899331588.162754626460986        ; --            ; --          ; --                                ;
 ; EDA_GENERATE_FUNCTIONAL_NETLIST            ; Off                                    ; --            ; --          ; eda_board_design_timing           ;
 ; EDA_GENERATE_FUNCTIONAL_NETLIST            ; Off                                    ; --            ; --          ; eda_board_design_boundary_scan    ;
 ; EDA_GENERATE_FUNCTIONAL_NETLIST            ; Off                                    ; --            ; --          ; eda_board_design_signal_integrity ;
@@ -135,13 +135,13 @@ https://fpgasoftware.intel.com/eula.
 +----------------------+--------------+-------------------------+---------------------+------------------------------------+
 ; Module Name          ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
 +----------------------+--------------+-------------------------+---------------------+------------------------------------+
-; Analysis & Synthesis ; 00:00:12     ; 1.0                     ; 1028 MB             ; 00:00:28                           ;
-; Fitter               ; 00:00:08     ; 1.0                     ; 1345 MB             ; 00:00:09                           ;
-; Assembler            ; 00:00:02     ; 1.0                     ; 906 MB              ; 00:00:02                           ;
-; Power Analyzer       ; 00:00:02     ; 1.0                     ; 1262 MB             ; 00:00:02                           ;
-; Timing Analyzer      ; 00:00:02     ; 1.0                     ; 898 MB              ; 00:00:02                           ;
-; EDA Netlist Writer   ; 00:00:00     ; 1.0                     ; 1125 MB             ; 00:00:01                           ;
-; Total                ; 00:00:26     ; --                      ; --                  ; 00:00:44                           ;
+; Analysis & Synthesis ; 00:00:12     ; 1.0                     ; 1037 MB             ; 00:00:27                           ;
+; Fitter               ; 00:00:09     ; 1.0                     ; 1333 MB             ; 00:00:09                           ;
+; Assembler            ; 00:00:01     ; 1.0                     ; 903 MB              ; 00:00:02                           ;
+; Power Analyzer       ; 00:00:02     ; 1.0                     ; 1268 MB             ; 00:00:02                           ;
+; Timing Analyzer      ; 00:00:02     ; 1.0                     ; 896 MB              ; 00:00:02                           ;
+; EDA Netlist Writer   ; 00:00:00     ; 1.0                     ; 1127 MB             ; 00:00:00                           ;
+; Total                ; 00:00:26     ; --                      ; --                  ; 00:00:42                           ;
 +----------------------+--------------+-------------------------+---------------------+------------------------------------+
 
 

BIN
output_files/max80.jbc


+ 30 - 36
output_files/max80.map.rpt

@@ -1,5 +1,5 @@
 Analysis & Synthesis report for max80
-Wed Jul 28 12:55:58 2021
+Thu Jul 29 01:11:17 2021
 Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 
 
@@ -68,7 +68,7 @@ https://fpgasoftware.intel.com/eula.
 +----------------------------------------------------------------------------------+
 ; Analysis & Synthesis Summary                                                     ;
 +------------------------------------+---------------------------------------------+
-; Analysis & Synthesis Status        ; Successful - Wed Jul 28 12:55:58 2021       ;
+; Analysis & Synthesis Status        ; Successful - Thu Jul 29 01:11:17 2021       ;
 ; Quartus Prime Version              ; 18.1.1 Build 646 04/11/2019 SJ Lite Edition ;
 ; Revision Name                      ; max80                                       ;
 ; Top-level Entity Name              ; max80                                       ;
@@ -563,7 +563,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 ; CLK6_MULTIPLY_BY              ; 0                     ; Untyped              ;
 ; CLK5_MULTIPLY_BY              ; 1                     ; Untyped              ;
 ; CLK4_MULTIPLY_BY              ; 1                     ; Untyped              ;
-; CLK3_MULTIPLY_BY              ; 15                    ; Signed Integer       ;
+; CLK3_MULTIPLY_BY              ; 1                     ; Untyped              ;
 ; CLK2_MULTIPLY_BY              ; 3                     ; Signed Integer       ;
 ; CLK1_MULTIPLY_BY              ; 2                     ; Signed Integer       ;
 ; CLK0_MULTIPLY_BY              ; 2                     ; Signed Integer       ;
@@ -573,7 +573,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 ; CLK6_DIVIDE_BY                ; 0                     ; Untyped              ;
 ; CLK5_DIVIDE_BY                ; 1                     ; Untyped              ;
 ; CLK4_DIVIDE_BY                ; 1                     ; Untyped              ;
-; CLK3_DIVIDE_BY                ; 2                     ; Signed Integer       ;
+; CLK3_DIVIDE_BY                ; 1                     ; Untyped              ;
 ; CLK2_DIVIDE_BY                ; 4                     ; Signed Integer       ;
 ; CLK1_DIVIDE_BY                ; 1                     ; Signed Integer       ;
 ; CLK0_DIVIDE_BY                ; 1                     ; Signed Integer       ;
@@ -599,7 +599,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 ; CLK6_DUTY_CYCLE               ; 50                    ; Untyped              ;
 ; CLK5_DUTY_CYCLE               ; 50                    ; Untyped              ;
 ; CLK4_DUTY_CYCLE               ; 50                    ; Untyped              ;
-; CLK3_DUTY_CYCLE               ; 50                    ; Signed Integer       ;
+; CLK3_DUTY_CYCLE               ; 50                    ; Untyped              ;
 ; CLK2_DUTY_CYCLE               ; 50                    ; Signed Integer       ;
 ; CLK1_DUTY_CYCLE               ; 50                    ; Signed Integer       ;
 ; CLK0_DUTY_CYCLE               ; 50                    ; Signed Integer       ;
@@ -813,7 +813,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 ; CLK2_OUTPUT_FREQUENCY         ; 0                     ; Untyped              ;
 ; CLK1_OUTPUT_FREQUENCY         ; 0                     ; Untyped              ;
 ; CLK0_OUTPUT_FREQUENCY         ; 0                     ; Untyped              ;
-; INTENDED_DEVICE_FAMILY        ; MAX 10                ; Untyped              ;
+; INTENDED_DEVICE_FAMILY        ; Cyclone IV E          ; Untyped              ;
 ; PORT_CLKENA0                  ; PORT_UNUSED           ; Untyped              ;
 ; PORT_CLKENA1                  ; PORT_UNUSED           ; Untyped              ;
 ; PORT_CLKENA2                  ; PORT_UNUSED           ; Untyped              ;
@@ -833,7 +833,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 ; PORT_CLK0                     ; PORT_USED             ; Untyped              ;
 ; PORT_CLK1                     ; PORT_USED             ; Untyped              ;
 ; PORT_CLK2                     ; PORT_USED             ; Untyped              ;
-; PORT_CLK3                     ; PORT_USED             ; Untyped              ;
+; PORT_CLK3                     ; PORT_UNUSED           ; Untyped              ;
 ; PORT_CLK4                     ; PORT_UNUSED           ; Untyped              ;
 ; PORT_CLK5                     ; PORT_UNUSED           ; Untyped              ;
 ; PORT_CLK6                     ; PORT_UNUSED           ; Untyped              ;
@@ -1043,19 +1043,18 @@ Note: In order to hide this table in the UI and the text report file, please set
 +------+-------+----------+---------------------------+
 
 
-+---------------------------------------------------------------------------------------------------------------------------------------------------+
-; Port Connectivity Checks: "pll:pll"                                                                                                               ;
-+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------+
-; Port               ; Type   ; Severity ; Details                                                                                                  ;
-+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------+
-; areset             ; Input  ; Info     ; Stuck at GND                                                                                             ;
-; phasestep          ; Input  ; Info     ; Stuck at GND                                                                                             ;
-; phasecounterselect ; Input  ; Info     ; Stuck at GND                                                                                             ;
-; phaseupdown        ; Input  ; Info     ; Stuck at VCC                                                                                             ;
-; scanclk            ; Input  ; Info     ; Stuck at GND                                                                                             ;
-; phasedone          ; Output ; Info     ; Explicitly unconnected                                                                                   ;
-; c3                 ; Output ; Warning  ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ;
-+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------+
++-----------------------------------------------------------------+
+; Port Connectivity Checks: "pll:pll"                             ;
++--------------------+--------+----------+------------------------+
+; Port               ; Type   ; Severity ; Details                ;
++--------------------+--------+----------+------------------------+
+; areset             ; Input  ; Info     ; Stuck at GND           ;
+; phasestep          ; Input  ; Info     ; Stuck at GND           ;
+; phasecounterselect ; Input  ; Info     ; Stuck at GND           ;
+; phaseupdown        ; Input  ; Info     ; Stuck at VCC           ;
+; scanclk            ; Input  ; Info     ; Stuck at GND           ;
+; phasedone          ; Output ; Info     ; Explicitly unconnected ;
++--------------------+--------+----------+------------------------+
 
 
 +-----------------------------------------------------+
@@ -1104,7 +1103,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 Info: *******************************************************************
 Info: Running Quartus Prime Analysis & Synthesis
     Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
-    Info: Processing started: Wed Jul 28 12:55:46 2021
+    Info: Processing started: Thu Jul 29 01:11:04 2021
 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
@@ -1179,9 +1178,9 @@ Warning (10863): bidir port "hdmi_sda" at max80.sv(99) has no fan-in File: /home
 Warning (10862): bidir port "hdmi_sda" at max80.sv(99) has no fan-out File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 99
 Warning (10862): bidir port "hdmi_hpd" at max80.sv(101) has no fan-out File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 101
 Info (12128): Elaborating entity "pll" for hierarchy "pll:pll" File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 127
-Info (12128): Elaborating entity "altpll" for hierarchy "pll:pll|altpll:altpll_component" File: /home/hpa/abc80/max80/blinktest/ip/pll.v Line: 131
-Info (12130): Elaborated megafunction instantiation "pll:pll|altpll:altpll_component" File: /home/hpa/abc80/max80/blinktest/ip/pll.v Line: 131
-Info (12133): Instantiated megafunction "pll:pll|altpll:altpll_component" with the following parameter: File: /home/hpa/abc80/max80/blinktest/ip/pll.v Line: 131
+Info (12128): Elaborating entity "altpll" for hierarchy "pll:pll|altpll:altpll_component" File: /home/hpa/abc80/max80/blinktest/ip/pll.v Line: 127
+Info (12130): Elaborated megafunction instantiation "pll:pll|altpll:altpll_component" File: /home/hpa/abc80/max80/blinktest/ip/pll.v Line: 127
+Info (12133): Instantiated megafunction "pll:pll|altpll:altpll_component" with the following parameter: File: /home/hpa/abc80/max80/blinktest/ip/pll.v Line: 127
     Info (12134): Parameter "bandwidth_type" = "AUTO"
     Info (12134): Parameter "clk0_divide_by" = "1"
     Info (12134): Parameter "clk0_duty_cycle" = "50"
@@ -1195,13 +1194,9 @@ Info (12133): Instantiated megafunction "pll:pll|altpll:altpll_component" with t
     Info (12134): Parameter "clk2_duty_cycle" = "50"
     Info (12134): Parameter "clk2_multiply_by" = "3"
     Info (12134): Parameter "clk2_phase_shift" = "0"
-    Info (12134): Parameter "clk3_divide_by" = "2"
-    Info (12134): Parameter "clk3_duty_cycle" = "50"
-    Info (12134): Parameter "clk3_multiply_by" = "15"
-    Info (12134): Parameter "clk3_phase_shift" = "0"
     Info (12134): Parameter "compensate_clock" = "CLK0"
     Info (12134): Parameter "inclk0_input_frequency" = "20833"
-    Info (12134): Parameter "intended_device_family" = "MAX 10"
+    Info (12134): Parameter "intended_device_family" = "Cyclone IV E"
     Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=pll"
     Info (12134): Parameter "lpm_type" = "altpll"
     Info (12134): Parameter "operation_mode" = "NORMAL"
@@ -1234,7 +1229,7 @@ Info (12133): Instantiated megafunction "pll:pll|altpll:altpll_component" with t
     Info (12134): Parameter "port_clk0" = "PORT_USED"
     Info (12134): Parameter "port_clk1" = "PORT_USED"
     Info (12134): Parameter "port_clk2" = "PORT_USED"
-    Info (12134): Parameter "port_clk3" = "PORT_USED"
+    Info (12134): Parameter "port_clk3" = "PORT_UNUSED"
     Info (12134): Parameter "port_clk4" = "PORT_UNUSED"
     Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
     Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
@@ -1403,7 +1398,7 @@ Info (13005): Duplicate registers merged to single register
     Info (13350): Duplicate register "tmdsenc:hdmitmds[1].enc|denreg" merged to single register "tmdsenc:hdmitmds[0].enc|denreg" File: /home/hpa/abc80/max80/blinktest/tmdsenc.v Line: 88
     Info (13350): Duplicate register "tmdsenc:hdmitmds[2].enc|denreg" merged to single register "tmdsenc:hdmitmds[0].enc|denreg" File: /home/hpa/abc80/max80/blinktest/tmdsenc.v Line: 88
     Info (13350): Duplicate register "hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[5]" merged to single register "hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[6]" File: /home/hpa/abc80/max80/blinktest/db/hdmitx_lvds_tx.v Line: 512
-Warning (12241): 2 hierarchies have connectivity warnings - see the Connectivity Checks report folder
+Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder
 Warning (13039): The following bidirectional pins have no drivers
     Warning (13040): bidirectional pin "abc_d[0]" has no driver File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 18
     Warning (13040): bidirectional pin "abc_d[1]" has no driver File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 18
@@ -1477,7 +1472,6 @@ Info (17016): Found the following redundant logic cells in design
     Info (17048): Logic cell "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|remap_decoy_le3a_2" File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 562
 Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
     Info (16011): Adding 20 node(s), including 4 DDIO, 2 PLL, 0 transceiver and 6 LCELL
-Warning (15899): PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" has parameters clk3_multiply_by and clk3_divide_by specified but port CLK[3] is not connected File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 491
 Warning (21074): Design contains 37 input pin(s) that do not drive logic
     Warning (15610): No output dependent on input pin "abc_clk" File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 16
     Warning (15610): No output dependent on input pin "abc_a[0]" File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 17
@@ -1522,10 +1516,10 @@ Info (21057): Implemented 475 device resources after synthesis - the final resou
     Info (21060): Implemented 45 bidirectional pins
     Info (21061): Implemented 339 logic cells
     Info (21065): Implemented 2 PLLs
-Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 208 warnings
-    Info: Peak virtual memory: 1077 megabytes
-    Info: Processing ended: Wed Jul 28 12:55:58 2021
-    Info: Elapsed time: 00:00:12
+Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 207 warnings
+    Info: Peak virtual memory: 1086 megabytes
+    Info: Processing ended: Thu Jul 29 01:11:17 2021
+    Info: Elapsed time: 00:00:13
     Info: Total CPU time (on all processors): 00:00:28
 
 

+ 1 - 1
output_files/max80.map.summary

@@ -1,4 +1,4 @@
-Analysis & Synthesis Status : Successful - Wed Jul 28 12:55:58 2021
+Analysis & Synthesis Status : Successful - Thu Jul 29 01:11:17 2021
 Quartus Prime Version : 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 Revision Name : max80
 Top-level Entity Name : max80

+ 197 - 186
output_files/max80.pow.rpt

@@ -1,5 +1,5 @@
 Power Analyzer report for max80
-Wed Jul 28 12:56:12 2021
+Thu Jul 29 01:11:31 2021
 Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 
 
@@ -58,24 +58,24 @@ https://fpgasoftware.intel.com/eula.
 ;                            ;             ;
 ; Usage by Processor         ; % Time Used ;
 ;     Processor 1            ; 100.0%      ;
-;     Processor 2            ;   1.4%      ;
+;     Processor 2            ;   1.2%      ;
 +----------------------------+-------------+
 
 
 +-------------------------------------------------------------------------------------------+
 ; Power Analyzer Summary                                                                    ;
 +----------------------------------------+--------------------------------------------------+
-; Power Analyzer Status                  ; Successful - Wed Jul 28 12:56:12 2021            ;
+; Power Analyzer Status                  ; Successful - Thu Jul 29 01:11:31 2021            ;
 ; Quartus Prime Version                  ; 18.1.1 Build 646 04/11/2019 SJ Lite Edition      ;
 ; Revision Name                          ; max80                                            ;
 ; Top-level Entity Name                  ; max80                                            ;
 ; Family                                 ; Cyclone IV E                                     ;
 ; Device                                 ; EP4CE15F17C8                                     ;
 ; Power Models                           ; Final                                            ;
-; Total Thermal Power Dissipation        ; 170.95 mW                                        ;
-; Core Dynamic Thermal Power Dissipation ; 0.00 mW                                          ;
-; Core Static Thermal Power Dissipation  ; 59.93 mW                                         ;
-; I/O Thermal Power Dissipation          ; 111.02 mW                                        ;
+; Total Thermal Power Dissipation        ; 213.74 mW                                        ;
+; Core Dynamic Thermal Power Dissipation ; 38.27 mW                                         ;
+; Core Static Thermal Power Dissipation  ; 60.18 mW                                         ;
+; I/O Thermal Power Dissipation          ; 115.30 mW                                        ;
 ; Power Estimation Confidence            ; Low: user provided insufficient toggle rate data ;
 +----------------------------------------+--------------------------------------------------+
 
@@ -107,100 +107,93 @@ https://fpgasoftware.intel.com/eula.
 +------------------------------------------------------------------+-----------------------------+---------------+
 
 
-+-----------------------------------------------------------------------------------------------------------------------------------+
-; Indeterminate Toggle Rates                                                                                                        ;
-+-----------------------------------------------------------------------------------------------------+-----------------------------+
-; Node                                                                                                ; Reason                      ;
-+-----------------------------------------------------------------------------------------------------+-----------------------------+
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]                          ; No valid clock domain found ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; No valid clock domain found ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; No valid clock domain found ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; No valid clock domain found ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; No valid clock domain found ;
-; abc_clk                                                                                             ; No valid clock domain found ;
-; abc_a[0]                                                                                            ; No valid clock domain found ;
-; abc_a[1]                                                                                            ; No valid clock domain found ;
-; abc_a[2]                                                                                            ; No valid clock domain found ;
-; abc_a[3]                                                                                            ; No valid clock domain found ;
-; abc_a[4]                                                                                            ; No valid clock domain found ;
-; abc_a[5]                                                                                            ; No valid clock domain found ;
-; abc_a[6]                                                                                            ; No valid clock domain found ;
-; abc_a[7]                                                                                            ; No valid clock domain found ;
-; abc_a[8]                                                                                            ; No valid clock domain found ;
-; abc_a[9]                                                                                            ; No valid clock domain found ;
-; abc_a[10]                                                                                           ; No valid clock domain found ;
-; abc_a[11]                                                                                           ; No valid clock domain found ;
-; abc_a[12]                                                                                           ; No valid clock domain found ;
-; abc_a[13]                                                                                           ; No valid clock domain found ;
-; abc_a[14]                                                                                           ; No valid clock domain found ;
-; abc_a[15]                                                                                           ; No valid clock domain found ;
-; abc_rst_n                                                                                           ; No valid clock domain found ;
-; abc_cs_n                                                                                            ; No valid clock domain found ;
-; abc_out_n[0]                                                                                        ; No valid clock domain found ;
-; abc_out_n[1]                                                                                        ; No valid clock domain found ;
-; abc_out_n[2]                                                                                        ; No valid clock domain found ;
-; abc_out_n[3]                                                                                        ; No valid clock domain found ;
-; abc_out_n[4]                                                                                        ; No valid clock domain found ;
-; abc_inp_n[0]                                                                                        ; No valid clock domain found ;
-; abc_inp_n[1]                                                                                        ; No valid clock domain found ;
-; abc_xmemfl_n                                                                                        ; No valid clock domain found ;
-; abc_xmemw800_n                                                                                      ; No valid clock domain found ;
-; abc_xmemw80_n                                                                                       ; No valid clock domain found ;
-; abc_xinpstb_n                                                                                       ; No valid clock domain found ;
-; abc_xoutpstb_n                                                                                      ; No valid clock domain found ;
-; tty_txd                                                                                             ; No valid clock domain found ;
-; tty_rts                                                                                             ; No valid clock domain found ;
-; tty_dtr                                                                                             ; No valid clock domain found ;
-; flash_miso                                                                                          ; No valid clock domain found ;
-; rtc_32khz                                                                                           ; No valid clock domain found ;
-; rtc_int_n                                                                                           ; No valid clock domain found ;
-; abc_d[0]                                                                                            ; No valid clock domain found ;
-; abc_d[1]                                                                                            ; No valid clock domain found ;
-; abc_d[2]                                                                                            ; No valid clock domain found ;
-; abc_d[3]                                                                                            ; No valid clock domain found ;
-; abc_d[4]                                                                                            ; No valid clock domain found ;
-; abc_d[5]                                                                                            ; No valid clock domain found ;
-; abc_d[6]                                                                                            ; No valid clock domain found ;
-; abc_d[7]                                                                                            ; No valid clock domain found ;
-; hdmi_sda                                                                                            ; No valid clock domain found ;
-; sr_dq[0]                                                                                            ; No valid clock domain found ;
-; sr_dq[1]                                                                                            ; No valid clock domain found ;
-; sr_dq[2]                                                                                            ; No valid clock domain found ;
-; sr_dq[3]                                                                                            ; No valid clock domain found ;
-; sr_dq[4]                                                                                            ; No valid clock domain found ;
-; sr_dq[5]                                                                                            ; No valid clock domain found ;
-; sr_dq[6]                                                                                            ; No valid clock domain found ;
-; sr_dq[7]                                                                                            ; No valid clock domain found ;
-; sr_dq[8]                                                                                            ; No valid clock domain found ;
-; sr_dq[9]                                                                                            ; No valid clock domain found ;
-; sr_dq[10]                                                                                           ; No valid clock domain found ;
-; sr_dq[11]                                                                                           ; No valid clock domain found ;
-; sr_dq[12]                                                                                           ; No valid clock domain found ;
-; sr_dq[13]                                                                                           ; No valid clock domain found ;
-; sr_dq[14]                                                                                           ; No valid clock domain found ;
-; sr_dq[15]                                                                                           ; No valid clock domain found ;
-; sd_dat[0]                                                                                           ; No valid clock domain found ;
-; sd_dat[1]                                                                                           ; No valid clock domain found ;
-; sd_dat[2]                                                                                           ; No valid clock domain found ;
-; sd_dat[3]                                                                                           ; No valid clock domain found ;
-; spi_clk                                                                                             ; No valid clock domain found ;
-; spi_miso                                                                                            ; No valid clock domain found ;
-; spi_mosi                                                                                            ; No valid clock domain found ;
-; spi_cs_esp_n                                                                                        ; No valid clock domain found ;
-; esp_io0                                                                                             ; No valid clock domain found ;
-; esp_int                                                                                             ; No valid clock domain found ;
-; i2c_scl                                                                                             ; No valid clock domain found ;
-; i2c_sda                                                                                             ; No valid clock domain found ;
-; gpio[0]                                                                                             ; No valid clock domain found ;
-; gpio[1]                                                                                             ; No valid clock domain found ;
-; gpio[2]                                                                                             ; No valid clock domain found ;
-; gpio[3]                                                                                             ; No valid clock domain found ;
-; gpio[4]                                                                                             ; No valid clock domain found ;
-; gpio[5]                                                                                             ; No valid clock domain found ;
-; hdmi_scl                                                                                            ; No valid clock domain found ;
-; hdmi_hpd                                                                                            ; No valid clock domain found ;
-; clock_48                                                                                            ; No valid clock domain found ;
-+-----------------------------------------------------------------------------------------------------+-----------------------------+
++----------------------------------------------+
+; Indeterminate Toggle Rates                   ;
++----------------+-----------------------------+
+; Node           ; Reason                      ;
++----------------+-----------------------------+
+; abc_clk        ; No valid clock domain found ;
+; abc_a[0]       ; No valid clock domain found ;
+; abc_a[1]       ; No valid clock domain found ;
+; abc_a[2]       ; No valid clock domain found ;
+; abc_a[3]       ; No valid clock domain found ;
+; abc_a[4]       ; No valid clock domain found ;
+; abc_a[5]       ; No valid clock domain found ;
+; abc_a[6]       ; No valid clock domain found ;
+; abc_a[7]       ; No valid clock domain found ;
+; abc_a[8]       ; No valid clock domain found ;
+; abc_a[9]       ; No valid clock domain found ;
+; abc_a[10]      ; No valid clock domain found ;
+; abc_a[11]      ; No valid clock domain found ;
+; abc_a[12]      ; No valid clock domain found ;
+; abc_a[13]      ; No valid clock domain found ;
+; abc_a[14]      ; No valid clock domain found ;
+; abc_a[15]      ; No valid clock domain found ;
+; abc_rst_n      ; No valid clock domain found ;
+; abc_cs_n       ; No valid clock domain found ;
+; abc_out_n[0]   ; No valid clock domain found ;
+; abc_out_n[1]   ; No valid clock domain found ;
+; abc_out_n[2]   ; No valid clock domain found ;
+; abc_out_n[3]   ; No valid clock domain found ;
+; abc_out_n[4]   ; No valid clock domain found ;
+; abc_inp_n[0]   ; No valid clock domain found ;
+; abc_inp_n[1]   ; No valid clock domain found ;
+; abc_xmemfl_n   ; No valid clock domain found ;
+; abc_xmemw800_n ; No valid clock domain found ;
+; abc_xmemw80_n  ; No valid clock domain found ;
+; abc_xinpstb_n  ; No valid clock domain found ;
+; abc_xoutpstb_n ; No valid clock domain found ;
+; tty_txd        ; No valid clock domain found ;
+; tty_rts        ; No valid clock domain found ;
+; tty_dtr        ; No valid clock domain found ;
+; flash_miso     ; No valid clock domain found ;
+; rtc_int_n      ; No valid clock domain found ;
+; abc_d[0]       ; No valid clock domain found ;
+; abc_d[1]       ; No valid clock domain found ;
+; abc_d[2]       ; No valid clock domain found ;
+; abc_d[3]       ; No valid clock domain found ;
+; abc_d[4]       ; No valid clock domain found ;
+; abc_d[5]       ; No valid clock domain found ;
+; abc_d[6]       ; No valid clock domain found ;
+; abc_d[7]       ; No valid clock domain found ;
+; hdmi_sda       ; No valid clock domain found ;
+; sr_dq[0]       ; No valid clock domain found ;
+; sr_dq[1]       ; No valid clock domain found ;
+; sr_dq[2]       ; No valid clock domain found ;
+; sr_dq[3]       ; No valid clock domain found ;
+; sr_dq[4]       ; No valid clock domain found ;
+; sr_dq[5]       ; No valid clock domain found ;
+; sr_dq[6]       ; No valid clock domain found ;
+; sr_dq[7]       ; No valid clock domain found ;
+; sr_dq[8]       ; No valid clock domain found ;
+; sr_dq[9]       ; No valid clock domain found ;
+; sr_dq[10]      ; No valid clock domain found ;
+; sr_dq[11]      ; No valid clock domain found ;
+; sr_dq[12]      ; No valid clock domain found ;
+; sr_dq[13]      ; No valid clock domain found ;
+; sr_dq[14]      ; No valid clock domain found ;
+; sr_dq[15]      ; No valid clock domain found ;
+; sd_dat[0]      ; No valid clock domain found ;
+; sd_dat[1]      ; No valid clock domain found ;
+; sd_dat[2]      ; No valid clock domain found ;
+; sd_dat[3]      ; No valid clock domain found ;
+; spi_clk        ; No valid clock domain found ;
+; spi_miso       ; No valid clock domain found ;
+; spi_mosi       ; No valid clock domain found ;
+; spi_cs_esp_n   ; No valid clock domain found ;
+; esp_io0        ; No valid clock domain found ;
+; esp_int        ; No valid clock domain found ;
+; i2c_scl        ; No valid clock domain found ;
+; i2c_sda        ; No valid clock domain found ;
+; gpio[0]        ; No valid clock domain found ;
+; gpio[1]        ; No valid clock domain found ;
+; gpio[2]        ; No valid clock domain found ;
+; gpio[3]        ; No valid clock domain found ;
+; gpio[4]        ; No valid clock domain found ;
+; gpio[5]        ; No valid clock domain found ;
+; hdmi_scl       ; No valid clock domain found ;
+; hdmi_hpd       ; No valid clock domain found ;
++----------------+-----------------------------+
 
 
 +----------------------------------------------------------------------+
@@ -218,7 +211,7 @@ https://fpgasoftware.intel.com/eula.
 ;     2.5 V I/O Standard                  ; 2.5 V                      ;
 ;     LVDS I/O Standard                   ; 2.5 V                      ;
 ;                                         ;                            ;
-; Auto computed junction temperature      ; 30.1 degrees Celsius       ;
+; Auto computed junction temperature      ; 31.3 degrees Celsius       ;
 ;     Ambient temperature                 ; 25.0 degrees Celsius       ;
 ;     Junction-to-Case thermal resistance ; 7.30 degrees Celsius/Watt  ;
 ;     Case-to-Ambient thermal resistance  ; 22.30 degrees Celsius/Watt ;
@@ -240,11 +233,13 @@ https://fpgasoftware.intel.com/eula.
 +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
 ; Block Type                            ; Total Thermal Power by Block Type ; Block Thermal Dynamic Power ; Block Thermal Static Power (1) ; Routing Thermal Dynamic Power ; Block Average Toggle Rate (millions of transitions / sec) ;
 +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
-; Combinational cell                    ; 0.00 mW                           ; 0.00 mW                     ; --                             ; 0.00 mW                       ;    0.000                                                  ;
-; Register cell                         ; 0.00 mW                           ; 0.00 mW                     ; --                             ; 0.00 mW                       ;    0.000                                                  ;
-; Double Data Rate I/O Output Circuitry ; 0.00 mW                           ; 0.00 mW                     ; --                             ; 0.00 mW                       ;    0.000                                                  ;
-; I/O register                          ; 0.00 mW                           ; 0.00 mW                     ; --                             ; 0.00 mW                       ;    0.000                                                  ;
-; I/O                                   ; 84.65 mW                          ; 0.00 mW                     ; 84.65 mW                       ; 0.00 mW                       ;    0.000                                                  ;
+; PLL                                   ; 23.74 mW                          ; 23.74 mW                    ; --                             ; 0.00 mW                       ;  111.003                                                  ;
+; Combinational cell                    ; 0.43 mW                           ; 0.35 mW                     ; --                             ; 0.07 mW                       ;    8.145                                                  ;
+; Clock control block                   ; 11.65 mW                          ; 0.00 mW                     ; --                             ; 11.65 mW                      ;  180.003                                                  ;
+; Register cell                         ; 2.44 mW                           ; 1.88 mW                     ; --                             ; 0.57 mW                       ;   13.191                                                  ;
+; Double Data Rate I/O Output Circuitry ; 0.49 mW                           ; 0.49 mW                     ; --                             ; 0.00 mW                       ;    0.000                                                  ;
+; I/O register                          ; 0.21 mW                           ; 0.21 mW                     ; --                             ; 0.00 mW                       ;   12.000                                                  ;
+; I/O                                   ; 88.23 mW                          ; 3.58 mW                     ; 84.65 mW                       ; 0.00 mW                       ;    2.418                                                  ;
 +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
 (1) The "Block Thermal Static Power" for all block types except Pins and the Voltage Regulator, if one exists, is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing.
 
@@ -254,30 +249,30 @@ https://fpgasoftware.intel.com/eula.
 +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
 ; Compilation Hierarchy Node                                      ; Total Thermal Power by Hierarchy (1) ; Block Thermal Dynamic Power (1) ; Block Thermal Static Power (1)(2) ; Routing Thermal Dynamic Power (1) ; Full Hierarchy Name                                                                                                ;
 +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
-; |max80                                                          ; 84.65 mW (84.65 mW)                  ; 0.00 mW (0.00 mW)               ; 84.65 mW (84.65 mW)               ; 0.00 mW (0.00 mW)                 ; |max80                                                                                                             ;
+; |max80                                                          ; 127.19 mW (91.53 mW)                 ; 30.25 mW (4.26 mW)              ; 84.65 mW (84.65 mW)               ; 12.29 mW (2.61 mW)                ; |max80                                                                                                             ;
 ;     |hard_block:auto_generated_inst                             ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hard_block:auto_generated_inst                                                                              ;
-;     |tmdsenc:hdmitmds[0].enc                                    ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ;
-;     |tmdsenc:hdmitmds[1].enc                                    ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ;
-;     |tmdsenc:hdmitmds[2].enc                                    ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ;
+;     |tmdsenc:hdmitmds[0].enc                                    ; 0.16 mW (0.16 mW)                    ; 0.13 mW (0.13 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ;
+;     |tmdsenc:hdmitmds[1].enc                                    ; 0.14 mW (0.14 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ;
+;     |tmdsenc:hdmitmds[2].enc                                    ; 0.14 mW (0.14 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ;
 ;     |transpose:hdmitranspose                                    ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|transpose:hdmitranspose                                                                                     ;
-;     |hdmitx:hdmitx                                              ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx                                                                                               ;
-;         |altlvds_tx:ALTLVDS_TX_component                        ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ;
-;             |hdmitx_lvds_tx:auto_generated                      ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ;
-;                 |hdmitx_cntr:cntr2                              ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2               ;
-;                 |hdmitx_cntr:cntr13                             ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13              ;
-;                 |hdmitx_ddio_out:ddio_out                       ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out        ;
-;                 |hdmitx_shift_reg:outclk_shift_h                ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h ;
-;                 |hdmitx_shift_reg:outclk_shift_l                ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l ;
-;                 |hdmitx_ddio_out1:outclock_ddio                 ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio  ;
-;                 |hdmitx_shift_reg1:shift_reg23                  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23   ;
-;                 |hdmitx_shift_reg1:shift_reg24                  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24   ;
-;                 |hdmitx_shift_reg1:shift_reg25                  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25   ;
-;                 |hdmitx_shift_reg1:shift_reg26                  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26   ;
-;                 |hdmitx_shift_reg1:shift_reg27                  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27   ;
-;                 |hdmitx_shift_reg1:shift_reg28                  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28   ;
-;     |pll:pll                                                    ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll                                                                                                     ;
-;         |altpll:altpll_component                                ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component                                                                             ;
-;             |pll_altpll:auto_generated                          ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated                                                   ;
+;     |hdmitx:hdmitx                                              ; 18.66 mW (0.00 mW)                   ; 13.23 mW (0.00 mW)              ; --                                ; 5.43 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx                                                                                               ;
+;         |altlvds_tx:ALTLVDS_TX_component                        ; 18.66 mW (0.00 mW)                   ; 13.23 mW (0.00 mW)              ; --                                ; 5.43 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ;
+;             |hdmitx_lvds_tx:auto_generated                      ; 18.66 mW (17.08 mW)                  ; 13.23 mW (11.90 mW)             ; --                                ; 5.43 mW (5.18 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ;
+;                 |hdmitx_cntr:cntr2                              ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2               ;
+;                 |hdmitx_cntr:cntr13                             ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13              ;
+;                 |hdmitx_ddio_out:ddio_out                       ; 0.37 mW (0.37 mW)                    ; 0.37 mW (0.37 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out        ;
+;                 |hdmitx_shift_reg:outclk_shift_h                ; 0.10 mW (0.10 mW)                    ; 0.09 mW (0.09 mW)               ; --                                ; 0.01 mW (0.01 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h ;
+;                 |hdmitx_shift_reg:outclk_shift_l                ; 0.08 mW (0.08 mW)                    ; 0.07 mW (0.07 mW)               ; --                                ; 0.01 mW (0.01 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l ;
+;                 |hdmitx_ddio_out1:outclock_ddio                 ; 0.12 mW (0.12 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio  ;
+;                 |hdmitx_shift_reg1:shift_reg23                  ; 0.10 mW (0.10 mW)                    ; 0.07 mW (0.07 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23   ;
+;                 |hdmitx_shift_reg1:shift_reg24                  ; 0.09 mW (0.09 mW)                    ; 0.07 mW (0.07 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24   ;
+;                 |hdmitx_shift_reg1:shift_reg25                  ; 0.13 mW (0.13 mW)                    ; 0.10 mW (0.10 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25   ;
+;                 |hdmitx_shift_reg1:shift_reg26                  ; 0.14 mW (0.14 mW)                    ; 0.10 mW (0.10 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26   ;
+;                 |hdmitx_shift_reg1:shift_reg27                  ; 0.13 mW (0.13 mW)                    ; 0.10 mW (0.10 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27   ;
+;                 |hdmitx_shift_reg1:shift_reg28                  ; 0.13 mW (0.13 mW)                    ; 0.09 mW (0.09 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28   ;
+;     |pll:pll                                                    ; 16.56 mW (0.00 mW)                   ; 12.39 mW (0.00 mW)              ; --                                ; 4.18 mW (0.00 mW)                 ; |max80|pll:pll                                                                                                     ;
+;         |altpll:altpll_component                                ; 16.56 mW (0.00 mW)                   ; 12.39 mW (0.00 mW)              ; --                                ; 4.18 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component                                                                             ;
+;             |pll_altpll:auto_generated                          ; 16.56 mW (16.56 mW)                  ; 12.39 mW (12.39 mW)             ; --                                ; 4.18 mW (4.18 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated                                                   ;
 ;                 |pll_altpll_dyn_phase_le:altpll_dyn_phase_le2   ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le:altpll_dyn_phase_le2      ;
 ;                 |pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4     ;
 ;                 |pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5 ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5    ;
@@ -289,13 +284,20 @@ https://fpgasoftware.intel.com/eula.
 (2) The "Block Thermal Static Power" for all levels of hierarchy except the top-level hierarchy is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing.
 
 
-+--------------------------------------------------------------------+
-; Core Dynamic Thermal Power Dissipation by Clock Domain             ;
-+-----------------+-----------------------+--------------------------+
-; Clock Domain    ; Clock Frequency (MHz) ; Total Core Dynamic Power ;
-+-----------------+-----------------------+--------------------------+
-; No clock domain ; 0.00                  ; 0.00                     ;
-+-----------------+-----------------------+--------------------------+
++--------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Core Dynamic Thermal Power Dissipation by Clock Domain                                                                                                 ;
++-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
+; Clock Domain                                                                                        ; Clock Frequency (MHz) ; Total Core Dynamic Power ;
++-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]                          ; 96.00                 ; 13.64                    ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; 96.00                 ; 2.72                     ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; 36.00                 ; 1.39                     ;
+; clock_48                                                                                            ; 48.00                 ; 0.00                     ;
+; rst_n                                                                                               ; 96.00                 ; 2.56                     ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; 180.02                ; 17.89                    ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; 36.00                 ; 0.77                     ;
+; rtc_32khz                                                                                           ; 0.03                  ; 0.00                     ;
++-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
 
 
 +------------------------------------------------------------------------------------------------------------------------------------+
@@ -303,10 +305,10 @@ https://fpgasoftware.intel.com/eula.
 +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
 ; Voltage Supply ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ;
 +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
-; VCCINT         ; 39.95 mA                ; 0.00 mA                   ; 39.95 mA                 ; 39.95 mA                         ;
-; VCCIO          ; 27.11 mA                ; 0.00 mA                   ; 27.11 mA                 ; 27.11 mA                         ;
-; VCCA           ; 18.22 mA                ; 0.00 mA                   ; 18.22 mA                 ; 18.22 mA                         ;
-; VCCD           ; 7.76 mA                 ; 0.00 mA                   ; 7.76 mA                  ; 7.76 mA                          ;
+; VCCINT         ; 52.99 mA                ; 12.96 mA                  ; 40.03 mA                 ; 52.99 mA                         ;
+; VCCIO          ; 28.12 mA                ; 1.01 mA                   ; 27.11 mA                 ; 28.12 mA                         ;
+; VCCA           ; 22.35 mA                ; 4.08 mA                   ; 18.28 mA                 ; 22.35 mA                         ;
+; VCCD           ; 19.07 mA                ; 11.29 mA                  ; 7.78 mA                  ; 19.07 mA                         ;
 +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
 (1) Currents reported in columns "Total Current Drawn", "Dynamic Current Drawn", and "Static Current Drawn" are sufficient for user operation of the device.  
 (2) Currents reported in column "Minimum Power Supply Current" are sufficient for power-up, configuration, and user operation of the device.
@@ -320,11 +322,11 @@ https://fpgasoftware.intel.com/eula.
 ; 1        ; 3.3V          ; 1.27 mA             ; 0.00 mA               ; 1.27 mA              ;
 ; 2        ; 3.3V          ; 1.31 mA             ; 0.00 mA               ; 1.31 mA              ;
 ; 3        ; 3.3V          ; 1.43 mA             ; 0.00 mA               ; 1.43 mA              ;
-; 4        ; 3.3V          ; 1.25 mA             ; 0.00 mA               ; 1.25 mA              ;
-; 5        ; 2.5V          ; 17.74 mA            ; 0.00 mA               ; 17.74 mA             ;
+; 4        ; 3.3V          ; 1.41 mA             ; 0.15 mA               ; 1.25 mA              ;
+; 5        ; 2.5V          ; 17.77 mA            ; 0.03 mA               ; 17.74 mA             ;
 ; 6        ; 3.3V          ; 1.25 mA             ; 0.00 mA               ; 1.25 mA              ;
 ; 7        ; 3.3V          ; 1.43 mA             ; 0.00 mA               ; 1.43 mA              ;
-; 8        ; 3.3V          ; 1.43 mA             ; 0.00 mA               ; 1.43 mA              ;
+; 8        ; 3.3V          ; 2.25 mA             ; 0.82 mA               ; 1.43 mA              ;
 +----------+---------------+---------------------+-----------------------+----------------------+
 
 
@@ -333,38 +335,38 @@ https://fpgasoftware.intel.com/eula.
 +---------------+-------------------------+---------------------------+--------------------------+----------------------------------+
 ; VCCIO Voltage ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ;
 +---------------+-------------------------+---------------------------+--------------------------+----------------------------------+
-; 2.5V          ; 17.74 mA                ; 0.00 mA                   ; 17.74 mA                 ; 17.74 mA                         ;
-; 3.3V          ; 9.37 mA                 ; 0.00 mA                   ; 9.37 mA                  ; 9.37 mA                          ;
+; 2.5V          ; 17.77 mA                ; 0.03 mA                   ; 17.74 mA                 ; 17.77 mA                         ;
+; 3.3V          ; 10.35 mA                ; 0.98 mA                   ; 9.37 mA                  ; 10.35 mA                         ;
 +---------------+-------------------------+---------------------------+--------------------------+----------------------------------+
 (1) Currents reported in columns "Total Current Drawn", "Dynamic Current Drawn", and "Static Current Drawn" are sufficient for user operation of the device.  
 (2) Currents reported in column "Minimum Power Supply Current" are sufficient for power-up, configuration, and user operation of the device.
 
 
-+--------------------------------------------------------------------------------------------------------------------------------------------------+
-; Confidence Metric Details                                                                                                                        ;
-+----------------------------------------------------------------------------------------+-------------+------------+--------------+---------------+
-; Data Source                                                                            ; Total       ; Pin        ; Registered   ; Combinational ;
-+----------------------------------------------------------------------------------------+-------------+------------+--------------+---------------+
-; Simulation (from file)                                                                 ;             ;            ;              ;               ;
-;     -- Number of signals with Toggle Rate from Simulation                              ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)     ; 0 (0.0%)      ;
-;     -- Number of signals with Static Probability from Simulation                       ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)     ; 0 (0.0%)      ;
-;                                                                                        ;             ;            ;              ;               ;
-; Node, entity or clock assignment                                                       ;             ;            ;              ;               ;
-;     -- Number of signals with Toggle Rate from Node, entity or clock assignment        ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)     ; 0 (0.0%)      ;
-;     -- Number of signals with Static Probability from Node, entity or clock assignment ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)     ; 0 (0.0%)      ;
-;                                                                                        ;             ;            ;              ;               ;
-; Vectorless estimation                                                                  ;             ;            ;              ;               ;
-;     -- Number of signals with Toggle Rate from Vectorless estimation                   ; 806 (90.2%) ; 96 (53.6%) ; 221 (100.0%) ; 489 (99.0%)   ;
-;     -- Number of signals with Zero toggle rate, from Vectorless estimation             ; 187 (20.9%) ; 85 (47.5%) ; 0 (0.0%)     ; 102 (20.6%)   ;
-;     -- Number of signals with Static Probability from Vectorless estimation            ; 806 (90.2%) ; 96 (53.6%) ; 221 (100.0%) ; 489 (99.0%)   ;
-;                                                                                        ;             ;            ;              ;               ;
-; Default assignment                                                                     ;             ;            ;              ;               ;
-;     -- Number of signals with Toggle Rate from Default assignment                      ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)     ; 0 (0.0%)      ;
-;     -- Number of signals with Static Probability from Default assignment               ; 88 (9.8%)   ; 83 (46.4%) ; 0 (0.0%)     ; 5 (1.0%)      ;
-;                                                                                        ;             ;            ;              ;               ;
-; Assumed 0                                                                              ;             ;            ;              ;               ;
-;     -- Number of signals with Toggle Rate assumed 0                                    ; 88 (9.8%)   ; 83 (46.4%) ; 0 (0.0%)     ; 5 (1.0%)      ;
-+----------------------------------------------------------------------------------------+-------------+------------+--------------+---------------+
++-------------------------------------------------------------------------------------------------------------------------------------------------+
+; Confidence Metric Details                                                                                                                       ;
++----------------------------------------------------------------------------------------+-------------+------------+-------------+---------------+
+; Data Source                                                                            ; Total       ; Pin        ; Registered  ; Combinational ;
++----------------------------------------------------------------------------------------+-------------+------------+-------------+---------------+
+; Simulation (from file)                                                                 ;             ;            ;             ;               ;
+;     -- Number of signals with Toggle Rate from Simulation                              ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)    ; 0 (0.0%)      ;
+;     -- Number of signals with Static Probability from Simulation                       ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)    ; 0 (0.0%)      ;
+;                                                                                        ;             ;            ;             ;               ;
+; Node, entity or clock assignment                                                       ;             ;            ;             ;               ;
+;     -- Number of signals with Toggle Rate from Node, entity or clock assignment        ; 8 (0.9%)    ; 2 (1.1%)   ; 1 (0.5%)    ; 5 (1.0%)      ;
+;     -- Number of signals with Static Probability from Node, entity or clock assignment ; 8 (0.9%)    ; 2 (1.1%)   ; 1 (0.5%)    ; 5 (1.0%)      ;
+;                                                                                        ;             ;            ;             ;               ;
+; Vectorless estimation                                                                  ;             ;            ;             ;               ;
+;     -- Number of signals with Toggle Rate from Vectorless estimation                   ; 803 (90.0%) ; 96 (53.6%) ; 220 (99.5%) ; 487 (99.0%)   ;
+;     -- Number of signals with Zero toggle rate, from Vectorless estimation             ; 200 (22.4%) ; 92 (51.4%) ; 1 (0.5%)    ; 107 (21.7%)   ;
+;     -- Number of signals with Static Probability from Vectorless estimation            ; 803 (90.0%) ; 96 (53.6%) ; 220 (99.5%) ; 487 (99.0%)   ;
+;                                                                                        ;             ;            ;             ;               ;
+; Default assignment                                                                     ;             ;            ;             ;               ;
+;     -- Number of signals with Toggle Rate from Default assignment                      ; 0 (0.0%)    ; 0 (0.0%)   ; 0 (0.0%)    ; 0 (0.0%)      ;
+;     -- Number of signals with Static Probability from Default assignment               ; 81 (9.1%)   ; 81 (45.3%) ; 0 (0.0%)    ; 0 (0.0%)      ;
+;                                                                                        ;             ;            ;             ;               ;
+; Assumed 0                                                                              ;             ;            ;             ;               ;
+;     -- Number of signals with Toggle Rate assumed 0                                    ; 81 (9.1%)   ; 81 (45.3%) ; 0 (0.0%)    ; 0 (0.0%)      ;
++----------------------------------------------------------------------------------------+-------------+------------+-------------+---------------+
 
 
 +---------------------------------------------------------------------------------------------------------------------------------------------+
@@ -381,7 +383,7 @@ https://fpgasoftware.intel.com/eula.
 Info: *******************************************************************
 Info: Running Quartus Prime Power Analyzer
     Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
-    Info: Processing started: Wed Jul 28 12:56:10 2021
+    Info: Processing started: Thu Jul 29 01:11:29 2021
 Info: Command: quartus_pow --read_settings_files=off --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (21077): Low junction temperature is 0 degrees C
@@ -394,27 +396,36 @@ Warning (332173): Ignored filter: *phasedone_state* could not be matched with a
 Warning (332048): Ignored set_false_path: Argument <to> is not an object ID
 Warning (332173): Ignored filter: *internal_phasestep* could not be matched with a clock or keeper or register or port or pin or cell or partition
 Warning (332048): Ignored set_false_path: Argument <to> is not an object ID
-Critical Warning (332012): Synopsys Design Constraints File file not found: 'max80.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
-Warning (332060): Node: clock_48 was determined to be a clock but was found without an associated clock assignment.
-    Info (13166): Register led_ctr[26]~_Duplicate_1 is being clocked by clock_48
-Warning (332068): No clocks defined in design.
-Warning (332056): PLL cross checking found inconsistent PLL clock settings:
-    Warning (332056): Node: pll|altpll_component|auto_generated|pll1|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.833
-    Warning (332056): Node: pll|altpll_component|auto_generated|pll1|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.833
-    Warning (332056): Node: pll|altpll_component|auto_generated|pll1|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.833
-    Warning (332056): Node: hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 27.778
-    Warning (332056): Node: hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 27.778
+Info (332104): Reading SDC File: 'max80.sdc'
+Info (332110): Deriving PLL clocks
+    Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]}
+    Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[1]} {pll|altpll_component|auto_generated|pll1|clk[1]}
+    Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 4 -multiply_by 3 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[2]} {pll|altpll_component|auto_generated|pll1|clk[2]}
+    Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -multiply_by 5 -phase -90.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]}
+    Info (332110): create_generated_clock -source {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|inclk[0]} -phase -18.00 -duty_cycle 50.00 -name {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]} {hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]}
+Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
+Warning (332174): Ignored filter at max80.sdc(30): *|synchronizer:*|qreg0* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 30
+Warning (332049): Ignored set_multicycle_path at max80.sdc(31): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
+    Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
+    -start -setup 2 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 31
+Warning (332049): Ignored set_multicycle_path at max80.sdc(33): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
+    Info (332050): set_multicycle_path -from [all_clocks] -to $synchro_inputs \
+    -start -hold -1 File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 33
+Warning (332174): Ignored filter at max80.sdc(37): sld_signaltap:* could not be matched with a register File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
+Warning (332049): Ignored set_false_path at max80.sdc(37): Argument <to> is an empty collection File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
+    Info (332050): set_false_path -to [get_registers sld_signaltap:*] File: /home/hpa/abc80/max80/blinktest/max80.sdc Line: 37
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
 Info (223000): Starting Vectorless Power Activity Estimation
 Warning (222013): Relative toggle rates could not be calculated because no clock domain could be identified for some nodes
 Info (223001): Completed Vectorless Power Activity Estimation
 Info (218000): Using Advanced I/O Power to simulate I/O buffers with the specified board trace model
 Info (334003): Started post-fitting delay annotation
 Info (334004): Delay annotation completed successfully
-Info (215049): Average toggle rate for this design is 0.000 millions of transitions / sec
-Info (215031): Total thermal power estimate for the design is 170.95 mW
-Info: Quartus Prime Power Analyzer was successful. 0 errors, 15 warnings
-    Info: Peak virtual memory: 1262 megabytes
-    Info: Processing ended: Wed Jul 28 12:56:12 2021
+Info (215049): Average toggle rate for this design is 11.033 millions of transitions / sec
+Info (215031): Total thermal power estimate for the design is 213.74 mW
+Info: Quartus Prime Power Analyzer was successful. 0 errors, 11 warnings
+    Info: Peak virtual memory: 1268 megabytes
+    Info: Processing ended: Thu Jul 29 01:11:31 2021
     Info: Elapsed time: 00:00:02
     Info: Total CPU time (on all processors): 00:00:02
 

+ 5 - 5
output_files/max80.pow.summary

@@ -1,12 +1,12 @@
-Power Analyzer Status : Successful - Wed Jul 28 12:56:12 2021
+Power Analyzer Status : Successful - Thu Jul 29 01:11:31 2021
 Quartus Prime Version : 18.1.1 Build 646 04/11/2019 SJ Lite Edition
 Revision Name : max80
 Top-level Entity Name : max80
 Family : Cyclone IV E
 Device : EP4CE15F17C8
 Power Models : Final
-Total Thermal Power Dissipation : 170.95 mW
-Core Dynamic Thermal Power Dissipation : 0.00 mW
-Core Static Thermal Power Dissipation : 59.93 mW
-I/O Thermal Power Dissipation : 111.02 mW
+Total Thermal Power Dissipation : 213.74 mW
+Core Dynamic Thermal Power Dissipation : 38.27 mW
+Core Static Thermal Power Dissipation : 60.18 mW
+I/O Thermal Power Dissipation : 115.30 mW
 Power Estimation Confidence : Low: user provided insufficient toggle rate data

BIN
output_files/max80.sof


File diff suppressed because it is too large
+ 460 - 467
output_files/max80.sta.rpt


+ 42 - 78
output_files/max80.sta.summary

@@ -3,19 +3,19 @@ Timing Analyzer Summary
 ------------------------------------------------------------
 
 Type  : Slow 1200mV 85C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 1.854
+Slack : 1.950
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 5.088
+Slack : 4.836
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 18.084
+Slack : 18.699
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 22.554
+Slack : 22.674
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[1]'
@@ -23,39 +23,23 @@ Slack : 0.467
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 0.503
+Slack : 0.504
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.529
+Slack : 0.653
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 1.560
-TNS   : 0.000
-
-Type  : Slow 1200mV 85C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.827
-TNS   : 0.000
-
-Type  : Slow 1200mV 85C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 5.665
-TNS   : 0.000
-
-Type  : Slow 1200mV 85C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 1.509
-TNS   : 0.000
-
-Type  : Slow 1200mV 85C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 3.366
+Slack : 2.352
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.476
+Slack : 2.477
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 4.907
+Slack : 4.910
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'clock_48'
@@ -63,27 +47,31 @@ Slack : 10.341
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 13.584
+Slack : 13.586
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 13.587
+Slack : 13.589
+TNS   : 0.000
+
+Type  : Slow 1200mV 85C Model Minimum Pulse Width 'rtc_32khz'
+Slack : 30513.579
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.062
+Slack : 2.147
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 5.555
+Slack : 5.372
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 18.708
+Slack : 19.264
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 22.850
+Slack : 22.960
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[1]'
@@ -91,39 +79,23 @@ Slack : 0.419
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 0.471
+Slack : 0.472
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.494
+Slack : 0.609
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 1.446
-TNS   : 0.000
-
-Type  : Slow 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 1.006
-TNS   : 0.000
-
-Type  : Slow 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 5.880
-TNS   : 0.000
-
-Type  : Slow 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 1.350
-TNS   : 0.000
-
-Type  : Slow 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 3.033
+Slack : 2.189
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.476
+Slack : 2.475
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 4.909
+Slack : 4.910
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'clock_48'
@@ -138,24 +110,28 @@ Type  : Slow 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|au
 Slack : 13.588
 TNS   : 0.000
 
+Type  : Slow 1200mV 0C Model Minimum Pulse Width 'rtc_32khz'
+Slack : 30513.579
+TNS   : 0.000
+
 Type  : Fast 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 3.823
+Slack : 3.903
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 8.114
+Slack : 8.006
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 23.486
+Slack : 23.668
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 24.576
+Slack : 24.654
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 0.195
+Slack : 0.194
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[1]'
@@ -163,27 +139,11 @@ Slack : 0.195
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.206
+Slack : 0.269
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 0.631
-TNS   : 0.000
-
-Type  : Fast 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 2.270
-TNS   : 0.000
-
-Type  : Fast 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 8.237
-TNS   : 0.000
-
-Type  : Fast 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.612
-TNS   : 0.000
-
-Type  : Fast 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 1.410
+Slack : 0.989
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
@@ -198,12 +158,16 @@ Type  : Fast 1200mV 0C Model Minimum Pulse Width 'clock_48'
 Slack : 10.004
 TNS   : 0.000
 
-Type  : Fast 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
+Type  : Fast 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[2]'
 Slack : 13.673
 TNS   : 0.000
 
-Type  : Fast 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 13.673
+Type  : Fast 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
+Slack : 13.674
+TNS   : 0.000
+
+Type  : Fast 1200mV 0C Model Minimum Pulse Width 'rtc_32khz'
+Slack : 30513.579
 TNS   : 0.000
 
 ------------------------------------------------------------

+ 96 - 0
synchro.v

@@ -0,0 +1,96 @@
+//
+// synchro.v
+//
+// Asynchronous input synchronizer
+//
+//
+// These attributes tell the compiler and fitter respectively
+// to treat these registers as low-level constructs and turn
+// them into a synchronizer chain. No inferring RAMs or anything like that,
+// and pack them close together.
+//
+module synchronizer #(parameter width = 1, parameter stages = 2)
+   (
+    input rst_n,
+    input clk,
+
+    input [width-1:0] d,
+    output [width-1:0] q
+    );
+
+   // Quartus doesn't support $sformatf() for synthesis, sigh...
+   function string tostr(input integer i);
+     if (i < 0)
+       tostr = {"-",tostr(-i)};
+     else if (i >= 10)
+       tostr = {tostr(i/10), tostr(i%10)};
+     else if (i == 0)
+       tostr = "0";
+     else if (i == 1)
+       tostr = "1";
+     else if (i == 2)
+       tostr = "2";
+     else if (i == 3)
+       tostr = "3";
+     else if (i == 4)
+       tostr = "4";
+     else if (i == 5)
+       tostr = "5";
+     else if (i == 6)
+       tostr = "6";
+     else if (i == 7)
+       tostr = "7";
+     else if (i == 8)
+       tostr = "8";
+     else
+       tostr = "9";
+   endfunction
+
+   // SYNCHRONIZER_IDENTIFICATION FORCED identifies the *beginning* of
+   // the synchro; it needs to be used with AUTO for the other stages or
+   // the chains will be broken up for each stage.
+   //
+   // Because of different attributes, this is not simply qreg[0].
+   (*
+    syn_preserve = 1,
+    altera_attribute =
+	{"-name SYNCHRONIZER_IDENTIFICATION FORCED ; ",
+	 "-name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ", tostr(stages-1)}
+    *)
+   reg [width-1:0] qreg0;
+
+   (*
+    syn_preserve = 1,
+    altera_attribute =
+	{"-name SYNCHRONIZER_IDENTIFICATION AUTO ; ",
+	 "-name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ", tostr(stages-1)}
+    *)
+   reg [width-1:0] qreg[stages-1:1];
+
+   always @(posedge clk or negedge rst_n)
+     if (~rst_n)
+       qreg0 <= {width{1'b0}};
+     else
+       qreg0 <= d;
+
+   always @(posedge clk or negedge rst_n)
+     if (~rst_n)
+       qreg[1] <= {width{1'b0}};
+     else
+       qreg[1] <= qreg0;
+
+   generate
+      genvar i;
+
+      for (i = 2; i < stages; i = i + 1)
+	begin : stage
+	   always @(posedge clk or negedge rst_n)
+	     if (~rst_n)
+	       qreg[i] <= {width{1'b0}};
+	     else
+	       qreg[i] <= qreg[i-1];
+	end
+   endgenerate
+
+   assign q = qreg[stages-1];
+endmodule // synchronizer

Some files were not shown because too many files changed in this diff