Browse Source

Add pin for flash_mosi; update some I/O options

H. Peter Anvin 3 years ago
parent
commit
85544a3c97

+ 1 - 1
max80.pins

@@ -6,7 +6,7 @@
 e1	abc_a[6]
 b1	abc_xm_x
 c2	abc_a_oe
-# c1	ASDO
+c1	flash_mosi
 f3	abc_a[5]
 d2	flash_cs_n
 d1	abc_a[3]

+ 2 - 0
max80.qsf

@@ -143,9 +143,11 @@ set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCQ128A
 
 
 
+
 set_location_assignment PIN_E1 -to abc_a[6]
 set_location_assignment PIN_B1 -to abc_xm_x
 set_location_assignment PIN_C2 -to abc_a_oe
+set_location_assignment PIN_C1 -to flash_mosi
 set_location_assignment PIN_F3 -to abc_a[5]
 set_location_assignment PIN_D2 -to flash_cs_n
 set_location_assignment PIN_D1 -to abc_a[3]

+ 8 - 8
output_files/max80.asm.rpt

@@ -1,5 +1,5 @@
 Assembler report for max80
-Fri Aug  6 17:56:43 2021
+Fri Aug  6 18:02:17 2021
 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 
 
@@ -40,7 +40,7 @@ https://fpgasoftware.intel.com/eula.
 +---------------------------------------------------------------+
 ; Assembler Summary                                             ;
 +-----------------------+---------------------------------------+
-; Assembler Status      ; Successful - Fri Aug  6 17:56:43 2021 ;
+; Assembler Status      ; Successful - Fri Aug  6 18:02:17 2021 ;
 ; Revision Name         ; max80                                 ;
 ; Top-level Entity Name ; max80                                 ;
 ; Family                ; Cyclone IV E                          ;
@@ -71,8 +71,8 @@ https://fpgasoftware.intel.com/eula.
 +----------------+--------------------+
 ; Option         ; Setting            ;
 +----------------+--------------------+
-; JTAG usercode  ; 0x0010CB6C         ;
-; Checksum       ; 0x0010CB6C         ;
+; JTAG usercode  ; 0x0010F462         ;
+; Checksum       ; 0x0010F462         ;
 +----------------+--------------------+
 
 
@@ -91,7 +91,7 @@ https://fpgasoftware.intel.com/eula.
 ; Option             ; Setting        ;
 +--------------------+----------------+
 ; JTAG usercode      ; 0x00000000     ;
-; Checksum           ; 0xFCE38EFD     ;
+; Checksum           ; 0xFCE24D45     ;
 ; Compression Ratio  ; 3              ;
 +--------------------+----------------+
 
@@ -102,7 +102,7 @@ https://fpgasoftware.intel.com/eula.
 Info: *******************************************************************
 Info: Running Quartus Prime Assembler
     Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
-    Info: Processing started: Fri Aug  6 17:56:41 2021
+    Info: Processing started: Fri Aug  6 18:02:15 2021
 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (115031): Writing out detailed assembly data for power analysis
@@ -110,8 +110,8 @@ Info (115030): Assembler is generating device programming files
 Info (210117): Created JAM or JBC file for the specified chain: 
 Device 1 (EP4CE15F17; /home/hpa/abc80/max80/blinktest/output_files/max80.sof)
 Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
-    Info: Peak virtual memory: 569 megabytes
-    Info: Processing ended: Fri Aug  6 17:56:43 2021
+    Info: Peak virtual memory: 570 megabytes
+    Info: Processing ended: Fri Aug  6 18:02:17 2021
     Info: Elapsed time: 00:00:02
     Info: Total CPU time (on all processors): 00:00:02
 

+ 1 - 1
output_files/max80.done

@@ -1 +1 @@
-Fri Aug  6 17:56:48 2021
+Fri Aug  6 18:02:21 2021

+ 6 - 6
output_files/max80.eda.rpt

@@ -1,5 +1,5 @@
 EDA Netlist Writer report for max80
-Fri Aug  6 17:56:47 2021
+Fri Aug  6 18:02:21 2021
 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 
 
@@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula.
 +-------------------------------------------------------------------+
 ; EDA Netlist Writer Summary                                        ;
 +---------------------------+---------------------------------------+
-; EDA Netlist Writer Status ; Successful - Fri Aug  6 17:56:47 2021 ;
+; EDA Netlist Writer Status ; Successful - Fri Aug  6 18:02:21 2021 ;
 ; Revision Name             ; max80                                 ;
 ; Top-level Entity Name     ; max80                                 ;
 ; Family                    ; Cyclone IV E                          ;
@@ -84,15 +84,15 @@ https://fpgasoftware.intel.com/eula.
 Info: *******************************************************************
 Info: Running Quartus Prime EDA Netlist Writer
     Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
-    Info: Processing started: Fri Aug  6 17:56:47 2021
+    Info: Processing started: Fri Aug  6 18:02:20 2021
 Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (204019): Generated file max80.vo in folder "/home/hpa/abc80/max80/blinktest/simulation/modelsim/" for EDA simulation tool
 Info (204020): Writing VCD Dump Commands for all nodes to /home/hpa/abc80/max80/blinktest/simulation/modelsim/max80_dump_all_vcd_nodes.tcl
 Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
-    Info: Peak virtual memory: 816 megabytes
-    Info: Processing ended: Fri Aug  6 17:56:47 2021
-    Info: Elapsed time: 00:00:00
+    Info: Peak virtual memory: 815 megabytes
+    Info: Processing ended: Fri Aug  6 18:02:21 2021
+    Info: Elapsed time: 00:00:01
     Info: Total CPU time (on all processors): 00:00:00
 
 

+ 219 - 240
output_files/max80.fit.rpt

@@ -1,5 +1,5 @@
 Fitter report for max80
-Fri Aug  6 17:56:41 2021
+Fri Aug  6 18:02:14 2021
 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 
 
@@ -73,7 +73,7 @@ https://fpgasoftware.intel.com/eula.
 +----------------------------------------------------------------------------------+
 ; Fitter Summary                                                                   ;
 +------------------------------------+---------------------------------------------+
-; Fitter Status                      ; Successful - Fri Aug  6 17:56:41 2021       ;
+; Fitter Status                      ; Successful - Fri Aug  6 18:02:14 2021       ;
 ; Quartus Prime Version              ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
 ; Revision Name                      ; max80                                       ;
 ; Top-level Entity Name              ; max80                                       ;
@@ -265,7 +265,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ;     -- Dedicated logic registers            ; 218 / 15,408 ( 1 % ) ;
 ;     -- I/O registers                        ; 11 / 758 ( 1 % )     ;
 ;                                             ;                      ;
-; Total LABs:  partially or completely used   ; 31 / 963 ( 3 % )     ;
+; Total LABs:  partially or completely used   ; 29 / 963 ( 3 % )     ;
 ; Virtual pins                                ; 0                    ;
 ; I/O pins                                    ; 142 / 166 ( 86 % )   ;
 ;     -- Clock pins                           ; 4 / 3 ( 133 % )      ;
@@ -283,11 +283,11 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ; ASMI blocks                                 ; 0 / 1 ( 0 % )        ;
 ; Oscillator blocks                           ; 0 / 1 ( 0 % )        ;
 ; Impedance control blocks                    ; 0 / 4 ( 0 % )        ;
-; Average interconnect usage (total/H/V)      ; 0.3% / 0.3% / 0.2%   ;
-; Peak interconnect usage (total/H/V)         ; 1.6% / 1.4% / 1.8%   ;
+; Average interconnect usage (total/H/V)      ; 0.3% / 0.3% / 0.4%   ;
+; Peak interconnect usage (total/H/V)         ; 2.8% / 3.0% / 2.5%   ;
 ; Maximum fan-out                             ; 90                   ;
 ; Highest non-global fan-out                  ; 42                   ;
-; Total fan-out                               ; 1657                 ;
+; Total fan-out                               ; 1655                 ;
 ; Average fan-out                             ; 1.87                 ;
 +---------------------------------------------+----------------------+
 *  Register count does not include registers inside RAM blocks or DSP blocks.
@@ -320,7 +320,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ;     -- Dedicated logic registers            ; 218 / 15408 ( 1 % ) ; 0 / 15408 ( 0 % )              ;
 ;     -- I/O registers                        ; 6                   ; 16                             ;
 ;                                             ;                     ;                                ;
-; Total LABs:  partially or completely used   ; 31 / 963 ( 3 % )    ; 1 / 963 ( < 1 % )              ;
+; Total LABs:  partially or completely used   ; 29 / 963 ( 3 % )    ; 1 / 963 ( < 1 % )              ;
 ;                                             ;                     ;                                ;
 ; Virtual pins                                ; 0                   ; 0                              ;
 ; I/O pins                                    ; 134                 ; 8                              ;
@@ -338,7 +338,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ;     -- Registered Output Connections        ; 8                   ; 0                              ;
 ;                                             ;                     ;                                ;
 ; Internal Connections                        ;                     ;                                ;
-;     -- Total Connections                    ; 1621                ; 291                            ;
+;     -- Total Connections                    ; 1619                ; 291                            ;
 ;     -- Registered Connections               ; 779                 ; 0                              ;
 ;                                             ;                     ;                                ;
 ; External Connections                        ;                     ;                                ;
@@ -431,7 +431,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ; abc_xm_x     ; B1    ; 1        ; 0            ; 26           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; yes        ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
 ; flash_clk    ; H1    ; 1        ; 0            ; 20           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVTTL  ; Default          ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
 ; flash_cs_n   ; D2    ; 1        ; 0            ; 24           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVTTL  ; Default          ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; flash_mosi   ; K10   ; 4        ; 28           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
+; flash_mosi   ; C1    ; 1        ; 0            ; 25           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVTTL  ; Default          ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
 ; hdmi_clk     ; J15   ; 5        ; 41           ; 13           ; 7            ; yes             ; no                     ; no            ; no        ; no              ; no         ; no            ; no       ; Off          ; LVDS         ; Maximum Current  ; Off         ; --                        ; 1                          ; 1                           ; User                 ; -                    ; -                   ;
 ; hdmi_clk(n)  ; J16   ; 5        ; 41           ; 13           ; 14           ; no              ; no                     ; no            ; no        ; no              ; no         ; no            ; no       ; Off          ; LVDS         ; Maximum Current  ; Off         ; --                        ; 1                          ; 1                           ; Fitter               ; -                    ; -                   ;
 ; hdmi_d[0]    ; K15   ; 5        ; 41           ; 13           ; 21           ; yes             ; no                     ; no            ; no        ; no              ; no         ; no            ; no       ; Off          ; LVDS         ; Maximum Current  ; Off         ; --                        ; 1                          ; 1                           ; User                 ; -                    ; -                   ;
@@ -537,6 +537,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 +----------+------------------------------------------+------------------------+------------------+---------------------------+
 ; Location ; Pin Name                                 ; Reserved As            ; User Signal Name ; Pin Type                  ;
 +----------+------------------------------------------+------------------------+------------------+---------------------------+
+; C1       ; DIFFIO_L4n, DATA1, ASDO                  ; Use as regular IO      ; flash_mosi       ; Dual Purpose Pin          ;
 ; D2       ; DIFFIO_L6p, FLASH_nCE, nCSO              ; Use as regular IO      ; flash_cs_n       ; Dual Purpose Pin          ;
 ; F4       ; nSTATUS                                  ; -                      ; -                ; Dedicated Programming Pin ;
 ; H1       ; DCLK                                     ; Use as regular IO      ; flash_clk        ; Dual Purpose Pin          ;
@@ -583,20 +584,20 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 +----------+------------------------------------------+------------------------+------------------+---------------------------+
 
 
-+------------------------------------------------------------+
-; I/O Bank Usage                                             ;
-+----------+------------------+---------------+--------------+
-; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
-+----------+------------------+---------------+--------------+
-; 1        ; 13 / 14 ( 93 % ) ; 3.3V          ; --           ;
-; 2        ; 16 / 18 ( 89 % ) ; 3.3V          ; --           ;
-; 3        ; 24 / 25 ( 96 % ) ; 3.3V          ; --           ;
-; 4        ; 21 / 27 ( 78 % ) ; 3.3V          ; --           ;
-; 5        ; 9 / 20 ( 45 % )  ; 2.5V          ; --           ;
-; 6        ; 13 / 14 ( 93 % ) ; 3.3V          ; --           ;
-; 7        ; 23 / 24 ( 96 % ) ; 3.3V          ; --           ;
-; 8        ; 23 / 24 ( 96 % ) ; 3.3V          ; --           ;
-+----------+------------------+---------------+--------------+
++-------------------------------------------------------------+
+; I/O Bank Usage                                              ;
++----------+-------------------+---------------+--------------+
+; I/O Bank ; Usage             ; VCCIO Voltage ; VREF Voltage ;
++----------+-------------------+---------------+--------------+
+; 1        ; 14 / 14 ( 100 % ) ; 3.3V          ; --           ;
+; 2        ; 16 / 18 ( 89 % )  ; 3.3V          ; --           ;
+; 3        ; 24 / 25 ( 96 % )  ; 3.3V          ; --           ;
+; 4        ; 20 / 27 ( 74 % )  ; 3.3V          ; --           ;
+; 5        ; 9 / 20 ( 45 % )   ; 2.5V          ; --           ;
+; 6        ; 13 / 14 ( 93 % )  ; 3.3V          ; --           ;
+; 7        ; 23 / 24 ( 96 % )  ; 3.3V          ; --           ;
+; 8        ; 23 / 24 ( 96 % )  ; 3.3V          ; --           ;
++----------+-------------------+---------------+--------------+
 
 
 +---------------------------------------------------------------------------------------------------------------------------------------------------------------+
@@ -636,7 +637,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ; B14      ; 285        ; 7        ; sr_a[1]                         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
 ; B15      ;            ;          ; GND                             ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
 ; B16      ; 241        ; 6        ; rtc_int_n                       ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; C1       ; 9          ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
+; C1       ; 9          ; 1        ; flash_mosi                      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; On           ;
 ; C2       ; 8          ; 1        ; abc_a_oe                        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
 ; C3       ; 362        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
 ; C4       ;            ; 8        ; VCCIO8                          ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
@@ -758,7 +759,7 @@ The pin-out file can be found in /home/hpa/abc80/max80/blinktest/output_files/ma
 ; K7       ;            ;          ; VCCINT                          ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
 ; K8       ;            ;          ; GND                             ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
 ; K9       ; 138        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; K10      ; 150        ; 4        ; flash_mosi                      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; On           ;
+; K10      ; 150        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
 ; K11      ;            ;          ; VCCINT                          ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
 ; K12      ; 179        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
 ; K13      ;            ;          ; GND                             ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
@@ -919,105 +920,104 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
 +-----------------------------------------------------------------------------------------------------+--------------+------+-----+------------------+----------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+---------------------------------------------------------------+
 
 
-+--------------------------------------------+
-; I/O Assignment Warnings                    ;
-+--------------+-----------------------------+
-; Pin Name     ; Reason                      ;
-+--------------+-----------------------------+
-; abc_d_oe     ; Missing drive strength      ;
-; abc_rdy_x    ; Missing drive strength      ;
-; abc_resin_x  ; Missing drive strength      ;
-; abc_int80_x  ; Missing drive strength      ;
-; abc_int800_x ; Missing drive strength      ;
-; abc_nmi_x    ; Missing drive strength      ;
-; abc_xm_x     ; Missing drive strength      ;
-; abc_master   ; Missing drive strength      ;
-; abc_a_oe     ; Missing drive strength      ;
-; abc_d_ce_n   ; Missing drive strength      ;
-; sr_cke       ; Missing drive strength      ;
-; sr_ba[0]     ; Missing drive strength      ;
-; sr_ba[1]     ; Missing drive strength      ;
-; sr_a[0]      ; Missing drive strength      ;
-; sr_a[1]      ; Missing drive strength      ;
-; sr_a[2]      ; Missing drive strength      ;
-; sr_a[3]      ; Missing drive strength      ;
-; sr_a[4]      ; Missing drive strength      ;
-; sr_a[5]      ; Missing drive strength      ;
-; sr_a[6]      ; Missing drive strength      ;
-; sr_a[7]      ; Missing drive strength      ;
-; sr_a[8]      ; Missing drive strength      ;
-; sr_a[9]      ; Missing drive strength      ;
-; sr_a[10]     ; Missing drive strength      ;
-; sr_a[11]     ; Missing drive strength      ;
-; sr_a[12]     ; Missing drive strength      ;
-; sr_dqm[0]    ; Missing drive strength      ;
-; sr_dqm[1]    ; Missing drive strength      ;
-; sr_cs_n      ; Missing drive strength      ;
-; sr_we_n      ; Missing drive strength      ;
-; sr_cas_n     ; Missing drive strength      ;
-; sr_ras_n     ; Missing drive strength      ;
-; sd_clk       ; Missing drive strength      ;
-; sd_cmd       ; Missing drive strength      ;
-; tty_rxd      ; Missing drive strength      ;
-; tty_cts      ; Missing drive strength      ;
-; flash_cs_n   ; Missing drive strength      ;
-; flash_clk    ; Missing drive strength      ;
-; flash_mosi   ; Missing drive strength      ;
-; led[2]       ; Missing drive strength      ;
-; led[3]       ; Missing drive strength      ;
-; abc_d[0]     ; Missing drive strength      ;
-; abc_d[1]     ; Missing drive strength      ;
-; abc_d[2]     ; Missing drive strength      ;
-; abc_d[3]     ; Missing drive strength      ;
-; abc_d[4]     ; Missing drive strength      ;
-; abc_d[5]     ; Missing drive strength      ;
-; abc_d[6]     ; Missing drive strength      ;
-; abc_d[7]     ; Missing drive strength      ;
-; hdmi_sda     ; Missing drive strength      ;
-; exth_ha      ; Missing drive strength      ;
-; exth_hb      ; Missing drive strength      ;
-; exth_hd      ; Missing drive strength      ;
-; exth_he      ; Missing drive strength      ;
-; exth_hf      ; Missing drive strength      ;
-; exth_hg      ; Missing drive strength      ;
-; sr_dq[0]     ; Missing drive strength      ;
-; sr_dq[1]     ; Missing drive strength      ;
-; sr_dq[2]     ; Missing drive strength      ;
-; sr_dq[3]     ; Missing drive strength      ;
-; sr_dq[4]     ; Missing drive strength      ;
-; sr_dq[5]     ; Missing drive strength      ;
-; sr_dq[6]     ; Missing drive strength      ;
-; sr_dq[7]     ; Missing drive strength      ;
-; sr_dq[8]     ; Missing drive strength      ;
-; sr_dq[9]     ; Missing drive strength      ;
-; sr_dq[10]    ; Missing drive strength      ;
-; sr_dq[11]    ; Missing drive strength      ;
-; sr_dq[12]    ; Missing drive strength      ;
-; sr_dq[13]    ; Missing drive strength      ;
-; sr_dq[14]    ; Missing drive strength      ;
-; sr_dq[15]    ; Missing drive strength      ;
-; sd_dat[0]    ; Missing drive strength      ;
-; sd_dat[1]    ; Missing drive strength      ;
-; sd_dat[2]    ; Missing drive strength      ;
-; sd_dat[3]    ; Missing drive strength      ;
-; spi_clk      ; Missing drive strength      ;
-; spi_miso     ; Missing drive strength      ;
-; spi_mosi     ; Missing drive strength      ;
-; spi_cs_esp_n ; Missing drive strength      ;
-; esp_io0      ; Missing drive strength      ;
-; esp_int      ; Missing drive strength      ;
-; i2c_scl      ; Missing drive strength      ;
-; i2c_sda      ; Missing drive strength      ;
-; gpio[0]      ; Missing drive strength      ;
-; gpio[1]      ; Missing drive strength      ;
-; gpio[2]      ; Missing drive strength      ;
-; gpio[3]      ; Missing drive strength      ;
-; gpio[4]      ; Missing drive strength      ;
-; gpio[5]      ; Missing drive strength      ;
-; hdmi_scl     ; Missing drive strength      ;
-; hdmi_hpd     ; Missing drive strength      ;
-; flash_mosi   ; Missing location assignment ;
-+--------------+-----------------------------+
++---------------------------------------+
+; I/O Assignment Warnings               ;
++--------------+------------------------+
+; Pin Name     ; Reason                 ;
++--------------+------------------------+
+; abc_d_oe     ; Missing drive strength ;
+; abc_rdy_x    ; Missing drive strength ;
+; abc_resin_x  ; Missing drive strength ;
+; abc_int80_x  ; Missing drive strength ;
+; abc_int800_x ; Missing drive strength ;
+; abc_nmi_x    ; Missing drive strength ;
+; abc_xm_x     ; Missing drive strength ;
+; abc_master   ; Missing drive strength ;
+; abc_a_oe     ; Missing drive strength ;
+; abc_d_ce_n   ; Missing drive strength ;
+; sr_cke       ; Missing drive strength ;
+; sr_ba[0]     ; Missing drive strength ;
+; sr_ba[1]     ; Missing drive strength ;
+; sr_a[0]      ; Missing drive strength ;
+; sr_a[1]      ; Missing drive strength ;
+; sr_a[2]      ; Missing drive strength ;
+; sr_a[3]      ; Missing drive strength ;
+; sr_a[4]      ; Missing drive strength ;
+; sr_a[5]      ; Missing drive strength ;
+; sr_a[6]      ; Missing drive strength ;
+; sr_a[7]      ; Missing drive strength ;
+; sr_a[8]      ; Missing drive strength ;
+; sr_a[9]      ; Missing drive strength ;
+; sr_a[10]     ; Missing drive strength ;
+; sr_a[11]     ; Missing drive strength ;
+; sr_a[12]     ; Missing drive strength ;
+; sr_dqm[0]    ; Missing drive strength ;
+; sr_dqm[1]    ; Missing drive strength ;
+; sr_cs_n      ; Missing drive strength ;
+; sr_we_n      ; Missing drive strength ;
+; sr_cas_n     ; Missing drive strength ;
+; sr_ras_n     ; Missing drive strength ;
+; sd_clk       ; Missing drive strength ;
+; sd_cmd       ; Missing drive strength ;
+; tty_rxd      ; Missing drive strength ;
+; tty_cts      ; Missing drive strength ;
+; flash_cs_n   ; Missing drive strength ;
+; flash_clk    ; Missing drive strength ;
+; flash_mosi   ; Missing drive strength ;
+; led[2]       ; Missing drive strength ;
+; led[3]       ; Missing drive strength ;
+; abc_d[0]     ; Missing drive strength ;
+; abc_d[1]     ; Missing drive strength ;
+; abc_d[2]     ; Missing drive strength ;
+; abc_d[3]     ; Missing drive strength ;
+; abc_d[4]     ; Missing drive strength ;
+; abc_d[5]     ; Missing drive strength ;
+; abc_d[6]     ; Missing drive strength ;
+; abc_d[7]     ; Missing drive strength ;
+; hdmi_sda     ; Missing drive strength ;
+; exth_ha      ; Missing drive strength ;
+; exth_hb      ; Missing drive strength ;
+; exth_hd      ; Missing drive strength ;
+; exth_he      ; Missing drive strength ;
+; exth_hf      ; Missing drive strength ;
+; exth_hg      ; Missing drive strength ;
+; sr_dq[0]     ; Missing drive strength ;
+; sr_dq[1]     ; Missing drive strength ;
+; sr_dq[2]     ; Missing drive strength ;
+; sr_dq[3]     ; Missing drive strength ;
+; sr_dq[4]     ; Missing drive strength ;
+; sr_dq[5]     ; Missing drive strength ;
+; sr_dq[6]     ; Missing drive strength ;
+; sr_dq[7]     ; Missing drive strength ;
+; sr_dq[8]     ; Missing drive strength ;
+; sr_dq[9]     ; Missing drive strength ;
+; sr_dq[10]    ; Missing drive strength ;
+; sr_dq[11]    ; Missing drive strength ;
+; sr_dq[12]    ; Missing drive strength ;
+; sr_dq[13]    ; Missing drive strength ;
+; sr_dq[14]    ; Missing drive strength ;
+; sr_dq[15]    ; Missing drive strength ;
+; sd_dat[0]    ; Missing drive strength ;
+; sd_dat[1]    ; Missing drive strength ;
+; sd_dat[2]    ; Missing drive strength ;
+; sd_dat[3]    ; Missing drive strength ;
+; spi_clk      ; Missing drive strength ;
+; spi_miso     ; Missing drive strength ;
+; spi_mosi     ; Missing drive strength ;
+; spi_cs_esp_n ; Missing drive strength ;
+; esp_io0      ; Missing drive strength ;
+; esp_int      ; Missing drive strength ;
+; i2c_scl      ; Missing drive strength ;
+; i2c_sda      ; Missing drive strength ;
+; gpio[0]      ; Missing drive strength ;
+; gpio[1]      ; Missing drive strength ;
+; gpio[2]      ; Missing drive strength ;
+; gpio[3]      ; Missing drive strength ;
+; gpio[4]      ; Missing drive strength ;
+; gpio[5]      ; Missing drive strength ;
+; hdmi_scl     ; Missing drive strength ;
+; hdmi_hpd     ; Missing drive strength ;
++--------------+------------------------+
 
 
 +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
@@ -1025,7 +1025,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
 +--------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------+--------------+
 ; Compilation Hierarchy Node                                   ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                ; Entity Name               ; Library Name ;
 +--------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------+--------------+
-; |max80                                                       ; 327 (68)    ; 218 (66)                  ; 11 (11)       ; 0           ; 0    ; 0            ; 0       ; 0         ; 142  ; 0            ; 109 (2)      ; 49 (0)            ; 169 (50)         ; |max80                                                                                                             ; max80                     ; work         ;
+; |max80                                                       ; 327 (69)    ; 218 (66)                  ; 11 (11)       ; 0           ; 0    ; 0            ; 0       ; 0         ; 142  ; 0            ; 109 (3)      ; 49 (0)            ; 169 (49)         ; |max80                                                                                                             ; max80                     ; work         ;
 ;    |hdmitx:hdmitx|                                           ; 118 (0)     ; 109 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (0)        ; 40 (0)            ; 69 (0)           ; |max80|hdmitx:hdmitx                                                                                               ; hdmitx                    ; work         ;
 ;       |altlvds_tx:ALTLVDS_TX_component|                      ; 118 (0)     ; 109 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (0)        ; 40 (0)            ; 69 (0)           ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ; altlvds_tx                ; work         ;
 ;          |hdmitx_lvds_tx:auto_generated|                     ; 118 (59)    ; 109 (60)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (0)        ; 40 (39)           ; 69 (20)          ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ; hdmitx_lvds_tx            ; work         ;
@@ -1047,7 +1047,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
 ;             |pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5| ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5    ; pll_altpll_dyn_phase_le12 ; work         ;
 ;             |pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4|  ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4     ; pll_altpll_dyn_phase_le1  ; work         ;
 ;             |pll_altpll_dyn_phase_le:altpll_dyn_phase_le2|   ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le:altpll_dyn_phase_le2      ; pll_altpll_dyn_phase_le   ; work         ;
-;    |tmdsenc:hdmitmds[0].enc|                                 ; 51 (51)     ; 15 (15)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 30 (30)      ; 3 (3)             ; 18 (18)          ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ; tmdsenc                   ; work         ;
+;    |tmdsenc:hdmitmds[0].enc|                                 ; 51 (51)     ; 15 (15)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 29 (29)      ; 3 (3)             ; 19 (19)          ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ; tmdsenc                   ; work         ;
 ;    |tmdsenc:hdmitmds[1].enc|                                 ; 50 (50)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 3 (3)             ; 16 (16)          ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ; tmdsenc                   ; work         ;
 ;    |tmdsenc:hdmitmds[2].enc|                                 ; 50 (50)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 3 (3)             ; 16 (16)          ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ; tmdsenc                   ; work         ;
 +--------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------+--------------+
@@ -1310,14 +1310,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +-----------------------------------------------------------------------------------------------------+----------------+---------+-------------------------+--------+----------------------+------------------+---------------------------+
 ; clock_48                                                                                            ; PIN_M15        ; 1       ; Clock                   ; no     ; --                   ; --               ; --                        ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; PLL_1          ; 82      ; Clock                   ; yes    ; Global Clock         ; GCLK3            ; --                        ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a            ; FF_X23_Y14_N19 ; 41      ; Clock enable            ; no     ; --                   ; --               ; --                        ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a            ; FF_X24_Y24_N19 ; 41      ; Clock enable            ; no     ; --                   ; --               ; --                        ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; PLL_1          ; 31      ; Clock                   ; yes    ; Global Clock         ; GCLK4            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; PLL_2          ; 45      ; Clock                   ; yes    ; Global Clock         ; GCLK7            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; PLL_2          ; 68      ; Clock                   ; yes    ; Global Clock         ; GCLK9            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_locked                          ; PLL_2          ; 13      ; Async. clear            ; no     ; --                   ; --               ; --                        ;
-; rst_n                                                                                               ; FF_X21_Y28_N1  ; 14      ; Clock enable            ; no     ; --                   ; --               ; --                        ;
-; rst_n                                                                                               ; FF_X21_Y28_N1  ; 75      ; Async. clear            ; yes    ; Global Clock         ; GCLK13           ; --                        ;
-; tmdsenc:hdmitmds[0].enc|denreg                                                                      ; FF_X31_Y14_N11 ; 42      ; Sync. clear, Sync. load ; no     ; --                   ; --               ; --                        ;
+; rst_n                                                                                               ; FF_X31_Y28_N1  ; 14      ; Clock enable            ; no     ; --                   ; --               ; --                        ;
+; rst_n                                                                                               ; FF_X31_Y28_N1  ; 75      ; Async. clear            ; yes    ; Global Clock         ; GCLK13           ; --                        ;
+; tmdsenc:hdmitmds[0].enc|denreg                                                                      ; FF_X27_Y22_N7  ; 42      ; Sync. clear, Sync. load ; no     ; --                   ; --               ; --                        ;
 +-----------------------------------------------------------------------------------------------------+----------------+---------+-------------------------+--------+----------------------+------------------+---------------------------+
 
 
@@ -1331,7 +1331,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]                          ; PLL_2         ; 1       ; 0                                    ; Global Clock         ; GCLK8            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; PLL_2         ; 45      ; 0                                    ; Global Clock         ; GCLK7            ; --                        ;
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; PLL_2         ; 68      ; 0                                    ; Global Clock         ; GCLK9            ; --                        ;
-; rst_n                                                                                               ; FF_X21_Y28_N1 ; 75      ; 0                                    ; Global Clock         ; GCLK13           ; --                        ;
+; rst_n                                                                                               ; FF_X31_Y28_N1 ; 75      ; 0                                    ; Global Clock         ; GCLK13           ; --                        ;
 +-----------------------------------------------------------------------------------------------------+---------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
 
 
@@ -1340,37 +1340,37 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +-----------------------+------------------------+
 ; Routing Resource Type ; Usage                  ;
 +-----------------------+------------------------+
-; Block interconnects   ; 256 / 47,787 ( < 1 % ) ;
-; C16 interconnects     ; 0 / 1,804 ( 0 % )      ;
-; C4 interconnects      ; 77 / 31,272 ( < 1 % )  ;
-; Direct links          ; 94 / 47,787 ( < 1 % )  ;
+; Block interconnects   ; 257 / 47,787 ( < 1 % ) ;
+; C16 interconnects     ; 7 / 1,804 ( < 1 % )    ;
+; C4 interconnects      ; 95 / 31,272 ( < 1 % )  ;
+; Direct links          ; 75 / 47,787 ( < 1 % )  ;
 ; Global clocks         ; 6 / 20 ( 30 % )        ;
-; Local interconnects   ; 184 / 15,408 ( 1 % )   ;
-; R24 interconnects     ; 2 / 1,775 ( < 1 % )    ;
-; R4 interconnects      ; 119 / 41,310 ( < 1 % ) ;
+; Local interconnects   ; 196 / 15,408 ( 1 % )   ;
+; R24 interconnects     ; 5 / 1,775 ( < 1 % )    ;
+; R4 interconnects      ; 135 / 41,310 ( < 1 % ) ;
 +-----------------------+------------------------+
 
 
 +----------------------------------------------------------------------------+
 ; LAB Logic Elements                                                         ;
 +---------------------------------------------+------------------------------+
-; Number of Logic Elements  (Average = 10.55) ; Number of LABs  (Total = 31) ;
+; Number of Logic Elements  (Average = 11.28) ; Number of LABs  (Total = 29) ;
 +---------------------------------------------+------------------------------+
-; 1                                           ; 5                            ;
-; 2                                           ; 3                            ;
+; 1                                           ; 1                            ;
+; 2                                           ; 5                            ;
 ; 3                                           ; 0                            ;
 ; 4                                           ; 0                            ;
-; 5                                           ; 0                            ;
+; 5                                           ; 1                            ;
 ; 6                                           ; 0                            ;
 ; 7                                           ; 1                            ;
-; 8                                           ; 0                            ;
+; 8                                           ; 1                            ;
 ; 9                                           ; 1                            ;
-; 10                                          ; 2                            ;
-; 11                                          ; 1                            ;
+; 10                                          ; 0                            ;
+; 11                                          ; 0                            ;
 ; 12                                          ; 1                            ;
-; 13                                          ; 3                            ;
-; 14                                          ; 2                            ;
-; 15                                          ; 2                            ;
+; 13                                          ; 1                            ;
+; 14                                          ; 3                            ;
+; 15                                          ; 4                            ;
 ; 16                                          ; 10                           ;
 +---------------------------------------------+------------------------------+
 
@@ -1378,101 +1378,100 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +-------------------------------------------------------------------+
 ; LAB-wide Signals                                                  ;
 +------------------------------------+------------------------------+
-; LAB-wide Signals  (Average = 1.42) ; Number of LABs  (Total = 31) ;
+; LAB-wide Signals  (Average = 1.52) ; Number of LABs  (Total = 29) ;
 +------------------------------------+------------------------------+
 ; 1 Async. clear                     ; 10                           ;
-; 1 Clock                            ; 21                           ;
+; 1 Clock                            ; 22                           ;
 ; 1 Clock enable                     ; 3                            ;
 ; 1 Sync. clear                      ; 3                            ;
-; 2 Clocks                           ; 7                            ;
+; 1 Sync. load                       ; 1                            ;
+; 2 Clocks                           ; 5                            ;
 +------------------------------------+------------------------------+
 
 
 +-----------------------------------------------------------------------------+
 ; LAB Signals Sourced                                                         ;
 +----------------------------------------------+------------------------------+
-; Number of Signals Sourced  (Average = 17.32) ; Number of LABs  (Total = 31) ;
+; Number of Signals Sourced  (Average = 18.45) ; Number of LABs  (Total = 29) ;
 +----------------------------------------------+------------------------------+
 ; 0                                            ; 0                            ;
-; 1                                            ; 2                            ;
-; 2                                            ; 4                            ;
-; 3                                            ; 1                            ;
+; 1                                            ; 0                            ;
+; 2                                            ; 2                            ;
+; 3                                            ; 3                            ;
 ; 4                                            ; 1                            ;
 ; 5                                            ; 0                            ;
 ; 6                                            ; 0                            ;
 ; 7                                            ; 1                            ;
 ; 8                                            ; 0                            ;
 ; 9                                            ; 0                            ;
-; 10                                           ; 0                            ;
+; 10                                           ; 1                            ;
 ; 11                                           ; 0                            ;
 ; 12                                           ; 0                            ;
 ; 13                                           ; 0                            ;
 ; 14                                           ; 0                            ;
 ; 15                                           ; 0                            ;
-; 16                                           ; 0                            ;
+; 16                                           ; 1                            ;
 ; 17                                           ; 1                            ;
-; 18                                           ; 1                            ;
+; 18                                           ; 0                            ;
 ; 19                                           ; 3                            ;
-; 20                                           ; 1                            ;
+; 20                                           ; 0                            ;
 ; 21                                           ; 0                            ;
-; 22                                           ; 1                            ;
+; 22                                           ; 0                            ;
 ; 23                                           ; 5                            ;
 ; 24                                           ; 3                            ;
 ; 25                                           ; 0                            ;
-; 26                                           ; 3                            ;
+; 26                                           ; 2                            ;
 ; 27                                           ; 1                            ;
-; 28                                           ; 1                            ;
+; 28                                           ; 2                            ;
 ; 29                                           ; 1                            ;
-; 30                                           ; 1                            ;
+; 30                                           ; 2                            ;
 +----------------------------------------------+------------------------------+
 
 
 +--------------------------------------------------------------------------------+
 ; LAB Signals Sourced Out                                                        ;
 +-------------------------------------------------+------------------------------+
-; Number of Signals Sourced Out  (Average = 4.61) ; Number of LABs  (Total = 31) ;
+; Number of Signals Sourced Out  (Average = 4.93) ; Number of LABs  (Total = 29) ;
 +-------------------------------------------------+------------------------------+
 ; 0                                               ; 1                            ;
-; 1                                               ; 8                            ;
-; 2                                               ; 6                            ;
+; 1                                               ; 3                            ;
+; 2                                               ; 9                            ;
 ; 3                                               ; 4                            ;
 ; 4                                               ; 1                            ;
-; 5                                               ; 2                            ;
+; 5                                               ; 3                            ;
 ; 6                                               ; 0                            ;
 ; 7                                               ; 1                            ;
 ; 8                                               ; 0                            ;
-; 9                                               ; 1                            ;
+; 9                                               ; 0                            ;
 ; 10                                              ; 0                            ;
-; 11                                              ; 4                            ;
-; 12                                              ; 2                            ;
-; 13                                              ; 1                            ;
+; 11                                              ; 0                            ;
+; 12                                              ; 7                            ;
 +-------------------------------------------------+------------------------------+
 
 
 +----------------------------------------------------------------------------+
 ; LAB Distinct Inputs                                                        ;
 +---------------------------------------------+------------------------------+
-; Number of Distinct Inputs  (Average = 6.39) ; Number of LABs  (Total = 31) ;
+; Number of Distinct Inputs  (Average = 6.76) ; Number of LABs  (Total = 29) ;
 +---------------------------------------------+------------------------------+
 ; 0                                           ; 0                            ;
 ; 1                                           ; 0                            ;
-; 2                                           ; 8                            ;
+; 2                                           ; 5                            ;
 ; 3                                           ; 8                            ;
-; 4                                           ; 0                            ;
-; 5                                           ; 0                            ;
-; 6                                           ; 2                            ;
-; 7                                           ; 0                            ;
-; 8                                           ; 3                            ;
-; 9                                           ; 2                            ;
-; 10                                          ; 0                            ;
-; 11                                          ; 2                            ;
+; 4                                           ; 1                            ;
+; 5                                           ; 1                            ;
+; 6                                           ; 0                            ;
+; 7                                           ; 2                            ;
+; 8                                           ; 1                            ;
+; 9                                           ; 1                            ;
+; 10                                          ; 2                            ;
+; 11                                          ; 1                            ;
 ; 12                                          ; 0                            ;
 ; 13                                          ; 1                            ;
-; 14                                          ; 0                            ;
-; 15                                          ; 0                            ;
-; 16                                          ; 0                            ;
-; 17                                          ; 3                            ;
-; 18                                          ; 1                            ;
+; 14                                          ; 1                            ;
+; 15                                          ; 1                            ;
+; 16                                          ; 2                            ;
+; 17                                          ; 1                            ;
 +---------------------------------------------+------------------------------+
 
 
@@ -1533,9 +1532,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +--------------------+--------------+--------------+--------------+-----------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
 ; Pin/Rules          ; IO_000003    ; IO_000002    ; IO_000001    ; IO_000004 ; IO_000005    ; IO_000006 ; IO_000007    ; IO_000008    ; IO_000020    ; IO_000011    ; IO_000021    ; IO_000023    ; IO_000024    ; IO_000026    ; IO_000027    ; IO_000045    ; IO_000046    ; IO_000047    ; IO_000009 ; IO_000010 ; IO_000012    ; IO_000013    ; IO_000014    ; IO_000015    ; IO_000018    ; IO_000022    ; IO_000019    ; IO_000033 ; IO_000034    ; IO_000042    ;
 +--------------------+--------------+--------------+--------------+-----------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
-; Total Pass         ; 137          ; 7            ; 137          ; 142       ; 0            ; 142       ; 137          ; 0            ; 90           ; 2            ; 4            ; 57           ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 142       ; 142       ; 0            ; 0            ; 4            ; 90           ; 2            ; 0            ; 0            ; 142       ; 102          ; 0            ;
+; Total Pass         ; 138          ; 7            ; 138          ; 142       ; 0            ; 142       ; 138          ; 0            ; 90           ; 2            ; 4            ; 57           ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 142       ; 142       ; 0            ; 0            ; 4            ; 90           ; 2            ; 0            ; 0            ; 142       ; 102          ; 0            ;
 ; Total Unchecked    ; 0            ; 0            ; 0            ; 0         ; 0            ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
-; Total Inapplicable ; 5            ; 135          ; 5            ; 0         ; 142          ; 0         ; 5            ; 142          ; 52           ; 140          ; 138          ; 85           ; 142          ; 142          ; 142          ; 142          ; 142          ; 142          ; 0         ; 0         ; 142          ; 142          ; 138          ; 52           ; 140          ; 142          ; 142          ; 0         ; 40           ; 142          ;
+; Total Inapplicable ; 4            ; 135          ; 4            ; 0         ; 142          ; 0         ; 4            ; 142          ; 52           ; 140          ; 138          ; 85           ; 142          ; 142          ; 142          ; 142          ; 142          ; 142          ; 0         ; 0         ; 142          ; 142          ; 138          ; 52           ; 140          ; 142          ; 142          ; 0         ; 40           ; 142          ;
 ; Total Fail         ; 0            ; 0            ; 0            ; 0         ; 0            ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
 ; abc_clk            ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
 ; abc_a[0]           ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
@@ -1612,7 +1611,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 ; tty_dtr            ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
 ; flash_cs_n         ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ;
 ; flash_clk          ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ;
-; flash_mosi         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ;
+; flash_mosi         ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ;
 ; flash_miso         ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
 ; rtc_32khz          ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
 ; rtc_int_n          ; Pass         ; Inapplicable ; Pass         ; Pass      ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
@@ -1723,7 +1722,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
 +---------------------------------------------------------------+---------------------------------------------------------------+-------------------+
 ; Source Clock(s)                                               ; Destination Clock(s)                                          ; Delay Added in ns ;
 +---------------------------------------------------------------+---------------------------------------------------------------+-------------------+
-; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 14.4              ;
+; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1] ; hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0] ; 10.7              ;
 +---------------------------------------------------------------+---------------------------------------------------------------+-------------------+
 Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
 This will disable optimization of problematic paths and expose them for further analysis using the Timing Analyzer.
@@ -1734,37 +1733,31 @@ This will disable optimization of problematic paths and expose them for further
 +--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
 ; Source Register                                                                                                          ; Destination Register                                                                                                     ; Delay Added in ns ;
 +--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[29]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[0]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[27]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[1]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[25]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[2]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[23]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[3]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[21]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27|shift_reg[4]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[9]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[0]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[1]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[4]   ; 0.578             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a                                 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.432             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2]   ; 0.274             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.184             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.184             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.184             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.184             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.184             ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.184             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[28]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[26]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[24]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[22]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[20]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[18]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[16]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[12]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[10]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[19]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[0]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[17]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[1]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[15]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[13]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[11]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25|shift_reg[4]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[5]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[2]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[3]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[3]   ; 0.579             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|sync_dffe12a                                 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.430             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[14]                                   ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26|shift_reg[2]   ; 0.275             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|tx_reg[7]                                    ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23|shift_reg[1]   ; 0.263             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[0]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[1]        ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13|counter_reg_bit[2]        ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[0]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.182             ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[1]         ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2|counter_reg_bit[2]         ; 0.182             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|dffe11                                       ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24|shift_reg[4]   ; 0.043             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; 0.025             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l|shift_reg[0] ; 0.025             ;
@@ -1774,7 +1767,7 @@ This will disable optimization of problematic paths and expose them for further
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[2] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; 0.025             ;
 ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[1] ; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h|shift_reg[0] ; 0.025             ;
 +--------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
-Note: This table only shows the top 39 path(s) that have the largest delay added for hold.
+Note: This table only shows the top 33 path(s) that have the largest delay added for hold.
 
 
 +-----------------+
@@ -1830,7 +1823,6 @@ Warning (176674): Following 4 pins are differential I/O pins but do not have the
     Warning (176118): Pin "hdmi_d[1]" is a differential I/O pin but does not have its complement pin. Hence, fitter automatically created the complement pin "hdmi_d[1](n)" File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 108
     Warning (176118): Pin "hdmi_d[2]" is a differential I/O pin but does not have its complement pin. Hence, fitter automatically created the complement pin "hdmi_d[2](n)" File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 108
     Warning (176118): Pin "hdmi_clk" is a differential I/O pin but does not have its complement pin. Hence, fitter automatically created the complement pin "hdmi_clk(n)" File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 109
-Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 138 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report.
 Warning (15536): Implemented PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" as Cyclone IV E PLL type, but with warnings File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 491
     Info (15552): PLL constraints from migration devices are also being used File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 491
     Warning (15567): Can't achieve requested High bandwidth type; current PLL requires a bandwidth value of greater than 2.000 Mhz -- achieved bandwidth of 1.03 MHz to 1.97 MHz File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 491
@@ -1911,19 +1903,6 @@ Info (176221): The fitter is attempting to aggressively pack all registers conne
 Info (176235): Finished register packing
     Extra Info (176218): Packed 3 registers into blocks of type I/O Output Buffer
     Extra Info (176220): Created 3 register duplicates
-Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
-    Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)
-        Info (176212): I/O standards used: 3.3-V LVTTL.
-Info (176215): I/O bank details before I/O pin placement
-    Info (176214): Statistics of I/O banks
-        Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 13 total pin(s) used --  1 pins available
-        Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 16 total pin(s) used --  2 pins available
-        Info (176213): I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used --  1 pins available
-        Info (176213): I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 20 total pin(s) used --  6 pins available
-        Info (176213): I/O bank number 5 does not use VREF pins and has 2.5V VCCIO pins. 9 total pin(s) used --  11 pins available
-        Info (176213): I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 13 total pin(s) used --  1 pins available
-        Info (176213): I/O bank number 7 does not use VREF pins and has 3.3V VCCIO pins. 23 total pin(s) used --  0 pins available
-        Info (176213): I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 23 total pin(s) used --  1 pins available
 Warning (15058): PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" is in normal or source synchronous mode with output clock "compensate_clock" set to clk[0] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 491
 Warning (15064): PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" output port clk[0] feeds output pin "sr_clk~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance File: /home/hpa/abc80/max80/blinktest/db/pll_altpll.v Line: 491
 Warning (15055): PLL "hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|lvds_tx_pll" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input File: /home/hpa/abc80/max80/blinktest/db/hdmitx_lvds_tx.v Line: 633
@@ -1939,12 +1918,12 @@ Info (170137): Fitter placement was successful
 Info (170192): Fitter placement operations ending: elapsed time is 00:00:00
 Info (170193): Fitter routing operations beginning
 Info (170195): Router estimated average interconnect usage is 0% of the available device resources
-    Info (170196): Router estimated peak interconnect usage is 1% of the available device resources in the region that extends from location X21_Y10 to location X30_Y19
+    Info (170196): Router estimated peak interconnect usage is 2% of the available device resources in the region that extends from location X21_Y20 to location X30_Y29
 Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
     Info (170201): Optimizations that may affect the design's routability were skipped
     Info (170200): Optimizations that may affect the design's timing were skipped
 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
-Info (11888): Total time spent on timing analysis during the Fitter is 0.10 seconds.
+Info (11888): Total time spent on timing analysis during the Fitter is 0.09 seconds.
 Info (334003): Started post-fitting delay annotation
 Info (334004): Delay annotation completed successfully
 Info (334003): Started post-fitting delay annotation
@@ -2098,9 +2077,9 @@ Warning (169064): Following 51 pins have no output enable or a GND or VCC output
     Info (169065): Pin hdmi_scl has a permanently disabled output enable File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 110
     Info (169065): Pin hdmi_hpd has a permanently disabled output enable File: /home/hpa/abc80/max80/blinktest/max80.sv Line: 113
 Info (144001): Generated suppressed messages file /home/hpa/abc80/max80/blinktest/output_files/max80.fit.smsg
-Info: Quartus Prime Fitter was successful. 0 errors, 32 warnings
+Info: Quartus Prime Fitter was successful. 0 errors, 31 warnings
     Info: Peak virtual memory: 1488 megabytes
-    Info: Processing ended: Fri Aug  6 17:56:41 2021
+    Info: Processing ended: Fri Aug  6 18:02:14 2021
     Info: Elapsed time: 00:00:06
     Info: Total CPU time (on all processors): 00:00:07
 

+ 1 - 1
output_files/max80.fit.summary

@@ -1,4 +1,4 @@
-Fitter Status : Successful - Fri Aug  6 17:56:41 2021
+Fitter Status : Successful - Fri Aug  6 18:02:14 2021
 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 Revision Name : max80
 Top-level Entity Name : max80

+ 10 - 10
output_files/max80.flow.rpt

@@ -1,5 +1,5 @@
 Flow report for max80
-Fri Aug  6 17:56:47 2021
+Fri Aug  6 18:02:21 2021
 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 
 
@@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula.
 +----------------------------------------------------------------------------------+
 ; Flow Summary                                                                     ;
 +------------------------------------+---------------------------------------------+
-; Flow Status                        ; Successful - Fri Aug  6 17:56:47 2021       ;
+; Flow Status                        ; Successful - Fri Aug  6 18:02:21 2021       ;
 ; Quartus Prime Version              ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
 ; Revision Name                      ; max80                                       ;
 ; Top-level Entity Name              ; max80                                       ;
@@ -65,7 +65,7 @@ https://fpgasoftware.intel.com/eula.
 +-------------------+---------------------+
 ; Option            ; Setting             ;
 +-------------------+---------------------+
-; Start date & time ; 08/06/2021 17:56:30 ;
+; Start date & time ; 08/06/2021 18:02:03 ;
 ; Main task         ; Compilation         ;
 ; Revision Name     ; max80               ;
 +-------------------+---------------------+
@@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula.
 +--------------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+
 ; Assignment Name                            ; Value                                  ; Default Value ; Entity Name ; Section Id                        ;
 +--------------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+
-; COMPILER_SIGNATURE_ID                      ; 195769225774250.162829779033027        ; --            ; --          ; --                                ;
+; COMPILER_SIGNATURE_ID                      ; 160641081344597.162829812334854        ; --            ; --          ; --                                ;
 ; EDA_ENABLE_GLITCH_FILTERING                ; On                                     ; --            ; --          ; eda_simulation                    ;
 ; EDA_GENERATE_FUNCTIONAL_NETLIST            ; Off                                    ; --            ; --          ; eda_board_design_timing           ;
 ; EDA_GENERATE_FUNCTIONAL_NETLIST            ; Off                                    ; --            ; --          ; eda_board_design_boundary_scan    ;
@@ -129,13 +129,13 @@ https://fpgasoftware.intel.com/eula.
 +----------------------+--------------+-------------------------+---------------------+------------------------------------+
 ; Module Name          ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
 +----------------------+--------------+-------------------------+---------------------+------------------------------------+
-; Analysis & Synthesis ; 00:00:05     ; 1.0                     ; 677 MB              ; 00:00:15                           ;
+; Analysis & Synthesis ; 00:00:05     ; 1.0                     ; 678 MB              ; 00:00:15                           ;
 ; Fitter               ; 00:00:06     ; 1.0                     ; 1488 MB             ; 00:00:07                           ;
-; Assembler            ; 00:00:02     ; 1.0                     ; 569 MB              ; 00:00:02                           ;
-; Power Analyzer       ; 00:00:01     ; 1.0                     ; 1022 MB             ; 00:00:01                           ;
-; Timing Analyzer      ; 00:00:01     ; 1.1                     ; 732 MB              ; 00:00:01                           ;
-; EDA Netlist Writer   ; 00:00:00     ; 1.0                     ; 816 MB              ; 00:00:00                           ;
-; Total                ; 00:00:15     ; --                      ; --                  ; 00:00:26                           ;
+; Assembler            ; 00:00:02     ; 1.0                     ; 570 MB              ; 00:00:02                           ;
+; Power Analyzer       ; 00:00:01     ; 1.0                     ; 1029 MB             ; 00:00:01                           ;
+; Timing Analyzer      ; 00:00:01     ; 1.1                     ; 728 MB              ; 00:00:01                           ;
+; EDA Netlist Writer   ; 00:00:01     ; 1.0                     ; 815 MB              ; 00:00:00                           ;
+; Total                ; 00:00:16     ; --                      ; --                  ; 00:00:26                           ;
 +----------------------+--------------+-------------------------+---------------------+------------------------------------+
 
 

BIN
output_files/max80.jbc


+ 5 - 5
output_files/max80.map.rpt

@@ -1,5 +1,5 @@
 Analysis & Synthesis report for max80
-Fri Aug  6 17:56:35 2021
+Fri Aug  6 18:02:08 2021
 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 
 
@@ -67,7 +67,7 @@ https://fpgasoftware.intel.com/eula.
 +----------------------------------------------------------------------------------+
 ; Analysis & Synthesis Summary                                                     ;
 +------------------------------------+---------------------------------------------+
-; Analysis & Synthesis Status        ; Successful - Fri Aug  6 17:56:35 2021       ;
+; Analysis & Synthesis Status        ; Successful - Fri Aug  6 18:02:08 2021       ;
 ; Quartus Prime Version              ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
 ; Revision Name                      ; max80                                       ;
 ; Top-level Entity Name              ; max80                                       ;
@@ -1093,7 +1093,7 @@ Note: In order to hide this table in the UI and the text report file, please set
 Info: *******************************************************************
 Info: Running Quartus Prime Analysis & Synthesis
     Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
-    Info: Processing started: Fri Aug  6 17:56:30 2021
+    Info: Processing started: Fri Aug  6 18:02:03 2021
 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected
@@ -1519,8 +1519,8 @@ Info (21057): Implemented 484 device resources after synthesis - the final resou
     Info (21061): Implemented 340 logic cells
     Info (21065): Implemented 2 PLLs
 Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 219 warnings
-    Info: Peak virtual memory: 677 megabytes
-    Info: Processing ended: Fri Aug  6 17:56:35 2021
+    Info: Peak virtual memory: 678 megabytes
+    Info: Processing ended: Fri Aug  6 18:02:08 2021
     Info: Elapsed time: 00:00:05
     Info: Total CPU time (on all processors): 00:00:15
 

+ 1 - 1
output_files/max80.map.summary

@@ -1,4 +1,4 @@
-Analysis & Synthesis Status : Successful - Fri Aug  6 17:56:35 2021
+Analysis & Synthesis Status : Successful - Fri Aug  6 18:02:08 2021
 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 Revision Name : max80
 Top-level Entity Name : max80

+ 2 - 2
output_files/max80.pin

@@ -101,7 +101,7 @@ sr_ba[1]                     : B13       : output : 3.3-V LVTTL       :
 sr_a[1]                      : B14       : output : 3.3-V LVTTL       :         : 7         : Y              
 GND                          : B15       : gnd    :                   :         :           :                
 rtc_int_n                    : B16       : input  : 3.3-V LVTTL       :         : 6         : Y              
-RESERVED_INPUT_WITH_WEAK_PULLUP : C1        :        :                   :         : 1         :                
+flash_mosi                   : C1        : output : 3.3-V LVTTL       :         : 1         : Y              
 abc_a_oe                     : C2        : output : 3.3-V LVTTL       :         : 1         : Y              
 RESERVED_INPUT_WITH_WEAK_PULLUP : C3        :        :                   :         : 8         :                
 VCCIO8                       : C4        : power  :                   : 3.3V    : 8         :                
@@ -222,7 +222,7 @@ RESERVED_INPUT_WITH_WEAK_PULLUP : K6        :        :                   :
 VCCINT                       : K7        : power  :                   : 1.2V    :           :                
 GND                          : K8        : gnd    :                   :         :           :                
 RESERVED_INPUT_WITH_WEAK_PULLUP : K9        :        :                   :         : 4         :                
-flash_mosi                   : K10       : output : 3.3-V LVTTL       :         : 4         : N              
+RESERVED_INPUT_WITH_WEAK_PULLUP : K10       :        :                   :         : 4         :                
 VCCINT                       : K11       : power  :                   : 1.2V    :           :                
 RESERVED_INPUT_WITH_WEAK_PULLUP : K12       :        :                   :         : 5         :                
 GND                          : K13       : gnd    :                   :         :           :                

BIN
output_files/max80.pof


+ 48 - 48
output_files/max80.pow.rpt

@@ -1,5 +1,5 @@
 Power Analyzer report for max80
-Fri Aug  6 17:56:45 2021
+Fri Aug  6 18:02:18 2021
 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 
 
@@ -53,29 +53,29 @@ https://fpgasoftware.intel.com/eula.
 ; Number detected on machine ; 16          ;
 ; Maximum allowed            ; 8           ;
 ;                            ;             ;
-; Average used               ; 1.04        ;
+; Average used               ; 1.05        ;
 ; Maximum used               ; 8           ;
 ;                            ;             ;
 ; Usage by Processor         ; % Time Used ;
 ;     Processor 1            ; 100.0%      ;
-;     Processors 2-8         ;   0.6%      ;
+;     Processors 2-8         ;   0.7%      ;
 +----------------------------+-------------+
 
 
 +-------------------------------------------------------------------------------------------+
 ; Power Analyzer Summary                                                                    ;
 +----------------------------------------+--------------------------------------------------+
-; Power Analyzer Status                  ; Successful - Fri Aug  6 17:56:45 2021            ;
+; Power Analyzer Status                  ; Successful - Fri Aug  6 18:02:18 2021            ;
 ; Quartus Prime Version                  ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition      ;
 ; Revision Name                          ; max80                                            ;
 ; Top-level Entity Name                  ; max80                                            ;
 ; Family                                 ; Cyclone IV E                                     ;
 ; Device                                 ; EP4CE15F17C8                                     ;
 ; Power Models                           ; Final                                            ;
-; Total Thermal Power Dissipation        ; 216.33 mW                                        ;
-; Core Dynamic Thermal Power Dissipation ; 36.37 mW                                         ;
-; Core Static Thermal Power Dissipation  ; 60.19 mW                                         ;
-; I/O Thermal Power Dissipation          ; 119.77 mW                                        ;
+; Total Thermal Power Dissipation        ; 216.99 mW                                        ;
+; Core Dynamic Thermal Power Dissipation ; 37.20 mW                                         ;
+; Core Static Thermal Power Dissipation  ; 60.20 mW                                         ;
+; I/O Thermal Power Dissipation          ; 119.59 mW                                        ;
 ; Power Estimation Confidence            ; Low: user provided insufficient toggle rate data ;
 +----------------------------------------+--------------------------------------------------+
 
@@ -242,12 +242,12 @@ https://fpgasoftware.intel.com/eula.
 ; Block Type                            ; Total Thermal Power by Block Type ; Block Thermal Dynamic Power ; Block Thermal Static Power (1) ; Routing Thermal Dynamic Power ; Block Average Toggle Rate (millions of transitions / sec) ;
 +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
 ; PLL                                   ; 22.56 mW                          ; 22.56 mW                    ; --                             ; 0.00 mW                       ;  111.003                                                  ;
-; Combinational cell                    ; 0.42 mW                           ; 0.35 mW                     ; --                             ; 0.07 mW                       ;    8.079                                                  ;
-; Clock control block                   ; 11.03 mW                          ; 0.00 mW                     ; --                             ; 11.03 mW                      ;  180.003                                                  ;
-; Register cell                         ; 2.36 mW                           ; 1.92 mW                     ; --                             ; 0.44 mW                       ;   13.191                                                  ;
+; Combinational cell                    ; 0.41 mW                           ; 0.34 mW                     ; --                             ; 0.07 mW                       ;    7.976                                                  ;
+; Clock control block                   ; 11.75 mW                          ; 0.00 mW                     ; --                             ; 11.75 mW                      ;  180.003                                                  ;
+; Register cell                         ; 2.48 mW                           ; 1.87 mW                     ; --                             ; 0.61 mW                       ;   13.191                                                  ;
 ; Double Data Rate I/O Output Circuitry ; 0.49 mW                           ; 0.49 mW                     ; --                             ; 0.00 mW                       ;    0.000                                                  ;
 ; I/O register                          ; 0.21 mW                           ; 0.21 mW                     ; --                             ; 0.00 mW                       ;   12.000                                                  ;
-; I/O                                   ; 92.71 mW                          ; 3.58 mW                     ; 89.13 mW                       ; 0.00 mW                       ;    2.282                                                  ;
+; I/O                                   ; 92.53 mW                          ; 3.58 mW                     ; 88.95 mW                       ; 0.00 mW                       ;    2.282                                                  ;
 +---------------------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+
 (1) The "Block Thermal Static Power" for all block types except Pins and the Voltage Regulator, if one exists, is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing.
 
@@ -257,30 +257,30 @@ https://fpgasoftware.intel.com/eula.
 +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
 ; Compilation Hierarchy Node                                      ; Total Thermal Power by Hierarchy (1) ; Block Thermal Dynamic Power (1) ; Block Thermal Static Power (1)(2) ; Routing Thermal Dynamic Power (1) ; Full Hierarchy Name                                                                                                ;
 +-----------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+--------------------------------------------------------------------------------------------------------------------+
-; |max80                                                          ; 129.77 mW (95.97 mW)                 ; 29.10 mW (4.27 mW)              ; 89.13 mW (89.13 mW)               ; 11.54 mW (2.58 mW)                ; |max80                                                                                                             ;
+; |max80                                                          ; 130.42 mW (95.72 mW)                 ; 29.05 mW (4.25 mW)              ; 88.95 mW (88.95 mW)               ; 12.43 mW (2.52 mW)                ; |max80                                                                                                             ;
 ;     |hard_block:auto_generated_inst                             ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hard_block:auto_generated_inst                                                                              ;
-;     |tmdsenc:hdmitmds[0].enc                                    ; 0.15 mW (0.15 mW)                    ; 0.13 mW (0.13 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ;
-;     |tmdsenc:hdmitmds[1].enc                                    ; 0.15 mW (0.15 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ;
-;     |tmdsenc:hdmitmds[2].enc                                    ; 0.14 mW (0.14 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ;
+;     |tmdsenc:hdmitmds[0].enc                                    ; 0.16 mW (0.16 mW)                    ; 0.13 mW (0.13 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|tmdsenc:hdmitmds[0].enc                                                                                     ;
+;     |tmdsenc:hdmitmds[1].enc                                    ; 0.14 mW (0.14 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|tmdsenc:hdmitmds[1].enc                                                                                     ;
+;     |tmdsenc:hdmitmds[2].enc                                    ; 0.15 mW (0.15 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|tmdsenc:hdmitmds[2].enc                                                                                     ;
 ;     |transpose:hdmitranspose                                    ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|transpose:hdmitranspose                                                                                     ;
-;     |hdmitx:hdmitx                                              ; 17.71 mW (0.00 mW)                   ; 13.27 mW (0.00 mW)              ; --                                ; 4.44 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx                                                                                               ;
-;         |altlvds_tx:ALTLVDS_TX_component                        ; 17.71 mW (0.00 mW)                   ; 13.27 mW (0.00 mW)              ; --                                ; 4.44 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ;
-;             |hdmitx_lvds_tx:auto_generated                      ; 17.71 mW (16.18 mW)                  ; 13.27 mW (11.93 mW)             ; --                                ; 4.44 mW (4.25 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ;
-;                 |hdmitx_cntr:cntr2                              ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2               ;
-;                 |hdmitx_cntr:cntr13                             ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13              ;
+;     |hdmitx:hdmitx                                              ; 18.88 mW (0.00 mW)                   ; 13.23 mW (0.00 mW)              ; --                                ; 5.65 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx                                                                                               ;
+;         |altlvds_tx:ALTLVDS_TX_component                        ; 18.88 mW (0.00 mW)                   ; 13.23 mW (0.00 mW)              ; --                                ; 5.65 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component                                                               ;
+;             |hdmitx_lvds_tx:auto_generated                      ; 18.88 mW (17.24 mW)                  ; 13.23 mW (11.89 mW)             ; --                                ; 5.65 mW (5.35 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated                                 ;
+;                 |hdmitx_cntr:cntr2                              ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr2               ;
+;                 |hdmitx_cntr:cntr13                             ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_cntr:cntr13              ;
 ;                 |hdmitx_ddio_out:ddio_out                       ; 0.37 mW (0.37 mW)                    ; 0.37 mW (0.37 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out:ddio_out        ;
-;                 |hdmitx_shift_reg:outclk_shift_h                ; 0.10 mW (0.10 mW)                    ; 0.09 mW (0.09 mW)               ; --                                ; 0.01 mW (0.01 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h ;
-;                 |hdmitx_shift_reg:outclk_shift_l                ; 0.09 mW (0.09 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.01 mW (0.01 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l ;
+;                 |hdmitx_shift_reg:outclk_shift_h                ; 0.11 mW (0.11 mW)                    ; 0.09 mW (0.09 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_h ;
+;                 |hdmitx_shift_reg:outclk_shift_l                ; 0.09 mW (0.09 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg:outclk_shift_l ;
 ;                 |hdmitx_ddio_out1:outclock_ddio                 ; 0.12 mW (0.12 mW)                    ; 0.12 mW (0.12 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_ddio_out1:outclock_ddio  ;
-;                 |hdmitx_shift_reg1:shift_reg23                  ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.01 mW (0.01 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23   ;
-;                 |hdmitx_shift_reg1:shift_reg24                  ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24   ;
-;                 |hdmitx_shift_reg1:shift_reg25                  ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25   ;
-;                 |hdmitx_shift_reg1:shift_reg26                  ; 0.10 mW (0.10 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26   ;
-;                 |hdmitx_shift_reg1:shift_reg27                  ; 0.12 mW (0.12 mW)                    ; 0.10 mW (0.10 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27   ;
-;                 |hdmitx_shift_reg1:shift_reg28                  ; 0.12 mW (0.12 mW)                    ; 0.10 mW (0.10 mW)               ; --                                ; 0.02 mW (0.02 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28   ;
-;     |pll:pll                                                    ; 15.65 mW (0.00 mW)                   ; 11.21 mW (0.00 mW)              ; --                                ; 4.44 mW (0.00 mW)                 ; |max80|pll:pll                                                                                                     ;
-;         |altpll:altpll_component                                ; 15.65 mW (0.00 mW)                   ; 11.21 mW (0.00 mW)              ; --                                ; 4.44 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component                                                                             ;
-;             |pll_altpll:auto_generated                          ; 15.65 mW (15.65 mW)                  ; 11.21 mW (11.21 mW)             ; --                                ; 4.44 mW (4.44 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated                                                   ;
+;                 |hdmitx_shift_reg1:shift_reg23                  ; 0.12 mW (0.12 mW)                    ; 0.09 mW (0.09 mW)               ; --                                ; 0.03 mW (0.03 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg23   ;
+;                 |hdmitx_shift_reg1:shift_reg24                  ; 0.12 mW (0.12 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg24   ;
+;                 |hdmitx_shift_reg1:shift_reg25                  ; 0.12 mW (0.12 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg25   ;
+;                 |hdmitx_shift_reg1:shift_reg26                  ; 0.12 mW (0.12 mW)                    ; 0.08 mW (0.08 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg26   ;
+;                 |hdmitx_shift_reg1:shift_reg27                  ; 0.14 mW (0.14 mW)                    ; 0.10 mW (0.10 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg27   ;
+;                 |hdmitx_shift_reg1:shift_reg28                  ; 0.13 mW (0.13 mW)                    ; 0.09 mW (0.09 mW)               ; --                                ; 0.04 mW (0.04 mW)                 ; |max80|hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|hdmitx_shift_reg1:shift_reg28   ;
+;     |pll:pll                                                    ; 15.38 mW (0.00 mW)                   ; 11.21 mW (0.00 mW)              ; --                                ; 4.17 mW (0.00 mW)                 ; |max80|pll:pll                                                                                                     ;
+;         |altpll:altpll_component                                ; 15.38 mW (0.00 mW)                   ; 11.21 mW (0.00 mW)              ; --                                ; 4.17 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component                                                                             ;
+;             |pll_altpll:auto_generated                          ; 15.38 mW (15.38 mW)                  ; 11.21 mW (11.21 mW)             ; --                                ; 4.17 mW (4.17 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated                                                   ;
 ;                 |pll_altpll_dyn_phase_le:altpll_dyn_phase_le2   ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le:altpll_dyn_phase_le2      ;
 ;                 |pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4  ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le1:altpll_dyn_phase_le4     ;
 ;                 |pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5 ; 0.00 mW (0.00 mW)                    ; 0.00 mW (0.00 mW)               ; --                                ; 0.00 mW (0.00 mW)                 ; |max80|pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_altpll_dyn_phase_le12:altpll_dyn_phase_le5    ;
@@ -298,12 +298,12 @@ https://fpgasoftware.intel.com/eula.
 ; Clock Domain                                                                                        ; Clock Frequency (MHz) ; Total Core Dynamic Power ;
 +-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
 ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]                          ; 96.00                 ; 12.46                    ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; 96.00                 ; 2.67                     ;
-; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; 36.00                 ; 1.66                     ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[1]                          ; 96.00                 ; 2.68                     ;
+; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[2]                          ; 36.00                 ; 1.39                     ;
 ; clock_48                                                                                            ; 48.00                 ; 0.00                     ;
-; rst_n                                                                                               ; 96.00                 ; 2.57                     ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; 180.02                ; 16.68                    ;
-; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; 36.00                 ; 1.02                     ;
+; rst_n                                                                                               ; 96.00                 ; 2.49                     ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|fast_clock              ; 180.02                ; 18.12                    ;
+; hdmitx:hdmitx|altlvds_tx:ALTLVDS_TX_component|hdmitx_lvds_tx:auto_generated|wire_lvds_tx_pll_clk[1] ; 36.00                 ; 0.75                     ;
 ; rtc_32khz                                                                                           ; 0.03                  ; 0.00                     ;
 +-----------------------------------------------------------------------------------------------------+-----------------------+--------------------------+
 
@@ -313,7 +313,7 @@ https://fpgasoftware.intel.com/eula.
 +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
 ; Voltage Supply ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ;
 +----------------+-------------------------+---------------------------+--------------------------+----------------------------------+
-; VCCINT         ; 55.74 mA                ; 12.37 mA                  ; 43.38 mA                 ; 55.74 mA                         ;
+; VCCINT         ; 56.29 mA                ; 13.06 mA                  ; 43.24 mA                 ; 56.29 mA                         ;
 ; VCCIO          ; 28.25 mA                ; 1.01 mA                   ; 27.25 mA                 ; 28.25 mA                         ;
 ; VCCA           ; 21.83 mA                ; 3.55 mA                   ; 18.28 mA                 ; 21.83 mA                         ;
 ; VCCD           ; 19.19 mA                ; 11.40 mA                  ; 7.78 mA                  ; 19.19 mA                         ;
@@ -327,10 +327,10 @@ https://fpgasoftware.intel.com/eula.
 +----------+---------------+---------------------+-----------------------+----------------------+
 ; I/O Bank ; VCCIO Voltage ; Total Current Drawn ; Dynamic Current Drawn ; Static Current Drawn ;
 +----------+---------------+---------------------+-----------------------+----------------------+
-; 1        ; 3.3V          ; 1.25 mA             ; 0.00 mA               ; 1.25 mA              ;
+; 1        ; 3.3V          ; 1.27 mA             ; 0.00 mA               ; 1.27 mA              ;
 ; 2        ; 3.3V          ; 1.31 mA             ; 0.00 mA               ; 1.31 mA              ;
 ; 3        ; 3.3V          ; 1.44 mA             ; 0.00 mA               ; 1.44 mA              ;
-; 4        ; 3.3V          ; 1.55 mA             ; 0.15 mA               ; 1.39 mA              ;
+; 4        ; 3.3V          ; 1.53 mA             ; 0.15 mA               ; 1.38 mA              ;
 ; 5        ; 2.5V          ; 17.77 mA            ; 0.03 mA               ; 17.74 mA             ;
 ; 6        ; 3.3V          ; 1.25 mA             ; 0.00 mA               ; 1.25 mA              ;
 ; 7        ; 3.3V          ; 1.43 mA             ; 0.00 mA               ; 1.43 mA              ;
@@ -364,9 +364,9 @@ https://fpgasoftware.intel.com/eula.
 ;     -- Number of signals with Static Probability from Node, entity or clock assignment ; 8 (0.9%)    ; 2 (1.0%)    ; 1 (0.5%)    ; 5 (1.0%)      ;
 ;                                                                                        ;             ;             ;             ;               ;
 ; Vectorless estimation                                                                  ;             ;             ;             ;               ;
-;     -- Number of signals with Toggle Rate from Vectorless estimation                   ; 813 (89.3%) ; 102 (52.8%) ; 220 (99.5%) ; 491 (99.0%)   ;
-;     -- Number of signals with Zero toggle rate, from Vectorless estimation             ; 212 (23.3%) ; 98 (50.8%)  ; 1 (0.5%)    ; 113 (22.8%)   ;
-;     -- Number of signals with Static Probability from Vectorless estimation            ; 813 (89.3%) ; 102 (52.8%) ; 220 (99.5%) ; 491 (99.0%)   ;
+;     -- Number of signals with Toggle Rate from Vectorless estimation                   ; 811 (89.3%) ; 102 (52.8%) ; 220 (99.5%) ; 489 (99.0%)   ;
+;     -- Number of signals with Zero toggle rate, from Vectorless estimation             ; 212 (23.3%) ; 98 (50.8%)  ; 1 (0.5%)    ; 113 (22.9%)   ;
+;     -- Number of signals with Static Probability from Vectorless estimation            ; 811 (89.3%) ; 102 (52.8%) ; 220 (99.5%) ; 489 (99.0%)   ;
 ;                                                                                        ;             ;             ;             ;               ;
 ; Default assignment                                                                     ;             ;             ;             ;               ;
 ;     -- Number of signals with Toggle Rate from Default assignment                      ; 0 (0.0%)    ; 0 (0.0%)    ; 0 (0.0%)    ; 0 (0.0%)      ;
@@ -391,7 +391,7 @@ https://fpgasoftware.intel.com/eula.
 Info: *******************************************************************
 Info: Running Quartus Prime Power Analyzer
     Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
-    Info: Processing started: Fri Aug  6 17:56:44 2021
+    Info: Processing started: Fri Aug  6 18:02:17 2021
 Info: Command: quartus_pow --read_settings_files=off --write_settings_files=off max80 -c max80
 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
 Info (21077): Low junction temperature is 0 degrees C
@@ -429,11 +429,11 @@ Info (223001): Completed Vectorless Power Activity Estimation
 Info (218000): Using Advanced I/O Power to simulate I/O buffers with the specified board trace model
 Info (334003): Started post-fitting delay annotation
 Info (334004): Delay annotation completed successfully
-Info (215049): Average toggle rate for this design is 10.891 millions of transitions / sec
-Info (215031): Total thermal power estimate for the design is 216.33 mW
+Info (215049): Average toggle rate for this design is 10.847 millions of transitions / sec
+Info (215031): Total thermal power estimate for the design is 216.99 mW
 Info: Quartus Prime Power Analyzer was successful. 0 errors, 11 warnings
-    Info: Peak virtual memory: 1022 megabytes
-    Info: Processing ended: Fri Aug  6 17:56:45 2021
+    Info: Peak virtual memory: 1029 megabytes
+    Info: Processing ended: Fri Aug  6 18:02:18 2021
     Info: Elapsed time: 00:00:01
     Info: Total CPU time (on all processors): 00:00:01
 

+ 5 - 5
output_files/max80.pow.summary

@@ -1,12 +1,12 @@
-Power Analyzer Status : Successful - Fri Aug  6 17:56:45 2021
+Power Analyzer Status : Successful - Fri Aug  6 18:02:18 2021
 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
 Revision Name : max80
 Top-level Entity Name : max80
 Family : Cyclone IV E
 Device : EP4CE15F17C8
 Power Models : Final
-Total Thermal Power Dissipation : 216.33 mW
-Core Dynamic Thermal Power Dissipation : 36.37 mW
-Core Static Thermal Power Dissipation : 60.19 mW
-I/O Thermal Power Dissipation : 119.77 mW
+Total Thermal Power Dissipation : 216.99 mW
+Core Dynamic Thermal Power Dissipation : 37.20 mW
+Core Static Thermal Power Dissipation : 60.20 mW
+I/O Thermal Power Dissipation : 119.59 mW
 Power Estimation Confidence : Low: user provided insufficient toggle rate data

BIN
output_files/max80.sof


File diff suppressed because it is too large
+ 510 - 511
output_files/max80.sta.rpt


+ 32 - 32
output_files/max80.sta.summary

@@ -3,43 +3,43 @@ Timing Analyzer Summary
 ------------------------------------------------------------
 
 Type  : Slow 1200mV 85C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.007
+Slack : 1.906
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 4.943
+Slack : 5.089
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 18.089
+Slack : 17.383
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 22.689
+Slack : 22.698
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 0.465
+Slack : 0.466
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 0.503
+Slack : 0.504
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.674
+Slack : 0.576
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 2.471
+Slack : 2.295
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.480
+Slack : 2.477
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 4.908
+Slack : 4.909
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'clock_48'
@@ -47,11 +47,11 @@ Slack : 10.341
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 13.587
+Slack : 13.586
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 13.590
+Slack : 13.589
 TNS   : 0.000
 
 Type  : Slow 1200mV 85C Model Minimum Pulse Width 'rtc_32khz'
@@ -59,43 +59,43 @@ Slack : 30513.579
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.216
+Slack : 2.078
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 5.426
+Slack : 5.556
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 18.575
+Slack : 17.936
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 22.983
+Slack : 22.985
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 0.417
+Slack : 0.418
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 0.471
+Slack : 0.473
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.626
+Slack : 0.537
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 2.322
+Slack : 2.143
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.479
+Slack : 2.476
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 4.908
+Slack : 4.909
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'clock_48'
@@ -107,7 +107,7 @@ Slack : 13.586
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 13.587
+Slack : 13.588
 TNS   : 0.000
 
 Type  : Slow 1200mV 0C Model Minimum Pulse Width 'rtc_32khz'
@@ -115,19 +115,19 @@ Slack : 30513.579
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 3.952
+Slack : 3.884
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[1]'
-Slack : 8.053
+Slack : 8.115
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 23.427
+Slack : 23.218
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Setup 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 24.647
+Slack : 24.670
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
@@ -139,15 +139,15 @@ Slack : 0.194
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 0.277
+Slack : 0.247
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Hold 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 1.023
+Slack : 0.930
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[0]'
-Slack : 2.565
+Slack : 2.563
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[1]'
@@ -159,11 +159,11 @@ Slack : 10.004
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[2]'
-Slack : 13.674
+Slack : 13.673
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Minimum Pulse Width 'hdmitx|ALTLVDS_TX_component|auto_generated|lvds_tx_pll|clk[1]'
-Slack : 13.675
+Slack : 13.674
 TNS   : 0.000
 
 Type  : Fast 1200mV 0C Model Minimum Pulse Width 'rtc_32khz'

Some files were not shown because too many files changed in this diff