max80.qsf 15 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256
  1. # -------------------------------------------------------------------------- #
  2. #
  3. # Copyright (C) 2019 Intel Corporation. All rights reserved.
  4. # Your use of Intel Corporation's design tools, logic functions
  5. # and other software and tools, and any partner logic
  6. # functions, and any output files from any of the foregoing
  7. # (including device programming or simulation files), and any
  8. # associated documentation or information are expressly subject
  9. # to the terms and conditions of the Intel Program License
  10. # Subscription Agreement, the Intel Quartus Prime License Agreement,
  11. # the Intel FPGA IP License Agreement, or other applicable license
  12. # agreement, including, without limitation, that your use is for
  13. # the sole purpose of programming logic devices manufactured by
  14. # Intel and sold by Intel or its authorized distributors. Please
  15. # refer to the applicable agreement for further details, at
  16. # https://fpgasoftware.intel.com/eula.
  17. #
  18. # -------------------------------------------------------------------------- #
  19. #
  20. # Quartus Prime
  21. # Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
  22. # Date created = 13:01:33 February 22, 2021
  23. #
  24. # -------------------------------------------------------------------------- #
  25. #
  26. # Notes:
  27. #
  28. # 1) The default values for assignments are stored in the file:
  29. # max80_assignment_defaults.qdf
  30. # If this file doesn't exist, see file:
  31. # assignment_defaults.qdf
  32. #
  33. # 2) Altera recommends that you do not modify this file. This
  34. # file is updated automatically by the Quartus Prime software
  35. # and any changes you make may be lost or overwritten.
  36. #
  37. # -------------------------------------------------------------------------- #
  38. set_global_assignment -name FAMILY "Cyclone IV E"
  39. set_global_assignment -name DEVICE EP4CE15F17C8
  40. set_global_assignment -name TOP_LEVEL_ENTITY max80
  41. set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.1
  42. set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:01:33 FEBRUARY 22, 2021"
  43. set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition"
  44. set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
  45. set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
  46. set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
  47. set_global_assignment -name DEVICE_FILTER_PACKAGE EQFP
  48. set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
  49. set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
  50. set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
  51. set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
  52. set_global_assignment -name EDA_TIME_SCALE "100 ps" -section_id eda_simulation
  53. set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
  54. set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing
  55. set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol
  56. set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity
  57. set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan
  58. set_global_assignment -name DEVICE_MIGRATION_LIST "EP4CE15F17C8,EP4CE6F17C8,EP4CE10F17C8"
  59. set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
  60. set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
  61. set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
  62. set_global_assignment -name VCCA_USER_VOLTAGE 2.5V
  63. set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
  64. set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
  65. set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON
  66. set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5%
  67. set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008
  68. set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
  69. set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
  70. set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
  71. set_global_assignment -name SAFE_STATE_MACHINE OFF
  72. set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON
  73. set_global_assignment -name HDL_MESSAGE_LEVEL LEVEL3
  74. set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT ON
  75. set_global_assignment -name SYNTH_MESSAGE_LEVEL HIGH
  76. set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS"
  77. set_global_assignment -name WEAK_PULL_UP_RESISTOR ON
  78. set_global_assignment -name ENABLE_OCT_DONE OFF
  79. set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
  80. set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
  81. set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0
  82. set_global_assignment -name USE_CONFIGURATION_DEVICE ON
  83. set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "SINGLE COMP IMAGE"
  84. set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
  85. set_global_assignment -name GENERATE_JBC_FILE ON
  86. set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
  87. set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
  88. set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
  89. set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
  90. set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
  91. set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to sr_clk
  92. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to clock_48
  93. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
  94. set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 5
  95. set_instance_assignment -name IO_STANDARD LVDS -to hdmi_d[2]
  96. set_instance_assignment -name IO_STANDARD LVDS -to hdmi_d[1]
  97. set_instance_assignment -name IO_STANDARD LVDS -to hdmi_d[0]
  98. set_instance_assignment -name IO_STANDARD LVDS -to hdmi_clk
  99. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to hdmi_clk
  100. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to hdmi_d[2]
  101. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to hdmi_d[1]
  102. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to hdmi_d[0]
  103. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
  104. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
  105. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
  106. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
  107. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 4
  108. set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
  109. set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
  110. set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
  111. set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
  112. set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
  113. set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
  114. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to flash_clk
  115. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to flash_cs_n
  116. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to flash_miso
  117. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to flash_mosi
  118. set_instance_assignment -name IO_STANDARD "2.5 V" -to clock_48
  119. set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS ON -section_id eda_simulation
  120. set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING ON -section_id eda_simulation
  121. set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION ALL_NODES -section_id eda_simulation
  122. set_global_assignment -name EDA_TEST_BENCH_DESIGN_INSTANCE_NAME max80 -section_id eda_simulation
  123. set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to led[1]
  124. set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCQ128A
  125. set_global_assignment -name GENERATE_JAM_FILE OFF
  126. set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
  127. set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
  128. set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "AS OUTPUT DRIVING GROUND"
  129. set_global_assignment -name POST_MODULE_SCRIPT_FILE "quartus_sh:scripts/postmodule.tcl"
  130. set_global_assignment -name OPTIMIZATION_MODE "AGGRESSIVE PERFORMANCE"
  131. set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION ON
  132. set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION ON
  133. set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON
  134. set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON
  135. set_global_assignment -name QII_AUTO_PACKED_REGISTERS "SPARSE AUTO"
  136. set_global_assignment -name SAVE_DISK_SPACE OFF
  137. set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON
  138. set_global_assignment -name SMART_RECOMPILE ON
  139. set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
  140. set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH testclk -section_id eda_simulation
  141. set_global_assignment -name EDA_TEST_BENCH_NAME testclk -section_id eda_simulation
  142. set_global_assignment -name EDA_DESIGN_INSTANCE_NAME max80 -section_id testclk
  143. set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "1 ms" -section_id testclk
  144. set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME testclk -section_id testclk
  145. set_global_assignment -name EDA_TEST_BENCH_FILE simulation/testclk.sv -section_id testclk
  146. set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS ON -section_id eda_simulation
  147. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to tty_dtr
  148. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to tty_rts
  149. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to tty_txd
  150. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to tty_rxd
  151. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to tty_cts
  152. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdmi_scl
  153. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdmi_sda
  154. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i2c_scl
  155. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i2c_sda
  156. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to rtc_32khz
  157. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rtc_int_n
  158. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exth_ha
  159. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exth_hb
  160. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to exth_hc
  161. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exth_hd
  162. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exth_he
  163. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exth_hf
  164. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exth_hg
  165. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to exth_hh
  166. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to esp_int
  167. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to esp_io0
  168. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_dat[3]
  169. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_dat[2]
  170. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_dat[1]
  171. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_dat[0]
  172. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_dat
  173. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to spi_clk
  174. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to spi_cs_esp_n
  175. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to spi_cs_flash_n
  176. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to spi_miso
  177. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to spi_mosi
  178. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio[5]
  179. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio[4]
  180. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio[3]
  181. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio[2]
  182. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio[1]
  183. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio[0]
  184. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to gpio
  185. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_clk
  186. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_inp_n[1]
  187. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_a[13]
  188. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_a[2]
  189. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_a[6]
  190. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_a[0]
  191. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to abc_a[1]
  192. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to altera_reserved_tdo
  193. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to altera_reserved_tck
  194. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to abc_xinpstb_n
  195. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to altera_reserved_tdi
  196. set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to altera_reserved_tms
  197. set_global_assignment -name OCP_HW_EVAL DISABLE
  198. set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING ON
  199. set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS ON
  200. set_global_assignment -name POWER_REPORT_POWER_DISSIPATION ON
  201. set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS MAXIMUM
  202. set_global_assignment -name POWER_USE_TA_VALUE 35
  203. set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
  204. set_global_assignment -name VERILOG_FILE ip/statusram.v
  205. set_global_assignment -name VERILOG_INCLUDE_FILE iodevs.vh
  206. set_global_assignment -name SYSTEMVERILOG_FILE tty.sv
  207. set_global_assignment -name SYSTEMVERILOG_FILE sdcard.sv
  208. set_global_assignment -name SYSTEMVERILOG_FILE sysclock.sv
  209. set_global_assignment -name SYSTEMVERILOG_FILE i2c.sv
  210. set_global_assignment -name SYSTEMVERILOG_FILE abcbus.sv
  211. set_global_assignment -name VERILOG_FILE ip/abcmapram.v
  212. set_global_assignment -name VERILOG_FILE ip/fastmem_ip.v
  213. set_global_assignment -name SYSTEMVERILOG_FILE fast_mem.sv
  214. set_global_assignment -name MIF_FILE ../fw/boot.mif
  215. set_global_assignment -name VERILOG_FILE picorv32.v
  216. set_global_assignment -name SYSTEMVERILOG_FILE functions.sv
  217. set_global_assignment -name SYSTEMVERILOG_FILE spi_master.sv
  218. set_global_assignment -name SYSTEMVERILOG_FILE sdram.sv
  219. set_global_assignment -name SYSTEMVERILOG_FILE spirom.sv
  220. set_global_assignment -name VERILOG_FILE ip/ddio_out.v
  221. set_global_assignment -name TCL_SCRIPT_FILE scripts/post_quartus_asm.tcl
  222. set_global_assignment -name TCL_SCRIPT_FILE scripts/postmodule.tcl
  223. set_global_assignment -name SOURCE_FILE max80jic.cof
  224. set_global_assignment -name VERILOG_FILE ip/hdmitx.v
  225. set_global_assignment -name VERILOG_FILE ip/pll.v
  226. set_global_assignment -name SYSTEMVERILOG_FILE transpose.sv
  227. set_global_assignment -name SYSTEMVERILOG_FILE synchro.sv
  228. set_global_assignment -name SYSTEMVERILOG_FILE tmdsenc.sv
  229. set_global_assignment -name SDC_FILE max80.sdc
  230. set_global_assignment -name SYSTEMVERILOG_FILE max80.sv
  231. set_global_assignment -name SOURCE_FILE max80.pins
  232. set_global_assignment -name SOURCE_TCL_SCRIPT_FILE scripts/pins.tcl
  233. set_global_assignment -name VERILOG_FILE ip/fifo.v
  234. set_global_assignment -name VERILOG_FILE ip/ddufifo.v